KR101471950B1 - 다이 대 표준 기준 다이 검사에서의 이용을 위한 표준 기준 다이를 생성하기 위한 방법들 및 웨이퍼를 검사하기 위한 방법들 - Google Patents

다이 대 표준 기준 다이 검사에서의 이용을 위한 표준 기준 다이를 생성하기 위한 방법들 및 웨이퍼를 검사하기 위한 방법들 Download PDF

Info

Publication number
KR101471950B1
KR101471950B1 KR1020107003699A KR20107003699A KR101471950B1 KR 101471950 B1 KR101471950 B1 KR 101471950B1 KR 1020107003699 A KR1020107003699 A KR 1020107003699A KR 20107003699 A KR20107003699 A KR 20107003699A KR 101471950 B1 KR101471950 B1 KR 101471950B1
Authority
KR
South Korea
Prior art keywords
wafer
die
standard reference
output
defects
Prior art date
Application number
KR1020107003699A
Other languages
English (en)
Other versions
KR20100039411A (ko
Inventor
크리스 바스카
마크 맥코드
산토시 바타차리야
아디스 리앙
리차드 월링포드
후베르트 알텐도르퍼
카이스 마야
Original Assignee
케이엘에이-텐코어 코오포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이-텐코어 코오포레이션 filed Critical 케이엘에이-텐코어 코오포레이션
Publication of KR20100039411A publication Critical patent/KR20100039411A/ko
Application granted granted Critical
Publication of KR101471950B1 publication Critical patent/KR101471950B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/93Detection standards; Calibrating baseline adjustment, drift correction
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N21/95607Inspecting patterns on the surface of objects using a comparative method
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/001Industrial image inspection using an image reference approach
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer

Abstract

다이 대 표준 기준 다이 검사에서의 이용을 위한 표준 기준 다이를 생성하기 위한 방법들 및 웨이퍼를 검사하기 위한 방법들이 제공된다. 다이 대 표준 기준 다이 검사에서의 이용을 위한 표준 기준 다이를 생성하기 위한 하나의 컴퓨터-구현 방법은 웨이퍼 상에 중앙에 위치한 다이 및 상기 웨이퍼 상에 위치한 하나 이상의 다이들에 대한 검사 시스템의 출력을 획득하는 단계를 포함한다. 상기 방법은 또한 상기 출력의 내부 다이 위치들에 기초하여 상기 중앙에 위치한 다이 및 상기 하나 이상의 다이들에 대한 상기 출력을 결합하는 단계를 포함한다. 추가로, 상기 방법은 상기 결합 단계의 결과들에 기초하여 상기 표준 기준 다이를 생성하는 단계를 포함한다.

Description

다이 대 표준 기준 다이 검사에서의 이용을 위한 표준 기준 다이를 생성하기 위한 방법들 및 웨이퍼를 검사하기 위한 방법들{METHODS FOR GENERATING A STANDARD REFERENCE DIE FOR USE IN A DIE TO STANDARD REFERENCE DIE INPECTION AND METHODS FOR INSPECTING A WAFER}
본 발명은 다이 대 표준 기준 다이 검사에서의 이용을 위한 표준 기준 다이를 생성하기 위한 방법들 및 웨이퍼를 검사하기 위한 방법들에 관한 것이다. 특정한 실시예들은 웨이퍼 상의 중앙에 위치한 다이에 대한 검사 시스템의 출력 및 상기 출력의 내부 다이 위치들에 기초하여 상기 웨이퍼 상에 위치한 하나 이상의 다이들을 결합시키는 것을 포함하는, 표준 기준 다이 검사로의 다이에서의 이용을 위한 표준 기준 다이를 생성하기 위한 컴퓨터-구현 방법에 관한 것이다.
이하의 설명 및 예들은 이 섹션에서의 그들의 포함에 의해서 종래 기술로 인정되는 것이 아니다.
논리 및 메모리 장치들과 같은 반도체 장치들을 제조하는 것은 상기 반도체 장치들의 다수의 레벨들 및 다양한 특징들을 형성하기 위해서 많은 수의 반도체 제조 프로세스들을 이용하여 반도체 웨이퍼와 같은 기판을 프로세싱하는 것을 일반적으로 포함한다. 예컨대, 리소그래피(lithography)는 레티클(reticle)로부터 반도체 웨이퍼 상에 배열된 레지스트(resist)로 패턴을 전달하는 것을 수반하는 반도체 제조 프로세스이다. 반도체 제조 프로세서들의 추가적인 예들은 화학-기계적 연마(CMP), 에칭, 증착, 및 이온 주입을 포함하지만 이들에 제한되는 것은 아니다. 다수의 반도체 장치들은 단일의 반도체 웨이퍼 상에서 배열되어 제조될 수 있고 그 후에 개별 반도체 장치들로 분리될 수 있다.
제조 프로세스에서 높은 수율을 그리고 이에 따라서 더 높은 이익들을 촉진하도록 웨이퍼들 상에서의 결함들을 검출하기 위해서, 반도체 제조 프로세스 동안에 다양한 단계들에서 검사 프로세스들이 이용된다. 검사는 항상 IC들과 같은 반도체 장치들을 제조하는 것의 중요한 부분이 되어 왔다. 하지만, 반도체 장치들의 치수들이 감소함에 따라, 허용가능한 반도체 장치들의 성공적인 제조에는 검사가 더욱 중요해졌는데, 그 이유는 더 작은 결함들이 장치들의 고장을 야기할 수 있기 때문이다. 예컨대, 반도체 장치들의 치수들이 감소함에 따라 감소하는 크기의 결함들의 검출이 필수적이게 되었고, 그 이유는 상대적으로 작은 결함들일지라도 상기 반도체 장치들에서 원하지 않는 변형들을 야기할 수 있기 때문이다.
디자인 룰(design rule)이 축소됨에 따라, 반도체 제조 프로세스들은 또한 상기 프로세스들의 성능 능력 상의 제한들에 보다 가깝게 동작하고 있을 수 있다. 추가로, 더 작은 디자인 룰들에서, 일부의 경우들에서 고장을 일으키는 프로세스가 조직적이게 되는 경향이 있다. 즉, 장애을 일으키는 프로세스는 상기 디자인 내에서 종종 여러 번 반복되는 미리 결정된 디자인 패턴들에서 장애가 발생하는 경향이 있다. 공간적으로 조직적인, 전기적으로 관련된 결함들의 검출 및 제거가 중요한데, 그 이유는 그러한 결함들을 제거하는 것이 수율에 있어 상당히 포괄적인 영향을 미치기 때문이다.
하지만, 다이-대-다이 검사 및 다이 대 표준 기준 다이 검사와 같은 검사 기술들을 이용하여 조직적이고 다른 리피터(repeater) 결함들을 검출하는 것은 많은 이유들로 바람직하지 않다. 예컨대, 다이-대-다이 검사 기술들이 임의의 결함들의 검출을 위해 웨이퍼 검사에서 광범위한 성공을 성취하였음에도 불구하고, 그들의 특성에 의해 그러한 검사 기술들은 조직적 리피터 결함들을 검출할 수 없다. 특히, 두 개의 테스트 다이를 서로 비교함으로써, 두 테스트 다이에서 발생하는 조직적 리피터 결함들은 검출될 수 없다. 추가로, 다이 대 표준 기준 다이 검사 기술들은 어플리케이션 관련 반도체 제조에서 다이-대-다이 검사 기술들보다 훨씬 더 적게 채택되고 있는데, 그 이유는 적합한 표준 기준 다이를 획득하기가 종종 어렵기 때문이다. 예컨대, 비교되는 다이들에 대한 출력이 일반적으로 웨이퍼의 동일한 검사 스캔에서 획득되는 다이-대-다이 검사 기술들과는 달리, 다이 대 표준 기준 다이 기술들은 종종 복잡한데, 그 이유는 컬러 변화들과 같은 테스트 다이 및 표준 기준 다이 사이의(또는 테스트 웨이퍼 및 표준 기준 웨이퍼 사이) 차이들 때문이고, 그리고 테스트 다이와 표준 기준 다이 사이에 상대적으로 정확한 정렬을 획득하는 것이 어렵기 때문이다.
따라서, 다이 대 표준 기준 다이 검사에서의 이용을 위한 표준 기준 다이를 생성하기 위한 방법, 및 다이 레티클 검사 및 프로세스 윈도우 검정(PWQ; process window qualification) 어플리케이션들과 같은 어플리케이션들에 대해 상대적으로 높은 정확도로 리피터(조직적) 결함들을 검출하는데에 이용될 수 있는 다이 대 표준 다이 기준 검사를 이용하는 웨이퍼를 검사하기 위한 방법을 개발하는 것이 바람직하다.
다양한 방법 실시예들의 이하의 기술은 첨부된 청구항들의 주제 내용들을 제한하는 것으로서 어떠한 방식으로도 해석되지는 않는다.
일 실시예는 다이 대 표준 기준 다이 검사(die to standard reference die inspection)에서의 이용을 위한 표준 기준 다이를 생성하기 위한 컴퓨터-구현 방법에 관한 것이다. 상기 방법은 웨이퍼 상에 중앙에 위치한 다이 및 상기 웨이퍼 상에 위치한 하나 이상의 다이들에 대한 검사 시스템의 출력을 획득하는 단계를 포함한다. 상기 방법은 또한 상기 출력의 내부 다이 위치(within die position)들에 기초하여 상기 중앙에 위치한 다이 및 상기 하나 이상의 다이들에 대한 상기 출력을 결합하는 단계를 포함한다. 추가로, 상기 방법은 상기 결합의 결과들에 기초하여 상기 표준 기준 다이를 생성하는 단계를 포함한다.
일 실시예에서, 상기 표준 기준 다이는 이미지를 포함한다. 다른 실시예에서, 상기 다이 대 표준 기준 다이 검사는 리피터 결함들에 대한 검사를 포함한다. 추가의 실시예에서, 상기 표준 기준 다이는 상기 중앙에 위치한 다이 및 상기 하나 이상의 다이들에서의 랜덤 결함들로부터 실질적으로 어떠한 잡음도 포함하지 않는다. 추가의 실시예에서, 상기 방법은 상기 표준 기준 다이를 디자인 데이터 공간(design data space)에 정렬시키는 단계를 포함한다. 그러한 일 실시예에서, 상기 생성하는 단계는 상기 디자인 데이터 공간에서의 미리 결정된 영역들에 대응하는 상기 디자인 데이터 공간에서의 위치들에 대해서만 상기 표준 기준 다이를 생성하는 단계를 포함한다.
일 실시예에서, 상기 출력은 그레이 레벨들을 포함한다. 그러한 일 실시예에서, 상기 결합하는 단계는 상기 내부 다이 위치들에 걸쳐 상기 그레이 레벨들의 평균값을 결정하는 단계를 포함한다. 그러한 다른 실시예에서, 상기 결합하는 단계는 상기 내부 다이 위치들에 걸쳐서 상기 그레이 레벨들의 중간값을 결정하는 단계를 포함한다. 그러한 추가의 실시예에서, 상기 결합하는 단계는 상기 내부 다이 위치들에 걸쳐서 상기 그레이 레벨들의 평균값 및 중간값을 결정하는 단계를 포함한다. 그러한 실시예에서, 상기 표준 기준 다이는 상기 내부 다이 위치들에 걸친 상기 평균값을 포함하고, 상기 방법은 상기 내부 다이 위치들에 걸친 상기 중간값의 추가적인 표준 기준 다이를 생성하는 단계를 포함한다. 일부의 실시예들에서, 상기 결합하는 단계는 상기 내부 다이 위치들에 걸친 상기 출력의 특성(characteristic) 및 상기 내부 다이 위치들에 걸친 상기 특성에서의 잡음을 결정하는 단계를 포함한다.
상기한 방법의 단계들 각각은 본 명세서에 추가로 기술되는 바와 같이 수행될 수 있다. 상기한 방법의 실시예들 각각은 본 명세서에 기술된 임의의 방법(들)의 임의의 단계(들)를 포함할 수 있다. 추가로, 상기한 방법의 실시예들 각각은 본 명세서에 기술된 시스템들 중 임의의 시스템에 의해서 수행될 수 있다.
다른 실시예는 웨이퍼를 검사하기 위한 방법에 관한 것이다. 상기 방법은 상기 웨이퍼에 대한 검사 시스템의 출력을 획득하는 단계를 포함한다. 상기 방법은 또한 상기 출력의 내부 다이 위치들에 기초하여 상기 웨이퍼 상에 중앙에 위치한 다이 및 상기 웨이퍼 상에 위치한 하나 이상의 다이들에 대한 상기 출력을 결합하는 단계를 포함한다. 추가로, 상기 방법은 상기 결합의 결과들에 기초하여 표준 기준 다이를 생성하는 단계를 포함한다. 상기 방법은 하나 이상의 테스트 다이들에서의 결함들을 검출하기 위해서 상기 표준 기준 다이를 상기 웨이퍼 상의 상기 하나 이상의 테스트 다이들에 대한 상기 출력과 비교하는 단계를 더 포함한다.
일 실시예에서, 상기 표준 기준 다이 및 상기 하나 이상의 테스트 다이들에 대한 상기 출력은 이미지들을 포함한다. 다른 실시예에서, 상기 방법의 모든 단계들이 상기 웨이퍼의 검사의 런타임(run time) 동안에 수행된다.
일부의 실시예들에서, 상기 결함들은 리피터 결함(repeater defect)들을 포함한다. 다른 실시예에서, 상기 결함들은 상기 웨이퍼를 가공하는데에 이용되는 레티클(reticle)의 제조에 의해 야기되는 조직적인 결함(systematic defect)들을 포함한다. 추가의 실시예에서, 상기 결함들은 조직적인 결함들을 포함하고, 상기 하나 이상의 테스트 다이들은 프로세스 윈도우 검정(PWQ) 방법을 이용하여 상기 웨이퍼 상에서 형성된다.
일 실시예에서, 상기 표준 기준 다이는 상기 중앙에 위치한 다이 및 상기 하나 이상의 다이들에서의 랜덤 결함들로부터 실질적으로 어떠한 잡음도 포함하지 않는다. 다른 실시예에서, 상기 방법은 두 개 이상의 테스트 다이들에 대한 결합된 출력이 상기 하나 이상의 테스트 다이들 각각에 대한 출력보다 랜덤 결함들로부터 더 적은 잡음을 포함하도록, 상기 하나 이상의 테스트 다이들의 두 개 이상에 대한 출력을 결합하는 단계를 포함한다. 추가의 실시예에서, 상기 방법은 상기 하나 이상의 테스트 다이들의 두 개 이상에 대한 상기 출력을 결합하는 단계를 더 포함하고, 상기 비교하는 단계는 상기 두 개 이상의 테스트 다이들에서의 결함들을 검출하기 위해서 상기 표준 기준 다이를 상기 두 개 이상의 테스트 다이들에 대한 상기 결합된 출력과 비교하는 단계를 포함한다. 그러한 일 실시예에서, 상기 결함들을 리피터 결함들을 포함한다.
일 실시예에서, 상기 비교하는 단계는 적응적 스레스홀딩(adaptive thresholding)을 이용하여 수행된다. 다른 실시예에서, 상기 비교하는 단계는, 상기 표준 기준 다이 및 상기 하나 이상의 테스트 다이들에 대한 히스토그램들의 등화를 이용하여 상기 하나 이상의 테스트 다이들에 대한 상기 출력과 상기 표준 기준 다이를 정렬시키는 단계를 포함한다. 추가의 실시예에서, 상기 비교하는 단계는, 상기 표준 기준 다이에서의 픽셀들의 이웃 및 상기 하나 이상의 테스트 다이들에 대한 상기 출력에서의 픽셀들의 이웃의 템플릿 매칭(template matching)을 포함한다.
일 실시예에서, 상기 획득하는 단계는 상기 웨이퍼 상의 상기 테스트 다이들의 일부에 대해서만 상기 출력을 획득하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 상기 표준 기준 다이를 디자인 데이터 공간에 정렬시키는 단계를 더 포함한다. 그러한 일 실시예에서, 상기 생성하는 단계는 상기 디자인 데이터 공간에서의 미리 결정된 영역들에 대응하는 상기 디자인 데이터 공간에서의 위치들에 대해서만 상기 표준 기준 다이를 생성하는 단계를 포함한다.
상기한 방법의 단계들 각각은 본 명세서에 추가로 기술되는 바와 같이 수행될 수 있다. 상기한 방법의 실시예들 각각은 본 명세서에 기술된 임의의 방법(들)의 임의의 단계(들)를 포함할 수 있다. 추가로, 상기한 방법의 실시예들 각각은 본 명세서에 기술된 시스템들 중 임의의 시스템에 의해서 수행될 수 있다.
추가의 실시예들은 웨이퍼를 검사하기 위한 다른 방법에 관한 것이다. 이 방법은 표준 기준 웨이퍼에 대한 검사 시스템의 출력을 획득하는 단계를 포함한다. 상기 방법은 또한 상기 출력의 내부 다이 위치들에 기초하여 상기 표준 기준 웨이퍼 상에 중앙에 위치한 다이 및 상기 표준 기준 웨이퍼 상에 위치한 하나 이상의 다이들에 대한 상기 출력을 결합하는 단계를 포함한다. 추가로, 상기 방법은 상기 결합의 결과들에 기초하여 표준 기준 다이를 생성하는 단계를 포함한다. 상기 방법은 상기 웨이퍼에 대한 상기 검사 시스템의 출력을 획득하는 단계를 더 포함한다. 게다가, 상기 방법은 하나 이상의 테스트 다이들에서의 결함들을 검출하기 위해서, 상기 표준 기준 다이를 상기 웨이퍼 상의 상기 하나 이상의 테스트 다이들에 대한 출력과 비교하는 단계를 포함한다.
일 실시예에서, 상기 결함들은 리피터 결함들을 포함한다. 다른 실시예에서, 상기 결함들은 상기 웨이퍼를 가공하는데에 이용되는 레티클을 제조에 의해 야기되는 조직적인 결함들을 포함한다. 추가의 실시예에서, 상기 결함들은 조직적인 결함들을 포함하고, 상기 하나 이상의 테스트 다이들은 PWQ 방법론을 이용하여 상기 웨이퍼 상에서 형성된다.
일부의 실시예들에서, 상기 표준 기준 다이는 상기 중앙에 위치한 다이 및 상기 하나 이상의 다이들에서의 랜덤 결함들로부터 실질적으로 어떠한 잡음도 포함하지 않는다. 다른 실시예에서, 두 개 이상의 테스트 다이들에 대한 결합된 출력이 상기 하나 이상의 테스트 다이들 각각에 대한 출력보다 랜덤 결함들로부터 더 적은 잡음을 포함하도록, 상기 하나 이상의 테스트 다이들의 두 개 이상에 대한 출력을 결합하는 단계를 더 포함한다. 추가의 실시예에서, 상기 하나 이상의 테스트 다이들의 두 개 이상에 대한 상기 출력을 결합하는 단계를 더 포함하고, 상기 비교하는 단계는 상기 두 개 이상의 테스트 다이들에서의 결함들을 검출하기 위해서 상기 표준 기준 다이를 상기 두 개 이상의 테스트 다이들에 대한 상기 결합된 출력과 비교하는 단계를 포함한다. 그러한 일 실시예에서, 상기 결함들을 리피터 결함들을 포함한다.
일 실시예에서, 상기 방법은 상기 표준 기준 다이를 디자인 데이터 공간에 정렬시키는 단계를 포함한다. 그러한 일 실시예에서, 상기 생성하는 단계는 상기 디자인 데이터 공간에서의 미리 결정된 영역들에 대응하는 상기 디자인 데이터 공간에서의 위치들에 대해서만 상기 표준 기준 다이를 생성하는 단계를 포함한다. 다른 실시예에서, 상기 방법은 상기 비교하는 단계 이전에 디자인 데이터 공간에 대해서 상기 하나 이상의 테스트 다이들에 대한 상기 출력의 위치 및 상기 표준 기준 다이의 위치를 결정하는 단계, 및 상기 비교하는 단계 이전에 상기 디자인 데이터 공간에 대해서 상기 하나 이상의 테스트 다이들에 대한 상기 출력 및 상기 표준 기준 다이의 위치들에 기초하여 상기 하나 이상의 테스트 다이들에 대한 상기 출력 및 상기 표준 기준 다이를 정렬시키는 단계를 포함한다.
일 실시예에서, 상기 방법은 프레임 바이 프레임 정렬 기술(frame by frame alignment technique)을 이용하여 상기 비교하는 단계 이전에 상기 표준 기준 다이를 상기 하나 이상의 테스트 다이들에 대한 상기 출력에 정렬시키는 단계를 포함한다. 다른 실시예에서, 상기 방법은 전체적인 정렬에 대해서 상기 하나 이상의 테스트 다이들에 대한 상기 출력의 제1 스와스(swath)를 정렬시키는 단계, 및 상기 하나 이상의 테스트 다이들에 대한 상기 출력의 제2 스와스를 상기 제1 스와스에 정렬시켜 그에 따라 상기 제2 스와스를 상기 전체적인 정렬에 정렬시키는 단계를 포함한다.
일 실시예에서, 상기 비교하는 단계는 적응적 스레스홀딩을 이용하여 수행된다. 다른 실시예에서, 상기 비교하는 단계는 상기 표준 기준 다이 및 상기 하나 이상의 테스트 다이들에 대한 히스토그램들의 등화를 이용하여 상기 하나 이상의 테스트 다이들에 대한 상기 출력과 상기 표준 기준 다이를 정렬시키는 단계를 포함한다. 추가의 실시예에서, 상기 웨이퍼에 대한 상기 검사 시스템의 상기 출력은 상기 웨이퍼 상의 조명 스팟(illumination spot) 당 적어도 두 개의 샘플들을 포함한다.
일 실시예에서, 상기 웨이퍼에 대한 상기 검사 시스템의 상기 출력을 획득하는 단계는 상기 웨이퍼 상의 상기 테스트 다이들의 일부에 대해서만 상기 출력을 획득하는 단계를 포함한다. 다른 실시예에서, 상기 표준 기준 웨이퍼 및 상기 웨이퍼에 대한 상기 출력을 획득하는 단계는 광대역 딥 자외선 모드 검사(broadband deep ultraviolet mode inspection)를 이용하여 수행된다. 추가의 실시예에서, 상기 표준 기준 웨이퍼 및 상기 웨이퍼에 대한 상기 출력을 획득하는 단계는 광대역 에지 콘트라스트 모드 검사(broadband edge contrast mode inspection)를 이용하여 수행된다. 일부의 실시예들에서, 상기 표준 기준 웨이퍼 및 상기 웨이퍼에 대한 상기 출력을 획득하는 단계는 전자 빔 검사를 이용하여 수행된다.
상기한 방법의 단계들 각각은 본 명세서에 추가로 기술되는 바와 같이 수행될 수 있다. 상기한 방법의 실시예들 각각은 본 명세서에 기술된 임의의 방법(들)의 임의의 단계(들)를 포함할 수 있다. 추가로, 상기한 방법의 실시예들 각각은 본 명세서에 기술된 시스템들 중 임의의 시스템에 의해서 수행될 수 있다.
추가의 실시예는 웨이퍼 상의 결함들을 검출하기 위한 컴퓨터-구현 방법에 관한 것이다. 상기 방법은 상기 웨이퍼 상에 형성된 구조의 복합 이미지를 생성하기 위해서 상기 구조의 다수의 이미지들을 결합하는 단계를 포함한다. 상기 다수의 이미지들은 상기 구조가 형성되는 상기 웨이퍼 상의 다수의 위치들에서 획득된다. 상기 방법은 또한 상기 웨이퍼 상에서 결함들을 검출하기 위해서 상기 복합 이미지를 기준과 비교하는 단계를 포함한다.
일 실시예에서, 상기 결함들은 조직적인 결함들을 포함한다. 다른 실시예에서, 상기 결함들은 상기 구조의 라인 에지 거칠기(line edge roughness)와 거의 동일한 크기를 갖는다.
일 실시예에서, 상기 결합하는 단계는 상기 다수의 이미지들을 평균하는 단계를 포함한다. 일부의 실시예들에서, 상기 다수의 위치들은 동일한 디자인들을 갖는 셀들에서의 상기 구조의 위치들을 포함한다. 다른 실시예들에서, 상기 다수의 위치들은 이웃하는 다이들에서의 상기 구조의 위치들을 포함한다.
일 실시예에서, 상기 결합하는 단계는 상기 복합 이미지가 상기 다수의 이미지들 각각보다 더 작은 라인 에지 거칠기를 갖도록 수행된다. 다른 실시예에서, 상기 결합하는 단계는 상기 복합 이미지가 상기 다수의 이미지들 각각보다 더 작은 랜덤 변화를 갖도록 수행된다. 추가의 실시예에서, 상기 결합하는 단계는 상기 복합 이미지가 상기 다수의 이미지들 각각보다 더 낮은 그레이 레벨 잡음을 갖도록 수행된다.
일 실시예에서, 상기 기준은 상기 구조의 기지의 양호한 이미지를 포함한다. 다른 실시예에서, 상기 기준은 표준 기준 다이에서 획득된 상기 구조의 이미지를 포함한다. 추가의 실시예에서, 상기 기준은 기준 이미지, 복합 테스트 이미지, 또는 프로세스 윈도우 검정 다이에서 획득되는 상기 구조의 기준 이미지 및 복합 테스트 이미지를 포함한다. 추가의 실시예에서, 상기 기준은 렌더링된(rendered) 데이터베이스 이미지를 포함한다. 일부의 실시예들에서, 상기 기준은, 상기 웨이퍼 상의 또는 상이한 웨이퍼 상의 다수의 위치들에서 획득되는 다수의 이미지들로부터 생성되는 상기 구조의 복합 이미지를 포함한다.
상기한 방법의 각 단계들은 본 명세서에 추가로 기술되는 바와 같이 구현될 수 있다. 상기한 방법의 각 실시예들은 본 명세서에 기술된 다른 단계(들) 및 임의의 방법(들)을 포함할 수 있다. 추가로, 상기한 방법의 각 실시예들은 본 명세서에 기술된 임의의 시스템들에 의해서 실시될 수 있다.
본 발명의 추가적인 장점들이 바람직한 실시예들의 이후의 상세한 설명의 이익 및 첨부된 도면들을 참조하여 본 기술분야에서 통상의 지식을 가진 자에게는 명확하게 될 수 있다:
도 1은 협대역 명시야 이미징(narrow band bright field imagin)에서의 구형파 함수 상에서의 단일층의 물질의 위상 콘트라스트 효과들을 기술하는 일련의 플롯들을 도시한다.
도 2는 협대역 에지 콘트라스트 모드 이미징에서 구형파 함수 상에서의 단일층의 물질의 위상 콘트라스트 효과들을 기술하는 일련의 플롯들을 도시한다.
도 3은 웨이퍼 상에 위치한 하나 이상의 다이들 및 웨이어 상에 중앙 위치한 다이의 일 실시예의 평면도를 도시하는 개략적인 다이어그램이다.
도 4는 웨이터에 대해 획득된 출력의 연속적 스와스(swath)들의 일 실시예의 평면도를 도시하는 개략적인 다이어그램이다.
도 5는 웨이퍼에 대해 획득된 출력의 연속적 스와스들의 일 실시예의 평면도, 및 스와스 중첩 영역에서의 출력을 이용하여 다른 스와스에 대해 하나의 스와스의 위치를 결정하기 위한 전역 정렬을 기술하는 개략적인 다이어그램이다.
도 6은 본 명세서에 기술된 하나 이상의 실시예들을 수행하도록 구현되는 시스템의 일 실시예의 측면도를 도시하는 개략적인 다이어그램이다.
도 7은 본 명세서에 기술된 하나 이상의 실시예들을 수행하기 위한 컴퓨터 프로그램 상에서 실행가능한 컴퓨터 명령들을 포함하는 컴퓨터-판독가능한 매체의 일 실시예를 기술하는 블록도이다.
도 8은 웨이퍼 상에서 형성되는 다수의 이미지들 구조의 다양한 예들을 기술하는 개략적인 다이어그램이다.
도 9는 도 8에 도시된 다수의 이미지들 구조를 결합함으로써 생성되는 도 8의 이미지에 도시된 구조의 복합 이미지의 일 예를 기술하는 개략적인 다이어그램이다.
본 발명이 다양한 수정들 및 대안적 형태들을 가질 수 있는 한편, 그것의 특정한 실시예들은 도면들에서의 예에 의해 도시되고 본 명세서에서 상세하게 기술될 수 있다. 상기 도면들은 스케일링되지 않을 수 있다. 하지만, 상기 도면들 및 상세한 설명들이 본 발명의 개시된 특정한 형태로 본 발명을 제한하려는 의도가 아니고, 본 발명은 첨부된 청구항들에 의해서 정의되는 바와 같이 본 발명의 범위 및 사상 내에 있는 모든 수정들, 균등물들 및 대안들을 모두 커버하고자 하는 의도이다.
본 명세서에서 이용되는 바로서, 용어 "웨이퍼(wafer)"는 일반적으로 반도체 또는 비반도체 물질로 형성되는 기판을 지칭한다. 그러한 반도체 또는 비반도체 물질의 예들은 단결정 실리콘, 갈륨 비소, 및 인듐 인화물을 포함하지만 이에 한정되지는 않는다. 그러한 기판들은 반도체 제조 설비들에서 공통으로 발견되거나 그리고/또는 프로세싱될 수 있다.
웨이퍼는 기판 상에 형성된 하나 이상의 층들을 포함할 수 있다. 예컨대, 그러한 층들은 레지스트(resist), 유전체 물질, 및 전도성 물질을 포함할 수 있지만, 이에 한정되지는 않는다. 그러한 층들의 많은 다른 타입들이 당업계에 알려져 있고, 본 명세서에서 이용되는 바와 같은 용어 웨이퍼는 그러한 층들의 모든 타입을 포함하는 웨이퍼를 포괄하려는 의도이다.
웨이퍼 상에 형성된 하나 이상의 층들은 패터닝되거나 또는 패터닝되지 않을 수 있다. 예컨대, 웨이퍼는 다수의 다이(die)들을 포함할 수 있고, 각각의 다이는 반복가능한 패터닝된 특징들을 갖는다. 물질들의 그러한 층들의 형성 및 프로세싱은 최종적으로 완성된 장치들에 이르게 할 수 있다. 집적 회로(IC)들과 같은 많은 상이한 타입의 장치들이 웨이퍼 상에서 형성될 수 있고, 본 명세서에서 사용되는 바와 같은 용어 웨이퍼는 당업계에 알려진 임의의 타입의 장치가 제작되는 웨이퍼를 포괄하려는 의도이다.
이제 도면들로 돌아와서, 도면들이 일정한 비율로 도시되지 않았음이 주목된다. 특히, 도면들에서의 일부 엘리먼트들의 스케일은 상기 엘리먼트들의 특징들을 강조하기 위해서 매우 과장된다. 도면들이 동일한 스케일로 도시되지 않았음이 또한 주목된다. 유사하게 구성될 수 있는 하나 이상의 도면에 도시된 엘리먼트들은 동일한 참조 번호들을 이용하여 표시된다.
본 명세서에 기술된 실시예들은 일반적으로 조직적인 (리피터) 결함들에 대한 알고리즘적 접근법들에 대한 사고의 새로운 방식들에 기초한다. 최근에는, 검사 시스템 소비자들이 검사 시스템이 조직적인 또는 리피터 결함들을 검출하는데에 이용될 수 있는 "표준 기준 다이 특성"을 포함하도록 요청하기 시작했다. 그러한 요청들은, 결정 성장 결함들이 프린트 가능할 때 웨이퍼들 상의 리피터 결함들을 야기하는 레티클들 상에서의 상기 결정 성장 결함들에 대한 우려들로부터 생겨났다. 상기 레티클이 단일 다이 레티클이면, 종래의 다이-대-다이 검사 접근법은 그러한 결함들을 검출할 수 없는데, 그 이유는 기준 비교 다이가 존재하지 않기 때문이다. 그러한 결정 성장 결함들을 검출하기 위한 가장 민감한 접근법은 본질적으로 반사된 그리고 전송된 광을 이용하여 검사하도록 구성되는 레티클 검사 시스템들을 이용하여 상기 레티클을 검사하는 것이고, 그러한 레티클 검사 시스템들은 KLA-Tencor, San Jose, California로부터 이용가능하다. 추가로, 그러한 시스템들을 이용하는 레티클 검사의 주파수가 형성 이후에 상대적으로 바로 상기 결정 성장 결함들을 검출하기 위해 증가될 수 있고, 따라서 웨이퍼 제조 상에서의 그러한 결함들의 부작용들을 감소시킬 수 있다.
하지만, 표준 기준 다이 검사 접근법(양호한 것으로 알려진 표준 기준 다이가 저장되고 그 후에 샘플과 비교되는)에 대한 요구가 여전히 남아있다. 추가로, 현재에는 그러한 검사 접근법에 대한 광범위한 요구가 존재하지 않음에도 불구하고(예컨대, 어쩌면 레티클 검사 시스템들 기반의 반사된 그리고 전송된 신호의 상대적으로 많은 채택 및/또는 상대적으로 고가의 단일 다이 레티클들의 제한된 이용 때문에), 효율적인 해결책의 전개를 보장하기 위해 표준 기준 다이 검사에 대한 충분한 요구가 존재한다. 게다가, 표준 기준 다이 기반의 검사로의 새로운 접근법이 바람직한데, 그 이유는 기준 웨이퍼 및 테스트 웨이퍼 간의 프로세스 잡음 차이들로 인해 이전에 이용된 표준 기준 다이 기반의 검사들이 일반적으로 종래의 다이-대-다이 비교들의 민감도를 결여하기 때문이다.
표준 기준 다이 기반의 검사 방법들은 리피터 (조직적인) 결함들을 검출하는데에 특히 유용하다. 추가로, 본래 위치에 리피터 결함들을 검출하기 위해 ADI(after develop inspection)에 대한 명시야(BF; bright field) 검사 시스템을 이용하는 것이 요망되고, 그에 따라 다수의 웨이퍼들이 최고급(high end) 단일 다이 레티클들을 이용해 프린트되는 웨이퍼들 상에서 제로 수율(zero yield)의 제조를 경험하지 않게 해준다. 웨이퍼들의 표준 기준 다이 기반의 검사를 수행하기 위한 다른 추진력은, 상기 웨이퍼들 상에서 프린트되는 리피터 결함들만이 검출될 웨이퍼들 상에서 리피터 결함들을 검사하는 것이다. 대조적으로, 잠재적 리피터 결함들에 대한 레티클을 검사함으로써, 웨이퍼들 상에서 프린트할 그리고 프린트하지 않을 리피터 결함들이 검출될 것이다. 게다가, ADI에서 검사하는 것은 필요에 따라 상기 웨이퍼들의 재작업을 가능하게 한다.
제품 웨이퍼들에 대해 획득되는 출력을 이용하여 생성되는 표준 기준 다이가 프로세스 윈도우 마진들의 감소로 인해 발생하는 조직적인 결함들을 검출하는데에 이용될 수 있다는 점에서, 표준 기준 다이 기반의 검사가 또한 PWQ(process window qualification) 방법들에 대해 또한 바람직할 수 있다. 하지만, 최악의(catastrophic) 프로세스 윈도우 마진 상태들이 발생하면, 다이에서의 가장 약한 디자인이 붕괴될 것이다. 불행히도, 그러한 결함들에 대해서 상기 신호가 약할뿐만 아니라 그러한 결함들이 반복되면, 그들은 사실상 단일 다이 리피터 문제이다.
다양한 타입들의 리피터 결함들이 있다. 예컨대, 리피터 결함들은 하드 리피터 결함들, 소프트 리피터 결함들, 및 마지널(marginal) 리피터 결함들을 포함할 수 있다. 하드 리피터 결함들은 검사된 다이들의 대다수(예컨대, 50% 이상)에서 대략 동일한 다이 위치에서 발생하는 결함들로서 본 명세서에서 정의된다. 소프트 리피터 결함들은 검사된 다이들의 소수(예컨대, 약 15% 내지 약 50%)에서 동일한 다이 위치에서 발생하는 결함들로서 본 명세서에서 정의된다. 리피터 결함들의 다른 모든 타입들은 랜덤 결함들(상기 마지널 리피터 결함들)로서 본 명세서에서 정의될 수 있다. 랜덤 결함들은 다소 임의적으로 정의될 수 있고, 상기 결함, 상기 결함의 위치, 상기 결함이 검출되는 다이들의 수, 및 웨이퍼 상에서 수행되는 프로세스에 종속하여 변화할 수 있다. 예컨대, 웨이퍼 상의 100개의 다이들의 검사는 중요한 것으로서 10개의 다이들에서 반복되는 결함을 식별할 수 있다.
결정 성장 결함들의 정황에서, 결정 성장이 사실상 발생하지만(일반적으로 상기 레티클의 오픈 영역들에서) 상대적으로 낮은 불투명도를 갖고 그 결과로 웨이퍼 상에서 프린트하지 않는 상황이 종종 발생할 수 있다. 몇몇 다른 지점에서는, 결정 성장 결함들이 프린트하기 시작하고 웨이퍼들 상에서의 상대적으로 낮은 빈도 결함들일 수 있으며(하지만 매 다이 상에서 나타날 수 있음), 예컨대 임계치 이슈(결함들을 검출하는데에 이용되는 임계치에서) 때문에 그들은 산발적으로 발생할 수 있다(소프트 리피터들). 그러한 상황들에서, 일 접근법은 팹(fab)에서의 레티클 검사 시스템들(예컨대, 반사되고 전송되는 광 기반의 레티클 검사 시스템들)을 결함 검토 및/또는 검사 시스템(예컨대, 전자빔 기반 결함 검토 및/또는 검사 시스템들)과 링크시키는 것일 수 있다. 이러한 방식으로, 상기 레티클 상에서의 리피터 결함들이 상기 웨이퍼 상에서 프린트되고 있고 그리고 상기 웨이퍼 검사 시스템이 상기 결함들을 검출하고 있지 않은지를 결정하기 위해서, 레티클 검사 시스템 및 결함 검토 시스템의 출력이 이용될 수 있다. 예컨대, 쇼트 루프 검토 검사 사이클 최적화(RICO; review inspection cycle optimization) 타입 실험이 상기 웨이퍼 검사 시스템 및 상기 결함 검토 및/또는 검사 시스템(예컨대, 스캐닝 전자 현미경(SEM; scanning electron microscope)) 사이에서 수행될 수 있다.
종래의 결함 검출 접근법들은 다수의 팩터들의 주변에 일반적으로 집중되었다. 그러한 하나의 팩터는 상기 신호(예컨대, 광학 광자들)를 최대화하는 것이다. 다른 팩터는 시스템 잡음(예컨대, 산탄 잡음, 다이-대-다이 정렬 잡음, 왜곡 잡음 등)을 최소화하는 것이다. 추가로, 다른 팩터는 웨이퍼 프로세스 잡음(예컨대, 컬러 잡음, 그레인 잡음, 이전 층 잡음 등)을 최소화하는 것이다. 종래의 결함 검출 접근법들은 또한 일반적으로 테스트 샘플이 적어도 두 개의 기준들과 비교되는 이중 검출 방식들에 기초한다. 몇몇 결함 검출 접근법들은 또한 상기 기준 상에서의 잡음(변화)을 감소시키고자 시도한다(예컨대, MDAT(multiple die auto thresholding)와 같은 알고리즘을 이용함으로써 노이즈(n)의 제곱근(sqrt(n)) 만큼 상기 기준에서의 잡음을 감소시킨다). 추가로, 예컨대 거짓 알람들을 감소시키고 결함 검토(예컨대, SEM) 샘플링을 보조하는 것이 가능할 때에, 몇몇 결함 검출 접근법들은 상기 결함들을 제거하려 시도한다. 게다가, 결함 검출 접근법들은 웨이퍼당 스루풋을 최대화하고자 시도하는 경향이 있다(예컨대, 랜덤 결함 검출을 위해, 일부 접근법들은 한 시간 미만에 한 개 내지 두 개의 웨이퍼들 사이에서 검사하려 시도하고, 그에 따라 로트(lot)가 두 시간 이상 동안 지지되지 않도록 SEM 검토가 다른 시간에 수행될 수 있다). 추가로, 상기한 팩터들은 검사가 이용되는 어플리케이션들에 종속하여 변화할 수 있다(예컨대, 상이한 팩터들이 전기 분석(EA; electrical analysis) 및 라인 모니터링(LM; line monitoring)에 대한 검사 접근법들을 생성하기 위해 이용될 수 있다).
몇몇 웨이퍼 검사 시스템들은, 검사 시스템의 광학의 분해능 한계의 상당히 미만인 중요 결함 타입을 검출하도록 바람직하게 설계된다. 그러한 검출을 성취하기 위해서, 상기 광학의 출력의 신호대잡음비(S/N)가 일반적으로 최대화된다. 상기 S/N을 최대화하기 위한 몇몇 접근법들은 상기 S/N을 최대화하기 위해 프론트 엔트 알고리즘들을 설계하는 것을 포함할 수 있다. 다른 접근법들은 광학, 어퍼쳐(aperture)들 등을 최대화하기 위해, 잠재적 결함 신호를 최대화하기 위해, 그리고 컬러 및 그레인 잡음을 최소화하도록 다양한 알고리즘들을 이용하기 위해서, 테스트 및 기준 웨이퍼들 사이의 동일한 패턴 에일리어싱을 실질적으로 생성(예컨대, KLT-Tencor에 의해 개발된 런타임 정렬(RTA; run time alignment) 특성을 이용하여)하려도 시도하는 것을 포함한다.
하지만, 이러한 팩터들은 리피터 결함들을 검출하기 위해 검사 시스템들의 능력에 본질적으로 영향을 주거나 또는 그것을 결정하지 않을 수 있다. 예컨대, 리피터 결함 검출로부터 손상될 수 있는 하나의 팩터는, 랜덤 결함들을 검출하고 제거하기 위한 통계가 본질적으로 단독의 이벤트들을 검출하는 것에 기초하는 것이다. 잡음이 상기 기준 신호에서 감소될 수 있는 한편(그에 의해 "상기 기준 신호를 깨끗하게 한다"), 단독 이벤트 검출에 대한 어떠한 그러한 장치, 알고리즘 또는 방법도 존재하지 않는다. 예컨대, 상기 광학의 분해능 이하의 결함들을 검출하기 위한 몇몇 접근법들은, 결함 신호가 전체 신호로부터 나와 검출될 수 있도록 노이즈 플로어(이는 통산 웨이퍼 프로세싱 유발 잡음에 의해 제한됨)로 민감도를 높이는 것, 및 검사를 위해 이용되는 알고리즘들 및 광학들을 조정하는 것을 수반하는 경향이 있다. 하지만, 랜덤 결함 검출과는 대조적으로, 리피터 결함의 위치가 알려지면 결함 검출을 가능하게 하기 위해 보다 많은 옵션들이 이용가능하게 된다.
리피터 결함들의 다수의 특징들이 상기 검출 민감도를 증가시키기 위해 채택될 수 있다. 본 명세서에서 고려되는 두 개의 카테고리들은 레티클 유발 리피터 결함들(팹에서) 및 PWQ 타입 조직적 최악 리피터 결함들을 포함한다. 레티클 리피터 결함들은 패턴으로서 노출되는 레티클 상에서의 입자들 또는 상기 언급된 결정 성장 때문에 발생한다. 결정 성장 결함들은 일반적으로 상기 레티클들의 에지들로부터 시작하여 (노출되는) 마스트의 투명한 영역에서 먼저 발생하고, 상기 레이클 상에서 아지랑이(haze)처럼 보이는 외관을 갖는다. 하지만, 일단 결정 성장 결함들이 웨이퍼들 상에서 프린트되기 시작하면, 상기 결정 성장 결함들은 잘 노출될 수 있고, 그에 따라 상대적으로 투명한 라인 단부(EOL; end of line) 장애를 야기하고, 약한 오픈들(큰 저항이지만 완전하지 않은 오픈)을 야기함으로써 신뢰성 실패로서 때때로 발생한다. 추가로, 결정 성장이 레티클들 상에서 광범위한 현상이기 때문에(진입 지점들이 에지일 수 있음에도 불구하고), 다수의 빈도로 일반적으로 발생할 것이다. 물론, 과제는 검사되는 웨이퍼에 대한 출력을 표준 기준과 비교하는 것이다. 채용될 수 있는 리피터 결함들의 다른 특징은, 상기 결함 리피터들의 기하구조에도 불구하고 그것이 매우 인공적이지 않을 것 같다는 것이다(예컨대, 선형, Manhattan 타입 기하구조들을 가짐). 후자의 특징은 형태 기반 비닝(shape based binning) 및 분류 알고리즘들에 의해 채용될 수 있다. 이러한 경우에 비닝 및 분류를 위한 트레이닝은 선험적으로 문제가 발생하는 웨이퍼들에 기초하여 수행될 수 있고, 이는 실제 랜덤 결함들을 이용하여 일반적으로 수행될 수 있는 무언인가가 아니다.
PWQ 타입 리피터 결함들은 그들이 일반적으로 가장 정교한 구조들(예컨대, 가장 약한 패턴)에 영향을 미친다는 점에서 상기한 레티클 리피터 결함들과는 상이하다. 전체적인 붕괴가 발생하면, 명백한 오픈 또는 쇼트가 발생할 수 있다. 하지만, 종종 패턴 이동이 이슈일 수 있다. 그러므로, 표준 기준 타입 이미지를 이용하여 PWQ 타입 결함들을 검출하는 것은 위치 충실도(positional fidelity)(예컨대, 데이터를 설계하기 위한 서브-픽셀 정렬)를 필요로 한다. 그러한 결함들과 상이한 다른 팩터는, 기하구조 크기가 최소인("가장 타이트하고(tightest)") 궁극적으로 다수의 PWQ 결함들이 발생하고 그러므로 신호 콘트라스트가 잠재적으로 실질적으로 낮은 것이다. 또한, PWQ 타입 결함들의 결함 기하구조는, 레티클 리피터 결함들의 기하구조와는 달리, 자주 패터닝된 특성들처럼 보인다. 이러한 방식으로 나타내는 결함들은 시스템 정렬 에러들과 구별하는 것이 꽤 어려울 수 있다. 최종적으로, 레티클 리피터 결함들과 매우 유사하게, 그러한 이벤트들이 발생하는 선험적인 스팟(spot)들에 대한 전망이 매우 유망하다. 그러한 스팟들은 예컨대, KLA-Tencor로부터 상업적으로 이용가능한 DesignScan 분석 소프트웨어, 공동 소유된 미국 특허 출원 번호 제2006/0062445호로서 2006년 3월 23일자로 공개된, 2005년 9월 14일자로 출원된 Verma 등에 의한 미국 특허 출원 번호 제11/226,698호에 기재된 방법들과 같은 레티클 레이아웃 데이터를 평가하기 위한 방법들 ― 이 출원들은 본 명세서에 마치 전체로 기술된 바와 같이 참조에 의해서 편입됨 ―, 공동 소유되는 Zafar 등에 의한 2006년 11월 20일자 미국 특허 출원 번호 제11/561,659호 및 미국 특허 번호 제2007/0288219호로서 2007년 12월 13일자로 공개된 출원들에 기재된 것과 같이 수행될 수 있는 DBB(desing based binning) ― 이 출원들은 본 명세서에 마치 전체로 기술된 바와 같이 참조에 의해서 편입됨 ―, 및 핫 스팟 소스들을 제조(DFM)하기 위한 다른 디자인들을 이용하여 결정될 수 있다. 본 명세서에 기술된 실시예들은 이러한 출원들에서 기술된 임의의 방법(들)의 임의의 단계(들)를 포함할 수 있다.
실질적으로 상기 팩터들 모두가 리피터 결함 검출에 영향을 미친다. 하지만, 추가적인 제약이 관심 결함들이 리피터 결함들만을 포함하고 랜덤 결함들을 포함하지 않는 것이라면, 다른 세트의 강조들이 일어날 수 있다. 예컨대, 랜덤 결함들(종종 1차 결함 타입으로서)이 리피터 결함에 더하여 검출되어야 할 때에, 리피터 결함 검출은 본질적으로 몇몇 캐비어트(caveat)들을 이용한 동일한 과제일 수 있다. 특히, 리피터 결함들에 대해 웨이퍼들에 프린트된 단일 다이 레티클의 검사가 수행될 수 없다. 추가로, 랜덤 결함 검출에 대해 수행되는 것 이상으로 이용가능한 고유 신호 또는 이미지 프로세싱 개선이 존재하지 않는다는 점에서, 리피터 결함 검출은 본질적으로 소프트웨어 적층 과제일 수 있다.
ADI 검사는 이전 층 잡음을 갖는 이슈들 때문에 전통적으로 다소 하향-채택(under-adopted) 되어 왔다. 예컨대, 이전 층 잡음은 트랜치 에치, ADI 층들, 및 화학-기계적 연마(CMP) 이후의 좁은 트렌치 절연(STI; shallow trench isolation) 층 검사와 같은 층들에 대한 검사에서 상대적으로 중요할 수 있다. 그럼에도 불구하고, 리소그래피 단계들에서 보다 많은 이슈들이 일어남에 따라, 그러한 이슈들을 모니터링하고 제어하는데에 ADI 검사가 보다 많이 이용되고 있다. ADI 레지스트 층 검사의 이용은 또한 다른 장치들의 제조에 대해서 상이하다. 예컨대, ADI 레지스트 층 BF 검사는 메모리 제조와 비교하여 로직 제조에 대해 수행되는 더 큰 비율의 검사들을 규명할 수 있다.
ADI 층 검사에 대한 다수의 현재 이용가능한 광학 접근법들이 존재한다. 예컨대, 풀 루프(상기 웨이퍼들 상에서 장치들의 완전한 구성) 및 짧은 루프(상기 웨이퍼들 상에서의 장치들의 부분적인 구성) 모두에 대해 레지스트 층들의 ADI 검사에 대해 이용될 수 있는 다수의 다양한 스펙트럼 모드들이 존재한다. 그러한 스펙트럼 모드들의 예들은 딥밴드(deepband), 블루밴드(blueband), GHI 라인, G 라인, 및 브로드밴드(BB) 딥 자외선(DUV)을 포함한다. 일반적으로, 레지스트 층 ADI 검사들의 대부분(약 90%)은 상기 DUV 스펙트럼 영역에서 수행된다. 추가로, 에지 콘트라스트(EC, edge contrast) 모드(조명 및 이미징 경로들에서 상보적 어퍼쳐들이 이용됨) 및 BF 모드와 같은 상이한 이미징 모드들이 상기 풀 루프 및 짧은 루프에 대한 레지스트 층 ADI 검사들에 대해서 이용된다. 일반적으로, 많은 상이한 레지스트 층 ADI 검사들에 대해 EC 모드 및 BF 모드가 이용됨에도 불구하고, EC 모드가 BF 모드보다 더 자주 이용될 수 있다.
상기한 BB DUV EC 모드(그리고, 가능할 때에, KLA-Tencor로부터 상업적으로 이용가능한 도구들의 Puma 시리즈들과 같은 UV 암시야(DF; dark field) 검사 시스템들)에 대한 더 큰 의존성은 적어도 부분적으로 이전 층 잡음 이슈들 때문이다. 하지만, 이전 층 잡음(이전 층 결함 때문에)은 쉽게 제거될 수 있고(예컨대, 알고리즘들을 이용하여), EC mode 검사를 대신하여 BF BB DUV 검사가 이용될 수 있다. 이전 층 잡음을 제거하기 위해 이용될 수 있는 방법들의 예들은, 공동 소유되는 2006년 9월 19일자 Ramani 등에 의한 미국출원번호 제11/533,079호에 기술되고, 상기 출원은 본 명세서에 마치 전체로 기술된 바와 같이 참조에 의해서 편입된다. 본 명세서에 기술된 실시예들은 이러한 특허 출원에 기술된 임의의 방법(들)의 임의의 단계(들)를 포함할 수 있다. BF BB DUV 모드 검사를 이용하는 것은 컬러 잡음 고려들이 또한 안정되어야 하는 것에도 불구하고 분해능에 관해서 검사에 협력할 수 있다. 추가로, 본 명세서에 추가로 기술된 바와 같이, 본 명세서에 기술된 실시예들은 종래의 랜덤 결함 검사보다 더 느린 크기의 정도로 시간들을 스캐닝하게 할 수 있다(예컨대, 단지 일부 다이 상에서 포커싱되는 특정화된 리피터 결함 검출을 이용하여). 절반의 속도 또는 ¼의 속도로 스캐닝하는 것은 아주 적절할 수 있지만, 조사량(dosage)의 관점에서 보통의 주의가 또한 고려되어야 한다(예컨대, 상기 조사량이 상기 웨이퍼 또는 웨이퍼 상에 형성되는 물질들에 손상을 야기할 수 있는 레벨들에 접근하지 않도록).
랜덤 결함 검출을 위해 이용되는 광학 신호는 리피터 결함 검출을 강화하기 위해서 또한 변경될 수 있다. 예컨대, 서브-파장 특징들의 검사를 위해 광학 선택이 좀더 정교해지고 있다. 하지만, 랜덤 결함 검출에 대한 종래의 접근법들에 대해 이용되는 광학들과 상이한 광학은 결점들이 형성된 웨이퍼들을 이용하여 결정될 수 있음에도 불구하고 필수적이지 않을 수 있다. 하지만, 세기(에너지), 분해능(예컨대, 개구수(NA; numerical aperture)), 콘트라스트(예컨대, 변조 전달 함수(MTF; modulation transfer function)), 모드들(어퍼쳐들, EC, 등) 및 스펙트럼과 같은 기본 팩터들의 일부가 그들이 리피터 결함들의 검출에 영향을 미치는지를 결정하기 위해 조사될 수 있다.
에너지 팩터를 고려할 때에, 리피터 결함 검출에 이익이 될 수 있는 하나의 팩터는 표준 기준 다이 검사에 있고, 사용자는 전체 웨이퍼를 검사하는 것을 실제로 원하지 않는다. 사실상, 5개의 다이들을 검사하는 것이 충분하고 검사에 대해 허용된 시간은 약 1시간이며, 5개의 다이들이 5분 이하로 검사될 수 있다고 가정한다(본 명세서에 추가로 기술되는 바와 같이 좋은 이유들에 대해서). 그러므로, 검사에 대해 허용된 나머지의 시간은 많은 시각적인 기회들을 제시한다(어쩌면 또한 계산적으로). 예컨대, 보다 많은 광이 수집되고 검출되는 것을 가능하게 하기 위해서 스캐닝이 더 느리게 수행될 수 있다. 하지만, 더 느린 속도의 스캐닝은 광의 증가된 양에 웨이퍼를 노출시키는 것을 야기하고, 상기 웨이퍼 상의 물질들은 얼마나 많은 광에 상기 웨이퍼가 상기 물질들에서의 부작용 없이 노출될 수 있는지에 영향을 미칠 수 있다. 추가로, 상대적으로 높은 NA EC 모드에서 스캐닝은 좀 더 느리게 수행될 수 있고, 이는 일반적으로 "광 결핍(light starved)"이다. 게다가, 멀티-패스(multi-pass) 검사(조합된 BF 및 EC와 같은)는 나머지의 허용된 시간에서 수행될 수 있다. 그러므로, 이러한 팩터들은 그 상황에 영향을 주는 시스템 기반 해결책을 결정하기 위해 조사될 수 있다.
일부의 실시예들에서, 상기 검사 시스템의 출력은 전자 빔 검사 시스템을 이용하여 획득된 출력을 포함할 수 있다. 상기 전자 빔 검사 시스템은 당업계에서의 기지의 임의의 적절한 전자 빔 검사 시스템을 포함할 수 있다. 전자 빔 검사에서, 더 낮은 빔 전류들이 이용될 수 있고, 이는 더 낮은 스루풋에도 불구하고 향상된 분해능을 가능하게 한다. 대안적으로, 픽셀 평균, 라인 평균, 또는 프레임 평균과 같은 다양한 평균 기술들이 더 낮은 유효 스캔 속도들에서 잡음을 줄이는데에 이용될 수 있다.
최상의 콘트라스트를 제공하는 모드에서 또는 최상의 해상도에서 리피터 결함들에 대한 검사가 수행될 수 있음에 반해, 많은 웨이퍼 검사 시스템들은 결함들을 해결함이 없이 결함들을 검출하도록 설계된다. 하지만, 당해 결함(들)이 콘트라스트를 생성하는데에 충분한 명목적 배경을 교란할 수 있도록, 분해능은 일반적으로 충분해야 한다. 그러므로, 오정렬(misalignment)에 기인한 증가된 에일리어싱 에러들 또는 감소된 콘트라스트를 필수적으로 희생하지 않고 가능한 한 높은 분해능이 바람직할 수 있다. 예컨대, 광학의 NA를 증가시키는 것은 상기 검사 시스템의 분해능을 증가시킬 수 있지만, 또한 오정렬 에러들이 디지털화 이전에 보정되지 않는 한 상기 오정렬 에러들을 또한 증가시킨다. 추가로, 랜덤 결함 검출과는 달리, 표준 기준 다이 검사와 같은 어플리케이션에 대해 오정렬 영향들이 고려되어야 한다. 일반적으로, 정렬 포지셔닝은 잠재적으로 오프된 몇몇 픽셀들일 것이고, 개략적(coarse) 에러들에 대한 보정 이후에 최악의 경우 오정렬 에러들은 여전히 픽셀의 약 절반일 수 있으며, 최대의 에일리어싱 에러를 생성할 수 있다. 이러한 효과를 광학적으로 완화하는 하나의 방법은 점 확산 함수(PSF; point spread function) 당 보다 많은 스팟들(예컨대, 대략 적어도 3.5 픽셀들) 또는 보다 많은 빈 확대(empty magnification)를 이용하는 것이다. 이러한 상황에서, 에지들 상에서의 보다 점진적인 상승 시간이 이용될 수 있다(예컨대, 광학 저역 통과 필터 또는 더 낮은 유효 공간 주파수 대역폭에 의해서)
이러한 방식에서, 본 명세서에 기술된 표준 기준 다이 기반 검사는 오버샘플링을 이용해 수행될 수 있다. 그러한 검사를 위해, 오버샘플링은 스팟 당 2 샘플들의 나이퀴스트 기준(Nyquist criteria)보다 더 큰 임의의 샘플링일 수 있다. 오버샘플링은 일반적으로 회피되는데, 그 이유는 그것이 검사 프로세스의 스루풋을 감소시키기 때문이다. 하지만, 본 명세서에서 추가로 기술되는 바로서, 표준 기준 다이 기반 검사에 대해서 웨이퍼의 일 부부만이 검사될 수 있고, 그에 따라 오버샘플링에 의해 야기되는 스루풋 우려들을 감소시킬 수 있다. 하지만, 샘플링이 에일리어싱 효과들을 생성하지 않도록 과도한 오버샘플링이 바람직하게 회피된다.
콘트라스트를 향상시키는 다른 방법은, 웨이퍼들 상의 물질들에 의해 야기될 수 있는 박막 영향들 또는 위상 콘트라스트를 활용하는 것이다. 위상 콘트라스트 향상은 보통 증가된 방해 결함 검출과 같은 상당한 부작용들과 함께 오는데, 그 이유는 막 두께에서의 임의의 변화가 세기에서의 변화들을 야기할 수 있기 때문이다. 리피터 결함 검출 어플리케이션들을 위해 위상 콘트라스트 향상을 이용하는 것에 대한 큰 이슈들은, 기준 및 테스트 웨이퍼 사이에서 가질 위상 차이들의 타입이다. 하지만, 상이한 웨이퍼들 상에서의 동일한 다이에 대한 컬러(프로세스) 잡음 레벨은 대략 동일할 수 있다. 추가로, 일반적으로, 상기 웨이퍼의 중앙 쪽으로 위치된 다이들은 프로세스 잡음의 관점에서 상대적으로 잘 제어되는 경향이 있다. 그러므로, 표준 기준 다이 검사에 대한 기본 방법이 상대적으로 간단할 수 있다. 특히, 테스트 다이뿐만 아니라 상기 표준 기준 다이를 모두 생성하는데에 중앙 다이 행(예컨대, 중앙 다이 행의 5개 내지 8개의 다이들)이 이용될 수 있다. 항상 잔여 컬러 변화들이 존재할 것이고, 이러한 컬러 변화들은 알고리즘적으로 취급될 것이다. 이러한 방식으로, 컬러 변화의 다양한 영향들이 가능한 단순화될 수 있다.
협대역(NB; narrow band) 이미징 모드 및 물질의 단일층 스택을 고려하면, 물질의 단일층은 ¼파 플레이트로서 유효하게 역할할 것이다. 그러므로, 도 1(a)에 도시된 바와 같이 종래의 근처 ¼파 에지 상의 물질의 단일층의 위상 콘트라스트 효과들은 BF 이미징에 대해 도 1에 도시된 응답들을 생성할 수 있다. 특히, 도 1(b)는 180도 위상 지연을 갖는 도 1(d)에 도시된 바와 같은 전체 콘트라스트 반전에 대해 90도 위상 지연을 갖는 도 1(c)에 도시된 바와 같은 임펄스형 함수 및 45도 위상 지연을 갖는 도 1(e)에 도시된 바와 같이 콘트라스트가 감소되는 무엇인가가 될 수 있는 단순한 계단형 함수(0도 위상 지연을 가짐)를 도시한다.
동일한 근처 구형파 에지가 도 2(a)에 도시되고, 도 2(b), 2(c), 및 2(d)는 NB EC 모드 이미징에서의 근처 구형파 에지 상에서의 물질의 단일층의 위상 콘트라스트 효과들을 도시한다. 특히, 도 2(b)는 개략적으로 180도의 위상 지연을 갖는 도 2(d)에 도시된 바와 같은 함수에 대해 개략적으로 90도의 위상 지연을 갖는 도 2(c)에 도시되는 바와 같은 함수가 될 수 있는 단순한 계단형 함수(0도의 위상 지연을 가짐)를 도시한다. EC 모드는 근처 구형파의 에지로부터만 분산을 유효하게 생성하고, 이는 BF 이미지의 광학 제1 유도처럼 유효하게 동작한다. 도 2에 도시된 바와 같이, 그러므로, EC 모드는 막 두께 변화에 응답하여 상기 에지들에 대응하는 출력의 진폭을 변화시킬 수 있다. NB EC 모드는 컬러 및 이전 층 신호들에 완전하게 영향을 받지 않는 것이 아닐 수 있는데, 왜냐하면 EC 이미징 어퍼쳐를 통과하도록 허용되는 이전 층들로부터 몇몇 배경 분산이 존재할 수 있기 때문이다. 추가로, 막 두께 변화들은 아마도 상기 에지들로부터 상이하게 분산할 것이고, 그러므로 에지 진폭들은 변화할 수 있다. 위상 콘트라스트 이슈들은 전방 말단층들과 반대로서 후방 말단층들에서 보다 많이 보인다(예컨대, 더 큰 막 스택 불안정도 때문에).
이러한 효과들을 완화하는 기본적 접근법들은 스펙트럼을 확장하고 EC 모드를 이용하는 것일 수 있다. 두 경우들에서, 분해능(MTF)이 감소될 수 있다. 하지만, 본 명세서에 추가로 기술되는 바로서, 웨이퍼-대-웨이퍼 정렬 이슈들이 또한 다루어져야 한다. 액티브 RTA 시스템을 이용하지 않고 상기 웨이퍼-대-웨이퍼 정렬 이슈들을 완화하기 위해서, 스팟 당 보다 많은 샘플들(또는 주어진 픽셀 크기에 대해 상대적으로 낮은 NA)이 이용될 수 있다. 이러한 방식으로, 정렬 이슈들을 극복하기 위해서 오버샘플링이 이용될 수 있다.
BF에 대해서 현재 이용되는 리피터 결함 검출 접근법들은 기본적으로 A-B, B-C 비교 기술들을 이용한다. 일반적인 경우에, A 및 C가 기준 다이들로서 이용되고, B는 결함들이 검출되는 테스트 또는 후보 다이로서 이용된다. 이러한 타입의 검사 접근법은, 비교의 양 쌍들에 대해 특정 임계치 이상의 명백한 이벤트들로서 보여지는 단일의 고립된 신호들을 포착하는 능력을 최대화하도록 시도한다. 이러한 비교의 보다 정교한 버전이 MDAT 알고리즘을 이용하여 수행될 수 있고, 이는 비교에 대한 정확한 기준을 생성하도록 시도한다. 이러한 접근법에서, 상기 기준 다이들 A 및 C는 실제로 다이 A 또는 C 각각 주변에 중앙에 위치한 약 5개의 다이들 내지 약 8개의 다이들의 세트의 평균 또는 중간값들이다(실제 구현은 변화할 수 있음에도 불구하고). BF 시스템들은 서브-픽셀 정확도를 전달하는 RTA 서브시스템을 갖는 경향이 있기 때문에, 0.1 픽셀 미만의 다이-대-다이 에일리어싱 에러들이 최소화되고, 상대적으로 큰 샘플 스팟들을 이용하여 픽셀들에 대해서 우수한 성능이 성취될 수 있다. 보다 정교한 보간(interpolation) 방식을 이용하여 이러한 에러들을 최소화하려(예컨대, 약 0.01 픽셀 미만으로) 추가로 시도하는 정교한 이미지 정렬 단계가 또한 존재한다. 상기 임계치 자체의 선택은 다양한 방법들로 수행될 수 있지만, 대부분은 주변의 상황에 기초하는 적응적 스레스홀딩(adaptive thresholding)의 형태를 이용한다. 그러한 접근법들은 전체적인 컬러 변화를 감소시키는데 있어서 꽤 성공적이다. 하지만, 제1의 장소에서 영역들이 얼마나 정확하게 라벨링되었느냐의 문제가 남아있다(예컨대, 구획 에러, 집단 크기 등 때문에). 이러한 관점에서, 상기 MDAT 알고리즘은 꽤 정교하다. 그러한 것으로서, 상기 MDAT 알고리즘은 우선 선택되는 알고리즘일 수 있다.
리피터 결함들을 검출하는 것의 기본적인 제한은, 단일 다이 레티클(SDR; single die reticle)에서 리피터 결함이 패턴들과 구별될 수 없다는 것이다. SDR에서의 약한 리피터의 경우에, 실질적으로 약한 리피터만이 검출될 수 있다. 사실상, 상기 약한 리피터들은 너무 약해서(그것이 오직 랜덤하게 발생할 수 있다는 점에서) 그것은 랜덤 결함으로서 유효하게 검출될 수 있다. 그러한 결함들은 전체 웨이퍼에 걸쳐서 다수의 다이들에 대해 출력을 적층시킴으로써 잠재적인 리피터인 것으로 결정될 수 있다. 이러한 상황은, 레티클이 자연 기준(예컨대, 다수의 다이들 중 하나)을 포함하는 다수의 다이 레티클(MDR; multiple die reticle) 유발 결함들의 경우에 확실히 더 우수하다. 하지만, 다시 MDR에 대한 리피터 결함들의 검출이 실제로 최적이지 않다. 예컨대, 우선 상기 신호가 상대적으로 낮으면, 상기 신호를 증폭하기 위한 용이한 방법이 존재하지 않는다. 분명히, 이전 층 결함 잡음은 이러한 접근법을 복잡하게 한다.
상기한 고려들에 기초하여, 리피터 결함 검출을 위한 표준 기준 다이 비교에 대한 알고리즘이 생성될 수 있다. 특히, 본 명세서에서 기술되는 실시예들은 리피터 (조직적인) 결함 검출을 가능하게 할 뿐만 아니라 리피터 결함 검출을 최적화할 수 있는 포괄적인 검사 방법을 제공한다. 본 명세서에서 기술되는 실시예들은, 어레이 모드들 및 랜덤 모드들과 같은 웨이퍼 검사에서의 모드 또는 다른 "패스(pass)"로서 이용될 수 있다. 예컨대, 본 명세서에 기술된 실시예들은 어쩌면 본 명세서에서 추가로 기술하는 바와 같은 구성에서의 적절한 변화들과 함께 현존하는 웨이퍼 검사 시스템 또는 다른 현존하는 시스템들을 이용하여 구현될 수 있다. 이러한 방식으로, 본 명세서에서 기술되는 실시예들은 표준 기준 다이 기반 검사를 채택하여 가능하게 하고, 이는 조직적인 결함들을 검출하는데에 가장 효율적인 방법이다.
일 실시예는 다이 대 표준 기준 다이 검사에서의 이용을 위한 표준 기준 다이를 생성하기 위한 컴퓨터-구현 방법에 관한 것이다. 일 실시예에서, 상기 다이 대 표준 기준 다이 검사는 리피터 결함들에 대한 검사를 포함하고, 이는 본 명세서에 추가로 기술되는 바와 같이 수행될 수 있다. 상기 리피터 결함들은 본 명세서에 기술된 임의의 리피터 결함들을 포함할 수 있다.
본 방법은 웨이퍼 상에 위치한 하나 이상의 다이들 및 상기 웨이퍼 상에 중앙에 위치한 다이에 대한 검사 시스템의 출력을 획득하는 단계를 포함한다. 일부의 실시예들에서, 하나 이상의 다이들이 상기 중앙에 위치한 다이에 인접하여 위치한다. 그러한 다이들의 일 실시예가 도 3에 도시된다. 예컨대, 도 3에 도시된 바와 같이, 웨이퍼(10)는 중앙에 위치한 다이(14) 및 상기 중앙에 위치한 다이에 인접하여 위치하는 다이들(16)을 포함하는, 웨이퍼 상에서 형성되는 다이들의 어레이(12)를 포함한다. 도 3에 도시된 바와 같이, 중앙에 위치한 다이는 정확하게 상기 웨이퍼의 중앙에 위치하지 않는다. 즉, 중앙에 위치한 다이의 중앙과 상기 웨이퍼의 중앙이 일치하지 않는다. 대신에, 이러한 실시예에서, 상기 중앙에 위치한 다이는 상기 웨이퍼의 중앙의 부근에 위치한다(예컨대, 상기 중앙에 위치한 다이의 일 에지가 상기 웨이퍼의 중앙에 대략 위치한다). 상기 중앙에 위치한 다이는, 특히 웨이퍼의 중앙이 어레이에서의 다이들 사이의 공간과 일치하는 도 3에 도시된 것과 같은 예들에서의 웨이퍼의 중앙 부근에 위치한 다이들 중 임의의 것으로 선택될 수 있다. 하지만, 상기 중앙에 위치한 다이는 상기 웨이퍼의 중앙에 포지셔닝될 수도 있다.
상기 출력을 획득하는 단계는 예컨대 광을 이용해 상기 웨이퍼를 스캐닝하고 상기 웨이퍼로부터 반사되거나 분산된 광을 검출함으로써, 상기 웨이퍼 상의 하나 이상의 다이들 및 상기 웨이퍼 상에 중앙에 위치한 다이에 대한 출력을 획득하기 위해서 검사 시스템을 이용하는 단계를 포함할 수 있다. 이러한 방식으로, 상기 웨이퍼 상에 위치한 하나 이상의 다이들 및 중앙에 위치한 다이에 대한 출력을 획득하는 단계는 그러한 다이들을 포함하는 상기 웨이퍼의 일부의 검사를 수행하는 단계와 유사할 수 있다. 상기 검사 시스템은 본 명세서에 기술된 바와 같이 구성될 수 있다. 대안적으로, 상기 출력을 획득하는 단계는 상기 출력을 생성하는데에 이용되는 검사 시스템으로부터 출력을 획득하는 단계를 포함할 수 있다. 예컨대, 상기 방법은 상기 검사 시스템이 상기 출력을 저장하였던 저장 매체로부터 상기 출력을 획득하는 단계를 포함할 수 있다. 상기 저장 매체는 상기 검사 시스템의 저장 매체, 팹 데이터베이스와 같은 저장 매체, 본 명세서에 기술되는 바와 같이 구성되는 저장 매체, 상기 검사 시스템에 결합되는 임의의 다른 저장 매체, 또는 당업계에서의 기지의 임의의 다른 적절한 저장 매체를 포함할 수 있다. 이러한 방식으로, 상기 웨이퍼 상의 하나 이상의 다이들 및 상기 중앙에 위치한 다이에 대한 출력을 획득하는 단계는 상기 웨이퍼를 검사하는 단계를 포함하지 않을 수 있다. 추가로, 상기 웨이퍼 상에 위치한 하나 이상의 다이들 및 상기 중앙에 위치한 다이에 대한 출력이 상기 표준 기준 다이를 생성하는데에만 이용될 수 있는 한, 상기 검사 시스템의 출력이 상기 전체 웨이퍼에 대해서 획득될 수 있다.
상기한 획득하는 단계의 가장 간단한 구현은 웨이퍼의 중앙 다이 행을 검사하여 상기 중앙 다이(또는 둘) 주변에 중심에 위치한 표준 기준 다이 이미지를 생성하는 것이다. 일부의 실시예들에서, 적어도 5개의 다이들에 대해 획득되는 출력은 상기 표준 기준 다이를 생성하는데에 이용될 수 있다. MDAT를 이용하는 것은 8개의 다이들이 양호한 개수의 다이들일 수 있음을 제안한다. 하지만, 너무 많은 다이들에 대해 획득되는 출력이 상기 표준 기준 다이를 생성하는데에 이용되면, 웨이퍼에서의 컬러 변화들이 상기 표준 기준 다이에서 명백하게 될 수 있는데 왜냐하면 상기 컬러 변화들이 웨이퍼의 외부 에지의 부근에서 명백하게 되는 경향이 있기 때문이다. 일 실시예에서, 웨이퍼의 중앙에 또는 그 부근의 9개의 다이들(3개 다이 대 3개 다이 배열)에 대해 획득되는 출력이 상기 표준 기준 다이를 생성하는데에 이용될 수 있다.
상기 웨이퍼 상의 하나 이상의 다이들 및 중앙에 위치한 다이에 대해 획득되는 상기 출력은 당업계에서의 기지의 임의의 적절한 포맷의 출력을 포함할 수 있다. 일 실시예에서, 상기 표준 기준 다이는 이미지를 포함한다. 이러한 방식으로, 본 명세서에 기술되는 상기 방법은 표준 기준 다이 이미지를 생성하는 단계를 포함할 수 있다. 그러한 일 실시예에서, 웨이퍼 상의 하나 이상의 다이들 및 중앙에 위치한 다이에 대한 출력을 생성했던 검사 시스템은 이미지 기반의 검사 시스템으로서 구성될 수 있다.
상기 방법은 또한 상기 출력의 다이 위치들 내부에 기초하여 상기 하나 이상의 다이들 및 상기 중앙에 위치한 다이에 대한 출력을 결합하는 단계를 포함한다. 즉, 상기 하나 이상의 다이들 및 상기 중앙에 위치하는 다이에 대해 내부 다이 위치에서 동시에 획득되는 출력이 결합될 수 있다. 다수의 다이들에 대해 내부 다이 위치에서 동시에 획득되는 출력은 상기 다수의 다이들에 대해 획득되는 출력을 서로 정렬시킴으로써 식별될 수 있다. 추가로, 다수의 다이들에 대해 내부 다이 위치에서 동시에 획득되는 출력은 상기 출력의 내부 다이 위치를 결정함으로써 식별될 수 있다(예컨대, 몇몇 공통 기준 또는 좌표 시스템을 이용하여). 그러한 정렬 또는 내부 다이 위치를 결정하는 것은 본 명세서에서 추가로 기술되는 바와 같이 수행될 수 있다. 이러한 방식으로, 함께 스캐닝되지 않는 다이들이 평균되거나 또는 그렇지않으면 결합되면, 몇몇 상당한 구현 곤란함들이 존재할 수 있다. 고려할 하나의 흥미로운 아티팩트는 본 명세서에 기술된 실시예들에 대해 RTA를 이용할지 여부이다. 정렬은 본 명세서에서 추가로 기술될 것이다. 지금은 RTA가 이용되는 것으로 가정될 수 있다.
일 실시예에서, 상기 출력은 그레이 레벨들을 포함한다. 그러한 일 실시예에서, 상기 결합하는 단계는 상기 내부 다이 위치들에 걸쳐 그레이 레벨들의 평균값을 결정하는 단계를 포함한다. 상기 평균값들은 임의의 적절한 알고리즘 및/또는 방법을 이용하여 결정될 수 있다. 다른 그러한 실시예에서, 상기 결합하는 단계는 상기 내부 다이 위치들에 걸쳐 그레이 레벨들의 중간값을 결정하는 단계를 포함한다. 상기 중간값은 임의의 적절한 알고리즘 및/또는 방법을 이용하여 결정될 수 있다. 추가의 그러한 실시예에서, 상기 결합하는 단계는 상기 내부 다이 위치들에 걸쳐 그레이 레벨들의 중간값 및 평균값을 결정하는 단계를 포함한다. 상기 평균값들 및 중간값들은 두 개의 서로 다른 표준 기준 다이들을 생성하는데에 이용될 수 있다. 예컨대, 그러한 일 실시예에서, 상기 표준 기준 다이는 상기 내부 다이 위치들에 걸친 상기 평균값을 포함하고, 상기 방법은 상기 내부 다이 위치들에 걸쳐 상기 중간값의 추가적인 표준 기준 다이를 생성하는 단계를 포함한다.
일부의 실시예들에서, 상기 결합하는 단계는 상기 내부 다이 위치들에 걸쳐 특성에서의 잡음 및 상기 내부 다이 위치들에 걸쳐 출력의 특성을 결정하는 단계를 포함한다. 이러한 실시예들에서, 상기 출력의 특성은 본 명세서에 기술된 특성들(예컨대, 평균, 중간, 등) 중 임의의 것을 포함할 수 있다. 추가로, 상기 특성에서의 잡음은 본 명세서에서 추가로 기술되는 바와 같이 결정될 수 있다.
상기 방법은 상기 결합하는 단계의 결과들에 기초하여 상기 표준 기준 다이를 생성하는 단계를 더 포함한다. 예컨대, 상기 표준 기준 다이를 생성하는 단계는 상기 표준 기준 다이의 하나 이상의 속성들을 저장하는 단계를 포함할 수 있다. 저장할 가장 논리적인(가장 선형적으로 동작함) 값은 그레이 레벨의 평균값이다. 다음 후보는 그레이 레벨의 중간이고, 이는 평균값보다 더 날카로움을 유지할 것이지만 몇몇 흥미있는 비선형 아티팩트들을 또한 생성할 수 있다. MDAT가 성공적으로 중간값을 이용한다는 사실은 상기 중간값이 본 명세서에 기술하는 실시예들에 대한 선두 후보이어야 한다는 것을 암시한다.
주변들에서의 잡음에 대한 정보가 또한 저장될 수 있다. 평균값에 대한 잡음 속성의 논리적 페어링은 중간값 아마도 범위를 갖는 표준 편차일 것이다. 상기 표준 기준 다이에서의 잡음에 대한 추가적인 속성을 저장하는 것은 추가적인 8개의 비트들을 필요로 할 것이다. 특히 범위가 실질적으로 정렬 에러들로 잡음이 섞일 수 있기 때문에, 이웃을 평활화하는 것(smoothing)이 본 명세서에서 기술된 실시예들에서 이용될 수 있다. 다른 한편, 상기 표준 기준 다이를 생성하는데에 이용되는 출력이 특히 잡음이 있는 영역에 있는지 여부를 아는 것이 유용할 수 있다. 일부의 실시예들에서, 잡음에 대한 추가적인 속성은 결함 검출에 대해 이용되는 임계치들 상의 제어로서 이용될 수 있다. 추가로, 또는 대안적으로, 잡음에 대한 추가적인 속성은 결함 검출에 대한 비교 벡터로서 이용될 수 있다.
일 실시예에서, 상기 표준 기준 다이는 상기 하나 이상의 다이들 및 상기 중앙에 위치한 다이에서의 랜덤 결함들로부터 실질적으로 어떠한 잡음도 포함하지 않는다. 예컨대, 일부의 실시예들에서, 상기 방법은 상기 표준 기준 다이에서의 랜덤 결함들을 감소시키는 단계 및/또는 상기 표준 기준 다이에서 민감한(susceptible) 픽셀들을 식별하는 단계를 포함할 수 있다. 예컨대, 상기 방법은 상기 웨이퍼가 얼마나 양호한지(예컨대, 상기 웨이퍼가 얼마나 결함이 없는지)를 결정하는 단계를 포함할 수 있다. 상기 웨이퍼가 기준으로서 얼마나 양호한지를 결정하는 단계는, 상기 중앙에 위치한 다이 및 상기 하나 이상의 다이들에 대해 획득되는 출력에 기초하여 이러한 다이들의 결함 검토를 수행하는 단계를 포함할 수 있다. 추가로, 상기 표준 기준 다이를 생성하기 위해 상기한 바와 같이 두 개 이상의 다이들의 출력을 결합함으로써, 상기 표준 기준 다이에서의 잡음이 유효하게 감소되는 한편(예컨대, 평균함으로써) 비-잡음(non-noise) 신호들은 유효하게 증폭된다(예컨대, 평균함으로써). 예컨대, N 개의 다이들로부터의 데이터를 평균하는 프로세스는 상기 신호를 v n만큼 증폭할 것이다. 중간값은 그것이 랜덤 결함 또는 이전 층의 임의의 자취를 가장 잘 제거할 것 같다는 점에서 더 나은 속성이다. 본 명세서에 기술된 실시예들은 또한 픽셀들에서의 잡음의 척도(measure)로서 상기 중간값 및 평균값 간의 차이를 저장하는 단계를 포함할 수 있다. 하지만, 상기한 바와 같이, 상기 방법은 또한 16 비트 값(유효하게 2개의 이미지들)을 저장하는 단계를 포함할 수 있고: 하나는 평균값을 갖고 다른 것은 중간값을 갖는다. 이러한 단계들은 실제 웨이퍼들을 이용하여 어느 정도의 시뮬레이션 및 실험으로 수행될 수 있다.
일 실시예에서, 상기 방법은 상기 표준 기준 다이를 디자인 데이터 공간에 정렬시키는 단계를 포함한다. 그러한 일 실시예에서, 상기 생성하는 단계는 상기 디자인 데이터 공간에서의 미리 결정된 영역들에 대응하는 상기 디자인 데이터 공간에서의 위치들에만 대한 표준 기준 다이를 생성하는 단계를 포함한다. 상기 미리 결정된 영역들은 예컨대 상기 디자인의 중요 영역들에 대응하는 상기 디자인 데이터에서의 영역들, 상기 디자인의 관심 영역들(또는 사용자가 "관심을 갖는" 영역들), 상기 디자인에서의 핫 스팟들 등을 포함할 수 있다. 상기 미리 결정된 영역들은 본 명세서에서 추가로 기술되는 바와 같이 임의의 적절한 방식으로 결정될 수 있다. 추가로, 상기 미리 결정된 영역들은 본 명세서에서 기술된 실시예들에 의해서 또는 다른 시스템 또는 방법에 의해서 결정될 수 있다.
이러한 방식으로, 일부의 실시예들에서, 시스템들 접근법의 시스템이 상기 표준 기준 다이를 생성하기 위해 이용될 수 있다. 그러한 일 실시예에서, 레티클 검사 시스템들을 이용하여 기지의 "양호한" 표준 기준 다이가 생성될 수 있다. 다른 예에서, 출력 획득 및/또는 상기 표준 기준 다이를 생성하는데에 이용되는 획득된 출력의 프로세싱은 핫 스팟 생성된 샘플 계획 상에서 이루어질 수 있다. 상기 핫 스팟 생성된 샘플 계획은 DesignScan, DBB 등에 포함된 다수의 방식들로 생성될 수 있다. 예컨대, 컨텍스트 기반 검사(CBI; context based inspection) 방법들은, 중요한 디자인 데이터가 프린트된 웨이퍼의 부분들 및 중요하지 않은 디자인 데이터가 프린트된 웨이퍼의 부분들이 아닌 부분을 검사하기 위해서, 일반적으로 디자인 데이터에 대한 정보를 이용한다. 즉, CBI는 타겟팅된 또는 "스마트한" 검사를 수행하기 위해서 상기 디자인 데이터의 컨텍스트를 이용할 수 있다. 그러한 일 실시예에서, 상기 디자인 데이터의 오직 상대적으로 민감한 영역들에서의 결함들을 검출하기 위해 디자인 데이터가 검사 동안에 이용될 수 있다. 예컨대, 이미지와 같은 웨이퍼의 검사의 출력이 저장될 수 있고, 상기 설계의 민감 영역들에 대응하는 상기 이미지의 일부들만이 상기 이미지의 일부들에서의 결함들을 검출하기 위해서 비교될 수 있다. 그러한 것으로서, 상기 표준 기준 다이는 검사될 다이의 일부분들만을 포함하도록 생성될 수 있다. 예컨대, 상기 디자인 데이터는 테스트 다이의 어떠한 부분들이 상기 웨이퍼의 CBI에서 검사될 것인지를 결정하는데에 이용될 수 있고, 상기 표준 기준 다이는 검사될 테스트 다이의 일부분들에 대응하는 상기 다이의 일부분들만을 포함하도록 생성될 수 있다. 그러므로, 본 명세서에서 기술되는 실시예들에서 생성되는 상기 표준 기준 다이는 상대적으로 산재된(sparse) 표준 기준 다이일 수 있고, 이는 상기 표준 기준 다이에 대한 저장 요구조건들을 바람직하게 감소시킬 수 있다. 이러한 방식으로, 하나의 구현에서, 표준 기준 다이 검사는 이미지 및 컨텍스트 기반 검사를 포함할 수 있다. 추가로, 표준 기반 다이 검사 및 이미지 및 켄텍스트 기반 검사는 동시에 또는 순차적으로 수행될 수 있다.
다른 실시예는 웨이퍼를 검사하기 위한 방법에 관한 것이다. 상기 방법은 상기 웨이퍼에 대한 검사 시스템의 출력을 획득하는 단계를 포함한다. 상기 획득하는 단계는 본 명세서에 기술된 바와 같이 수행될 수 있다. 상기 방법은 또한 상기 출력의 내부 다이 위치들에 기초하여 상기 웨이퍼 상에 위치한 하나 이상의 다이들 및 상기 웨이퍼 상에 중앙에 위치한 다이에 대한 출력을 결합하는 단계를 포함한다. 상기 결합하는 단계는 본 명세서에 기술된 바와 같이 수행될 수 있다. 추가로, 상기 방법은 상기 결합하는 단계의 결과들에 기초하여 표준 기준 다이를 생성하는 단계를 포함하고, 이는 본 명세서에 기술된 바와 같이 수행될 수 있다. 상기 표준 기준 다이는 본 명세서에 기술된 바와 같이 구성될 수 있다. 예컨대, 일 실시예에서, 상기 표준 기준 다이는 상기 하나 이상의 다이들 및 상기 중앙에 위치한 다이에서의 랜덤 결함들로부터 실질적으로 어떠한 잡음도 포함하지 않는다. 다른 예에서, 일부의 실시예들에서, 상기 방법은 상기 표준 기준 다이를 디자인 데이터 공간에 정렬시키는 단계를 포함하고, 상기 생성하는 단계는 상기 디자인 데이터 공간에서의 미리 결정된 영역들에 대응하는 상기 디자인 데이터 공간에서의 위치들에 대해서만 상기 표준 기준 다이를 생성하는 단계를 포함한다. 이러한 단계들은 본 명세서에 추가로 기술되는 바와 같이 수행될 수 있다.
상기 방법은 하나 이상의 테스트 다이들에서의 결함들을 검출하기 위해서 상기 표준 기준 다이를 상기 웨이퍼 상의 하나 이상의 테스트 다이들에 대한 출력과 비교하는 단계를 더 포함한다. 이러한 방식으로, 상기 방법은 웨이퍼에 대한 상기 표준 기준 다이를 생성하는 단계, 및 동일한 웨이퍼에 대해 획득되는 출력을 이용하여 상기 웨이퍼 상에서의 결함들을 검출하는 단계를 포함할 수 있다. 상기 표준 기준 다이 및 하나 이상의 테스트 다이들에 대한 출력은 이미지들을 포함할 수 있다. 이러한 방식으로, 상기 표준 기준 다이 검사는 이미지 기반 검사를 포함할 수 있다. 비교하는 단계에서 검출되는 결함들은 본 명세서에 기술된 임의의 결함들을 포함할 수 있다. 예컨대, 일 실시예에서, 상기 결함들은 리피터 결함들을 포함할 수 있다. 다른 실시예에서, 상기 결함들은 상기 웨이퍼를 가공하는데에 이용되는 레티클의 제조에 의해 야기되는 조직적인 결함들을 포함한다. 추가의 실시예에서, 상기 결함들은 조직적인 결함들을 포함하고, 상기 하나 이상의 테스트 다이들은 PWQ 방법론을 이용하여 상기 웨이퍼 상에 형성된다. 그러한 몇몇 실시예들에서, 본 명세서에 기술된 바와 같이 출력이 상기 중앙에 위치한 다이의 출력과 결합되는 하나 이상의 다이들은 상기 중앙에 위치한 다이에 인접하지는 않지만 상기 웨이퍼에 걸쳐 분산된 하나 이상의 다이들을 포함한다. 공동 소유되는 Peterson 등의 미국특허번호 제6,902,855호에 기술된 PWQ 방법론을 이용하여 상기 하나 이상의 테스트 다이들이 상기 웨이퍼 상에 형성될 수 있고, 이 출원은 본 명세서에 마치 전체로 기술된 바와 같이 참조에 의해서 편입된다. 본 명세서에 기술된 실시예들은 이 특허에 기술된 임의의 방법(들)에서의 임의의 단계(들)를 포함할 수 있다.
이러한 방식으로, 본 명세서에 기술된 바와 같이 모니터링될 수 있는 조직적 결함들의 소스들은, 조직적인 결함들이 디자인 프로세스 윈도우 또는 디자인 프로세스 윈도우 마진을 결정하는데에 이용되는 PWQ 어플리케이션들에서 관심 있는 조직적 결함들뿐만 아니라 레티클 제조에 의해 야기되는 결함들을 포함한다. 특히, 본 명세서에 기술된 방법들은 제품 PWQ 어플리케이션들에 대해 바람직하게 이용될 수 있는데, 왜냐하면 그렇지 않으면 그러한 어플리케이션들에서 부족할 수 있는 적절한 표준 기준 다이들을 제공하기 위해 본 명세서에 기술된 실시예들이 이용될 수 있기 때문이다. 추가로, 본 명세서에 기술된 리피터 결함 검출 접근법들은 다수의-다이 레티클의 리피터 결함 검사와 같은 종래의 리피터 결함 검출 어플리케이션들을 향상시키는데에 이용될 수 있다. 예컨대, 그러한 어플리케이션들에서, 다수의 테스트 다이가 향상된 리피터 결함 신호들을 획득하고 랜덤 잡음을 제거하기 위해서 본 명세서에서 기술된 바와 같이 이용될 수 있다.
일 실시예에서, 상기 방법의 모든 단계들이 상기 웨이퍼의 검사의 런타임 동안에 수행될 수 있다. 이러한 방식으로, 상기 방법은 리피터 결함들의 런타임 검출을 포함할 수 있다. 예컨대, 근본적인 픽셀 비교 레벨에서, 리피터 결함들의 런타임 검출은 상기한 단계들을 포함할 수 있다. 특히, 표준 기준 다이 이미지는 런타임 동안에 생성될 수 있다. 그러면 상기 리피터 결함들을 검출하기 위해서, 상기 비교가 두 개의 이미지들 사이, 즉 상기 표준 기준 다이 이미지 및 상기 테스트 다이 이미지 사이에서 수행될 수 있다. 물론, 런타임 동안에 보다 넓은 세트의 통계치를 획득하기 위해서 보다 많은 다이들이 동일한 방식으로 검사될 수 있다. 그러한 구현에서, 상기 표준 기준 다이는 컴퓨터 시스템(예컨대, 병렬 프로세서의 각각의 리프 노드(leaf node) 상에서)에 저장(캐싱)될 수 있다. 이러한 방식으로, 본 명세서에 기술된 실시예들은 테스트 다이에 대한 출력을 절대 기준에 대한 출력과 비교함으로써 조직적인 결함들을 검출하기 위해서 보완적 검사 방법들을 이용할 수 있다.
일 실시예에서, 상기 방법은 하나 이상의 테스트 다이들의 두 개 이상에 대한 출력을 결합하여 그에 따라 두 개 이상의 테스트 다이들에 대한 결합된 출력이 하나 이상의 테스트 다이들 각각에 대한 출력보다 랜덤 결함들로부터 더 적은 잡음을 포함하게 하는 단계를 포함한다. 예컨대, 상기 테스트 다이의 "리피터" 특징은 리피터 S/N을 증폭시키고 그리고 랜덤 잡음(또는 랜덤 결함들)을 제거하기 위해 활용될 수 있다. 그러한 일 실시예에서, 상기 표준 기준 다이를 생성하기 위해 상기한 것과 유사한 방식으로 상기 테스트 다이가 테스트 웨이퍼 상에서의 다수의 다이들로부터의 출력을 이용하여 생성될 수 있다. 추가로, 일 실시예에서, 상기 방법은 하나 이상의 테스트 다이들의 두 개 이상에 대한 출력을 결합하는 단계를 포함하고, 이는 본 명세서에 기술된 바와 같이 수행될 수 있으며, 상기 비교하는 단계는 두 개 이상의 테스트 다이들에서의 결함들을 검출하기 위해서 상기 표준 기준 다이와 상기 두 개 이상의 테스트 다이들에 대한 결합된 출력을 비교하는 단계를 포함한다. 그러한 일 실시예에서, 검출되는 결함들은 리피터 결함들을 포함한다. 이러한 방식으로, 상대적으로 잡음이 없는 표준 기준 다이 및 상대적으로 잡음이 없는 테스트 다이가 생성될 수 있고, 이러한 방식으로 잡음을 감소시키는 것은 랜덤 결함들의 검출을 바람직하게 감소시킬 것이다.
표준 기준 다이 기반 검사의 하나의 한계는 상기 표준 기준 다이 웨이퍼 및 상기 테스트 다이 웨이퍼 사이의 컬러 또는 위상 차이들일 수 있다. 하지만, 이러한 이슈들은, 자동-스레스홀딩(auto-thresholding), MDAT, 히스토그램 등화 등을 포함하는 하나 이상의 많은 잘 테스트되는 전체적 컬러 보상 알고리즘들에 더하여 BB DUV 또는 BB EC 모드를 이용함으로써 성공적으로 극복될 수 있다. 특히, 일 실시예에서, 상기 비교하는 단계는 적응적 스레스홀딩을 이용하여 수행된다. 예컨대, 기본적인 비교 접근법은 MDAT와 같은 적응적 스레스홀딩 알고리즘을 이용할 수 있고, 이는 다수의 다이로부터 결정된 하나 이상의 통계치들을 이용하여 스레스홀딩에 의한 컬러에 상대적으로 영향을 받지 않게 비교할 수 있다.
본 명세서에서 기술되는 방법들에서, 웨이퍼 내에서 그들 스스로 반복하는 경향이 있지만 두 개의 웨이퍼들에 걸쳐 별개인 실제의 로컬 컬러 변화들은 문제를 일으킬 수 있다. 하지만, 그러한 컬러 변화들은 보다 후방의 말단층들에서 발생하는 경향이 있고 그리고 시스템들 사이의 자동초점 응답들에서의 에러들에 기인한다.
일부의 실시예들에서, 상기 비교하는 단계는 하나 이상의 테스트 다이들 및 상기 표준 기준 다이에 대한 히스토그램들의 등화를 이용하여 하나 이상의 테스트 다이들 및 표준 기준 다이를 정렬시키는 단계를 포함한다. 이러한 방식으로, 히스토그램 등화가 상기 비교 단계에서 이용될 수 있다. 히스토그램 등화는 일반적으로, 상기 히스토그램들이 상이한지 여부를 결정하기 위해 두 개 이상의 다이들에 대한 출력의 그레이 레벨과 같은 하나 이상의 속성들의 히스토그램들을 이용하는 단계를 포함할 수 있다. 상기 히스토그램들이 충분히 상이하면, 상기 방법은 상기 히스토그램을 이용하여 서로에 대해 이미지들을 유효하게 정규화하기 위해서 상기 비교 이전에 상기 출력의 보상을 수행하고 그것에 의해 상기 히스토그램을 이용하여 상기 이미지들을 서로 유효하게 매핑하는 단계를 포함할 수 있다. 모든 기술들에서 마찬가지로, 보간 잡음 소스들이 지배하기 시작할 수 있음에 따라(물론 추가적인 계산 버짓들), 다수의 접근법들을 이용해 수확 체감(diminishing returns)의 포인트가 존재한다.
다른 실시예에서, 상기 결합하는 단계는 상기 표준 기준 다이에서의 픽셀들의 이웃 및 상기 하나 이상의 테스트 다이들에 대한 출력에서의 픽셀들의 이웃의 템플릿 매칭을 포함한다. 이러한 방식으로, 상기 방법은 템플릿 매칭에 의한 결함 검출을 포함할 수 있다. 예컨대, 대안적인 접근법(계산적으로 보다 고가일 수 있음)은 5 픽셀 대 5 픽셀 이웃에서 템플릿 매칭을 수행하는 것이다. 제곱된 차이들의 합(SSD; sum of squared differences) 또는 정규화된 교차 상관(NCC; normalized cross correlation) 중 어느 하나가 템플릿 매칭에 대해 이용될 수 있다. 상기 두 개의 이미지들 사이의 임의의 차이들이 강한 미스매치로서 식별될 수 있다. 두 단계들이 보통 상이함에도 불구하고 템플릿 매칭은 또한 상기 정렬 단계와 결합될 수 있는데, 왜냐하면 정렬에 대해서 더 큰 서치 윈도우가 유일함 및 그에 따라 상대적으로 정확한 정렬을 보정하는데에 이용될 수 있기 때문이다.
일 실시예에서, 상기 웨이퍼에 대한 검사 시스템의 출력을 획득하는 단계는 상기 웨이퍼 상의 테스트 다이들의 일부에 대해서만 출력을 획득하는 단계를 포함한다. 예컨대, 표준 기준 다이 기반 검사에 대한 통계적으로 중요한 결과들은 상기 웨이퍼의 일부분(예컨대, 일반적으로 약 5개의 다이들 내지 약 8개의 다이들)만을 검사함으로써 획득될 수 있고, 이는 한 시간 이내에 수행될 수 있다(로트 홀드 샘플링 시간(lot hold sampling time)). 예컨대, 전체 웨이퍼에 대해 생성되는 출력이 랜덤 결함 검출에 대해 이용되는 랜덤 결함들을 검출하는데에 이용되는 다이-대-다이 검사 기술들과는 달리, 본 명세서에 기술된 실시예들은 전체 웨이퍼 미만에 대해 획득되는 출력(예컨대, 상기 웨이퍼 상에서 중앙에 위치하는 다이들과 같은 오직 하나의 다이에 대한 출력)을 이용하여 표준 기준 다이 검사를 수행할 수 있다. 픽셀 당 추가적인 시간(종래의 스캔 시간들 미만의 정도일 수 있음)은 패스 당 더 많은 광자들을 획득하기 위해 활용될 수 있다(예컨대, S/N을 더욱 증가시키기 위해서 다수의 광학 모드들(다수의-패스)에 대해서 또는 ADI 층들에 관한 BB DUV EC 모드에 대하여). 추가로, 픽셀 당 추가적인 시간이 계산 비용들을 적당하게 유지하기 위해 활용될 수 있다(예컨대, 현재의 계산 비용들과 거의 동일하거나 또는 약간 더 높음).
기술된 바와 같이, 상기 표준 기준 다이를 생성하는데에 이용되는 출력 및 상기 테스트 다이(들)에 대한 출력이 동일한 웨이퍼에 대해서 획득될 수 있다. 하지만, 본 명세서에서 추가로 기술되는 다른 실시예들에서, 상기 표준 기준 다이를 생성하는데에 이용되는 출력은 표준 기준 웨이퍼에 대해 획득될 수 있는 한편 상기 하나 이상의 테스트 다이들에 대한 출력은 상이한 웨이퍼에 대해 획득될 수 있다.
특히, 추가적인 실시예들은 웨이퍼를 검사하기 위한 상이한 방법에 관한 것이다. 이 방법은 표준 기준 웨이퍼에 대한 검사 시스템의 출력을 획득하는 단계를 포함하고, 이는 본 명세서에서 기술되는 바와 같이 수행될 수 있다. 상기 표준 기준 웨이퍼는 당업계에서 기지의 임의의 적절한 방식으로 제조될 수 있다. 상기 방법은 또한 출력의 내부 다이 위치들에 기초하여 상기 표준 기준 웨이퍼 상에 위치한 하나 이상의 다이들 및 상기 표준 기준 웨이퍼 상에 중앙에 위치한 다이에 대한 출력을 결합하는 단계를 포함한다. 이러한 결합 단계는 본 명세서에서 추가로 기술되는 바와 같이 수행될 수 있다. 추가로, 상기 방법은 상기 결합 단계의 결과들에 기초하여 표준 기준 다이를 생성하는 단계를 포함하고, 이는 본 명세서에서 기술된 바와 같이 수행될 수 있다. 예컨대, 일 실시예에서, 상기 방법은 상기 표준 기준 다이를 디자인 데이터 공간에 정렬시키는 단계를 포함하고, 상기 생성하는 단계는 상기 디자인 데이터 공간에서의 미리 결정된 영역들에 대응하는 상기 디자인 데이터 공간에서의 위치들에 대해서만 상기 표준 기준 다이를 생성하는 단계를 포함한다. 이러한 단계들은 본 명세서에 기술된 바와 같이 수행될 수 있다. 상기 표준 기준 다이는 본 명세서에 기술된 바와 같이 구성될 수 있다. 예컨대, 일 실시예에서, 상기 표준 기준 다이는 하나 이상의 다이들 및 중앙에 위치한 다이에서의 램덤 결함들로부터 실질적으로 어떠한 잡음도 포함하지 않는다.
상기 방법은 상기 웨이퍼에 대한 검사 시스템의 출력을 획득하는 단계를 더 포함하고, 이는 본 명세서에 기술된 바와 같이 수행될 수 있다. 예컨대, 일 실시예에서, 상기 웨이퍼에 대한 검사 시스템의 출력을 획득하는 단계는 상기 웨이퍼 상의 테스트 다이들의 일부에 대해서만 출력을 획득하는 단계를 포함하고, 이는 본 명세서에서 추가로 기술되는 바와 같이 수행될 수 있다. 다른 실시예에서, 상기 표준 기준 웨이퍼 및 상기 웨이퍼에 대한 출력을 획득하는 단계는 BB DUV 모드 검사를 이용하여 수행될 수 있다. 추가적인 실시예에서, 상기 표준 기준 웨이퍼 및 상기 웨이퍼에 대한 출력을 획득하는 단계는 BB EC 모드 검사를 이용하여 수행될 수 있다.
게다가, 상기 방법은 하나 이상의 테스트 다이들에서의 결함들을 검출하기 위해서 상기 표준 기준 다이와 상기 웨이퍼 상의 하나 이상의 테스트 다이들에 대한 출력을 비교하는 단계를 포함하고, 이는 본 명세서에 기술된 바와 같이 수행될 수 있다. 예컨대, 일 실시예에서, 상기 비교하는 단계는 적응적 스레스홀딩을 이용하여 수행되고, 이는 상기한 바와 같이 수행될 수 있다. 다른 예에서, 일부의 실시예들에서, 상기 비교하는 단계는 상기 표준 기준 다이 및 상기 하나 이상의 테스트 다이들에 대한 히스토그램들의 등화를 이용하여 상기 표준 기준 다이 및 상기 하나 이상의 테스트 다이들에 대한 출력을 정렬시키는 단계를 포함하고, 이는 본 명세서에 기술된 바와 같이 수행될 수 있다.
결함들을 검출하기 위해서 상기 표준 기준 다이와 비교되는 상기 웨이퍼 상의 하나 이상의 테스트 다이들에 대한 출력은 본 명세서에 기술된 바와 같이 생성될 수 있다. 예컨대, 상기 방법은 하나 이상의 테스트 다이들의 두 개 이상에 대한 출력을 결합하여 그에 따라 두 개 이상의 테스트 다이들에 대한 결합된 출력이 하나 이상의 테스트 다이들 각각에 대한 출력보다 랜덤 결함들로부터 더 적은 잡음을 포함하게 하는 단계를 포함한다. 그러한 결합은 본 명세서에서 추가로 기술되는 바와 같이 수행될 수 있다. 다른 실시예에서, 상기 방법은 하나 이상의 다이들의 두 개 이상에 대한 출력을 결합하는 단계를 포함하고, 이는 본 명세서에서 기술된 바와 같이 수행될 수 있으며, 상기 비교하는 단계는 두 개 이상의 테스트 다이들에서의 결함들을 검출하기 위해서 두 개 이상의 테스트 다이들에 대한 결합된 출력과 상기 표준 기준 다이를 비교하는 단계를 포함한다. 그러한 일 실시예에서, 상기 결함들은 리피터 결함들을 포함한다.
이러한 방법에서 검출되는 결함들은 본 명세서에서 기술된 임의의 결함들을 포함할 수 있다. 예컨대, 일 실시예에서, 상기 결함들은 리피터 결함들을 포함한다. 다른 실시예에서, 상기 결함들은 상기 웨이퍼를 가공하는데에 이용되는 레티클의 제조에 의해 야기되는 조직적 결함들을 포함한다. 추가의 실시예에서, 상기 결함들은 조직적인 결함들을 포함하고, 하나 이상의 테스트 다이들은 PWQ 방법론을 이용하여 상기 웨이퍼 상에서 형성된다.
본 명세서에서 기술된 실시예들은 상기한 바와 같이 웨이퍼-대-웨이퍼(wafer-to-wafer) 비교를 이용하여 결함들을 검출하도록 구성될 수 있는데, 왜냐하면 상기 실시예들이 시스템-대-시스템(system-to-system) 잡음을 처리하도록 구성될 수 있기 때문이다. 예컨대, 웨이퍼 검사 시스템들 상의 많은 에러들이 공통 모드인 경향이 있는데, 이는 그들이 일반적으로 동일한 시스템 상에서 제조되기 때문이고 ― 일반적으로 공통 모드 아웃 초점 에러들로의 웨이퍼 공간에 충분하게 밀접함 ―, 비교되는 데이터가 동일한 광학 시야 등 내부에서 취해진다. 픽셀 크기 미스매치, 시간 지연 집적(TDI; time delay integration) 카메라 회전 정렬 에러들, 다이-대-다이 정렬 등과 같은 많은 에러들이 그러므로 공통 모드 또는 RTA 시스템 때문에 유효하게 제거될 수 있다. 이러한 에러 소스들의 일부 셋업 동안의 누적적 스티칭 에러(cumulative stitching error), 2차원(2D) 상관 에러, 광학 왜곡 및 확대 에러, 초점 유도된 이미지 이동, TDI 회전 에러, TDI 회전 불안정도, TDI 오프셋 교정 에러, TDI 오프셋 교정 불안정도(셋업 또는 동작 동안에), 픽셀 라운딩 에러, RTA 런아웃, 및 RSS 에러를 포함한다.
다이 대 표준 기준 다이 기반 검사 시스템에서, 이러한 에러들은 절대적인 에러들이 되고, 그러므로 바람직하게 조직적으로 접근된다. 이러한 방식으로, 표준 기준 다이 기반 검사에 대한 주요 한계들 중 하나가 웨이퍼-대-웨이퍼 서브-픽셀 정렬일 수 있다. 약 1 픽셀 에러 웨이퍼-대-웨이퍼 미만을 성취하기 위한 일 접근법은 필수적으로 각각의 웨이퍼에 대한 출력을 디자인 데이터 공간에 정렬시켜 그에 따라 유효하게 상기 웨이퍼들을 서로 정렬시키는 것일 수 있다. 예컨대, 일 실시예에서, 상기 방법은 비교 단계 이전에 디자인 데이터 공간에 대하여 하나 이상의 테스트 다이들에 대한 출력의 위치 및 상기 표준 기준 다이의 위치를 결정하는 단계, 및 상기 비교 단계 이전에 상기 디자인 데이터 공간에 대하여 상기 하나 이상의 다이들에 대한 출력 및 상기 표준 기준 다이의 위치들을 기초로 하여 상기 하나 이상의 테스트 다이들에 대한 출력 및 상기 표준 기준 다이를 정렬시키는 단계를 포함한다. 웨이퍼들에 대한 출력을 디자인 데이터 공간에 정렬시키는 단계는, 공동 소유되는 Kulkarni 등에 의한 2006년 11월 20일자 출원된 미국출원번호 제11/561,735호이고 미국출원공개번호 제2007/0156379호로서 2007년 7월 5일자 공개된 특허에서 기술된 바와 같이 수행될 수 있고, 이 출원은 본 명세서에 마치 전체로 기술된 바와 같이 참조에 의해서 편입된다. 본 명세서에서 기술된 실시예들은 이 특허 출원에서 기술된 임의의 방법(들)의 임의의 단계(들)를 포함할 수 있다.
취해질 수 있는 다른 접근법은 비교의 목적들을 위해 프레임 바이 프레임 정렬(frame by frame alignment)을 수행하는 것이다. 예컨대, 다른 실시예에서, 상기 방법은 프레임 정렬 기술에 의한 프레임을 이용하여 비교하는 단계 이전에 상기 표준 기준 다이를 상기 하나 이상의 테스트 다이들에 대한 출력에 정렬시키는 단계를 포함한다. 프레임 바이 프레임 정렬은 상기 테스트 다이에 대해 획득된 출력의 각 프레임을 표준 이미지에 정렬시키는 것을 포함할 수 있다. 프레임들을 서로 정렬시키는 것은 상기 출력의 하나 이상의 속성들에 기초하여 프레임들의 스케일링, 이동 등을 포함할 수 있다. 예컨대, 상기 프레임들의 정렬은 평균 대 평균 비교, 통계적 기준 대 통계적 기준 비교 등에 기초하여 수행될 수 있다. 프레임 바이 프레임 정렬은 프레임들의 각각의 쌍 사이의 오프셋 에러뿐만 아니라 스케일 팩터 에러를 결정하는 것을 포함할 수 있고, 이는 그러한 정렬이 전체 이미지의 조작을 포함하기 때문에 실행가능할 수 있다. 추가로, 이러한 정렬은 런아웃 에러들을 보상하기 위해서 더 많은 중첩 구역(예컨대, 현재 이용되는 중첩 구역들보다 약 2배 정도 큰 중첩 구역)을 이용하여 수행될 수 있다.
웨이퍼에 대한 출력을 디자인 데이터 공간에 정렬시키는 것에 의해 이루어지는 측정들에 더하여, 개별적인 RTA 에러들이 또한 표준 기준 다이 기반 검사 및 검사 스캔에 대해 활용될 수 있다. 예컨대, RTA가 디자인 데이터 공간과 같은 절대 기준 시스템에 걸쳐 가질 수 있는 일 장점은 RTA가 상대적인 RTA 오프셋 곡선들을 만드는데에 이용될 수 있다는 것이다. 그러므로, 전체적인 할당이 각각의 스와스(swath)를 이용해 관리될 수 있고, 상기 스와스들은 그들 각각의 RTA를 이용하여 서로 정렬될 수 있다. 예컨대, 일 실시예에서, 상기 방법은 전체적인 정렬에 대해서 하나 이상의 테스트 다이들에 대한 출력의 제1 스와스를 정렬시키는 단계, 및 상기 하나 이상의 테스트 다이들에 대한 출력의 제2 스와스를 상기 제1 스와스에 정렬시켜서 그에 따라 상기 제2 스와스를 전체적인 정렬에 정렬시키는 단계를 포함한다.
그러한 일 실시예에서, 도 4에 도시된 바와 같이, 상기 스와스들 내의 화살표들에 의해 도시되는 바와 같이 웨이퍼를 반대 방향들로 스캐닝함으로써, 출력의 두 개의 스와스들(N 및 N+1)이 웨이퍼(도 4에 미도시)에 대해 획득될 수 있다. 도 4에 도시된 바와 같이, 스와스들(N 및 N+1)은 웨이퍼 공간에서의 영역(18)에서 서로 부분적으로 중첩한다. 그러므로, 두 스와스들은 영역(18)에 대한 출력을 포함할 것이다. 그러한 것으로서, 전체적인 정렬 특징들을 포함할 수 있는 이러한 영역에 대한 출력은 하나의 스와스를 다른 스와스에 정렬시키는데에 이용될 수 있다. 그러한 하나의 실시예에서, 도 5는 두 개의 연속적인 스캔들에 대한 출력이 중첩되는 웨이퍼 공간에서의 스와스-내 중첩 영역(18)에서 형성되는 특징들(20 및 22)을 도시한다. 특징들(20 및 22)은 스와스-대-스와스 등록(swath-to-swath registration)을 수행하기 위해 이용될 수 있다. 특징들(20 및 22)은 당업계에 알려진 임의의 적절한 전체적 정렬 특징들을 포함할 수 있다.
하지만, 그러한 시스템이 약 0.1 픽셀들 미만의 정렬 에러들을 성취할 수 있는지 여부가 아직 제시되어야 한다. 그러므로, 디자인 데이터 공간으로의 정렬에 의해 성취될 수 있는 정확도보다 훨씬 나은 정도의 정확도가 본 명세서에 기술되는 실시예들에 대해서 바람직하기 때문에, 테스트 및 표준 기준 다이들 사이의 액티브한 정렬이 수행될 수 있다.
예컨대, 본 명세서에서 기술된 상기 방법은, 샘플링이 에일리어싱되는 정도가 이미지가 적절하게 구성되는 것을 가능하게 하는 것을 보장하기 위해 에일리어싱이 또한 반복되는 액티브 RTA를 이용할 수 있다. 일 실시예에서, 상기 웨이퍼에 대한 검사 시스템의 출력은 상기 웨이퍼 상의 조명 스팟 당 적어도 두 개의 샘플들을 포함한다. 예컨대, 종래의 BF RTA 없이 가까운 시일 내에 동작하기 위한 이러한 기술들에 대해서, 충분한 샘플링(예컨대, PSF 당 약 3.5 샘플들을 초과함)을 갖는 광학 모드들을 이용하는 레티클 검사 스타일 웨이퍼-대-웨이퍼 정렬 기술(예컨대, 웨이퍼-대-웨이퍼 서브-픽셀 정렬 기술)이 이용될 수 있다. 예컨대, 시뮬레이션들은 광학에서의 샘플당 약 3.5 스팟들 이상에 대해서 최소의 재구성 에러가 발생될 것이라는 것을 암시한다. 추가로, 상기 방법들은 정렬을 수행하기 위해서 특정한 디-컨볼루션(de-convolution) 기술들을 이용할 수 있다. 예컨대, 상기 검사가 실질적으로 오버샘플링되는 실질적으로 작은 픽셀 크기를 이용하여 수행되면, 상기 검사의 민감도가 감소될 수 있다. 하지만, 광학들의 PSF에 기초하여, 상기 광학들의 한계들이 상기 검사에 대한 적절한 파라미터들을 결정하기 위해 결정될 수 있다.
일관적이지 않은 조명에 대한 광학 변환 함수(OTF; optical transform function) 이론으로부터, 롤리(Raleigh) 거리가 약 0.61/NA과 동일함에 반해, 일관적인 조명에 대해서는 분해능 거리가 약 0.5/NA와 동일하다. 스팟 당 적어도 약 두 개의 샘플들의 나이퀴스트(Nyquist) 기준을 적용하는 것은, 일관적이지 않은 조명에 대해 약 0.3/NA 및 일관적인 조명에 대해 약 0.25/NA와 동일한 Rc에 있는 것을 결정되고, 그리고 0.9의 NA에 대해서는 일관적이지 않은 조명에 대해 약 2.92 샘플들 및 일관적인 조명에 대해 약 3.6 샘플들과 동일한 Rc에 있는 것으로 결정된다. 언더샘플링 에러들(나이퀴스트 픽셀들에 대한 실제 픽셀들의 비율로서 표현될 수 있음)을 위해, 에러들을 에일리어싱하는 것은 1.0 미만의 비율들에서 획득되는 픽셀들의 재구성을 가능하게 할 것이다. 추가로, 시스템이 빈 확대 공간으로 이동함에 따라, 정렬 보간 에러들이 감소될 것이다. EC 모드에서의 에일리어싱 에러들을 제어하기 위한 하나의 추가적인 방법은 NA를 독립적으로 줄이는(stop down) 것이다.
본 명세서에 기술된 방법들은 또한 본 명세서에 기술된 임의의 단계들의 결과들을 저장 매체(본 명세서에 추가로 기술되는 바와 같은)에 저장하는 단계를 포함할 수 있다. 예컨대, 상기 방법은 생성된 표준 기준 다이를 상기 저장 매체에 저장하는 단계를 포함할 수 있다. 생성된 표준 기준 다이는 본 명세서에 기술되는 임의의 구성을 가질 수 있다. 추가로, 상기 저장하는 단계는 본 명세서에 기술되는 임의의 다른 방법 실시예들의 임의의 단계들의 임의의 다른 결과들뿐만 아니라 상기 생성하는 단계의 결과들을 저장하는 단계를 포함할 수 있다. 상기 결과들은 당업계에서의 기지의 임의의 방식으로 저장될 수 있다. 추가로, 상기 저장 매체는 본 명세서에 기술되는 임의의 저장 매체 또는 당업계에서의 기지의 임의의 다른 적절한 저장 매체를 포함할 수 있다. 상기 결과들이 저장된 이후에, 상기 결과들은 상기 저장 매체에서 액세스될 수 있고, 본 명세서에 기술되는 임의의 방법, 시스템, 또는 컴퓨터-판독가능한 매체 실시예들에 의해 이용될 수 있으며, 사용자에게의 디스플레이를 위해 포매팅될 수 있고, 다른 소프트웨어 모듈, 방법, 또는 시스템 등에 의해 이용될 수 있다. 게다가, 상기 결과들은 "영구적으로", "반영구적으로", 일시적으로 또는 일부의 시간 기간 동안 저장될 수 있다. 예컨대, 상기 저장 매체는 랜덤 액세스 메모리(RAM)일 수 있고, 상기 생성하는 단계의 결과들은 상기 저장 매체에서 필수적으로 무기한으로 지속되지 않을 수 있다.
다른 실시예는 다이 대 표준 기준 다이 검사에서의 이용을 위한 표준 기준 다이를 생성하고 그리고/또는 웨이퍼를 검사하도록 구성되는 시스템에 관한 것이다. 상기 시스템은 본 명세서에 기술된 실시예들 중 임의의 실시예에 따라 상기 표준 기준 다이를 생성하도록 구성될 수 있다. 상기 시스템은 또한 또는 대안적으로 본 명세서에 기술된 실시예들 중 임의의 실시예에 따라 상기 웨이퍼를 검사하도록 구성될 수 있다.
그러한 시스템의 일 실시예가 도 6에 도시된다. 도 6에 도시된 바와 같이, 일 실시예에서, 상기 시스템은 웨이퍼들에 대한 출력을 획득하도록 구성되는 검사 시스템(24)을 포함한다. 검사 시스템(24)은 빔 스플리터(28)로 광을 지향하도록 구성되는 광원(26)을 포함한다. 상기 광원은 본 명세서에 기술된 임의의 스펙트럼 영역(들)(예컨대, DUV)에서 광을 생성하도록 구성되는, 당업계에서의 기지의 임의의 적절한 광원을 포함할 수 있다. 추가로, 상기 광원은 출력이 BB 및/또는 NB 스펙트럼 영역에서 획득될 수 있게 BB 광 및/또는 NB 광을 생성하도록 구성될 수 있다. 빔 스플리터(28)는 당업계에서의 기지의 임의의 적절한 광학 컴포넌트를 포함할 수 있다. 빔 스플리터(28)는 광을 웨이퍼(30)로 지향하도록 구성된다.
상기 검사 시스템은 또한 광원(26)으로부터 웨이퍼(30)로의 광의 경로에 포지셔닝되는 어퍼쳐들과 같은 임의의 다른 적절한 광학 컴포넌트(들)(미도시)를 포함할 수 있고, 이는 상기 검사 시스템에서 스테이지(32)에 의해서 지지될 수 있다. 스테이지(32)는 당업계에서의 기지의 임의의 적절한 로봇식의 그리고/또는 기계적인 어셈블리를 포함할 수 있다. 스테이지(32)는 상기 웨이퍼를 이동시키도록 구성될 수 있고(예컨대, 회전 및/또는 이동에 의해서), 그에 따라 상기 웨이퍼로 지향되는 광이 꾸불꾸불한 스캔 경로와 같은 스캔 경로에서 웨이퍼에 걸쳐서 스캐닝될 수 있다.
도 6에 추가로 도시된 바와 같이, 광원(26)으로부터의 광은 실질적으로 보통 입사각으로 빔 스플리터(28)에 의해 웨이퍼(30)로 지향될 수 있다. 하지만, 상기 검사 시스템은 상기 광이 임의의 적절한 입사각(들)으로 상기 웨이퍼로 지향될 수 있게 구성될 수 있다. 상기 웨이퍼로부터 반사되는 광은 빔 스플리터(28)를 통과하여 검출기(34)로 향하고, 이는 당업계에서의 기지의 임의의 적절한 비-이미징 검출기 또는 이미징 검출기를 포함할 수 있다. 상기 검사 시스템은 상기 웨이퍼로부터 반사되는 광의 경로에 포지셔닝되는 어퍼쳐들과 같은 임의의 다른 적절한 광학 컴포넌트(들)(미도시)를 포함할 수 있다. 검출기(34)는 상기 웨이퍼로부터 반사되는 광에 응답하는 출력을 생성하도록 구성된다.
검사 시스템(24)이 도 6에서는 BF 검사 시스템으로서 도시되었음에도 불구하고, 본 명세서에 기술된 시스템 실시예들에 포함된 검사 시스템은 DF 구성, EC 구성 등과 같은 임의의 적절한 구성을 포함할 수 있다. 추가로, 상기 검사 시스템은 전자 빔 기반의 검사 시스템으로서 구성될 수 있다. 게다가, 상기 검사 시스템은 상기 검사 시스템의 하나 이상의 파라미터들이 상기 검사 시스템이 상이한 모드들의 검사를 수행할 수 있게 조정가능하도록 구성될 수 있다. 게다가, 상기 검사 시스템은 상기 검사 시스템이 두 개 이상의 모드의 검사들을 동시에 또는 순차적으로 수행할 수 있게 구성될 수 있다.
상기 조사 시스템의 컴퓨터 시스템(36)은 상기 컴퓨터 시스템이 상기 검출기에 의해 생성되는 출력을 수신할 수 있도록 임의의 절절한 방식으로 상기 검출기에 결합될 수 있다. 상기 컴퓨터 시스템은 검사 시스템(24)의 검출기(34)에 의해 생성되는 출력을 이용하여 본 명세서에 기술된 하나 이상의 실시예들의 하나 이상의 단계들을 수행하도록 구성될 수 있다. 예컨대, 상기 컴퓨터 시스템은 상기 출력의 내부 다이 위치들에 기초하여 상기 웨이퍼 상에 위치한 하나 이상의 다이들 및 상기 웨이퍼(30) 상의 중앙에 위치한 다이에 대해 상기 검사 시스템(24)에 의해 획득된 출력을 결합하도록 구성될 수 있다. 상기 컴퓨터 시스템은 또한 상기 결합된출력에 기초하여 상기 표준 기준 다이를 생성하도록 구성될 수 있다. 일부의 실시예들에서, 컴퓨터 시스템(36)은 상기 컴퓨터 시스템이 상기 컴퓨터 시스템에 의해 수행되는 하나 이상의 단계들의 결과들을 저장 매체로 전송할 수 있도록 상기 저장 매체(38)와 결합될 수 있다.
상기 컴퓨터 시스템은 당업계에서의 기지의 임의의 적절한 컴퓨터 시스템을 포함할 수 있다. 예컨대, 컴퓨터 시스템(36)은 개인용 컴퓨터 시스템, 메인프레임 컴퓨터 시스템, 워크스테이션, 이미지 컴퓨터, 병렬 컴퓨터, 또는 당업계에서의 기지의 임의의 다른 장치를 포함하는 다양한 형태들을 취할 수 있다. 일반적으로, 용어 "컴퓨터 시스템"은 메모리 매체로부터의 명령들을 실행하는 하나 이상의 프로세서들을 갖는 임의의 장치를 포괄하는 것으로 광범위하게 정의될 수 있다.
저장 매체(38)는 판독 전용 메모리, 랜덤 액세스 메모리, 자기 또는 광학 디스크, 또는 자기 테이프와 같은 당업계에서의 기지의 임의의 적절한 저장 매체를 포함할 수 있다.
상기한 시스템은 본 명세서에 기술된 바와 같이 추가로 구성될 수 있다(예컨대, 본 명세서에 기술된 임의의 방법(들)의 임의의 다른 단계(들)를 수행하도록).
도 7은 다이 대 표준 기준 다이 검사에서의 이용을 위한 표준 기준 다이를 생성하기 위한 컴퓨터-구현 방법, 웨이퍼를 검사하기 위한 방법, 웨이퍼 상의 결함들을 검출하기 위한 컴퓨터-구현 방법, 또는 이들의 몇몇 조합을 수행하기 위한 컴퓨터 시스템(44)에서 실행가능한 프로그램 명령들(42)을 포함하는 컴퓨터-판독가능한 매체(40)의 일 실시예를 도시한다. 프로그램 명령들(42)이 컴퓨터 시스템(44) 상에서 실행가능한 방법은 본 명세서에 기술된 임의의 방법(들)의 임의의 단계(들)를 포함할 수 있다. 일부의 실시예들에서, 컴퓨터 시스템(44)은 도 4에 도시된 컴퓨터 시스템(36)과 같은 검사 시스템의 컴퓨터 시스템일 수 있다. 하지만, 다른 실시예들에서는, 컴퓨터 시스템(44)이 검사 시스템에 결합되거나 또는 포함되지 않을 수 있다. 일부의 그러한 실시예들에서, 컴퓨터 시스템(44)은 독립형(stand alone) 컴퓨터 시스템으로서 구성될 수 있다. 컴퓨터-판독가능한 매체(40), 프로그램 명령들(42), 및 컴퓨터 시스템(44)은 본 명세서에 기술된 바와 같이 추가로 구성될 수 있다.
본 명세서에 기술된 방법들과 같은 방법들을 구현하는 프로그램 명령들(42)은 컴퓨터-판독가능한 매체(40)를 통해 전송되거나 또는 여기에 저장될 수 있다. 상기 컴퓨터-판독가능한 매체는 유선, 케이블, 또는 무선 전송 링크와 같은 전송 매체일 수 있다. 상기 컴퓨터-판독가능한 매체는 또한 판독 전용 메모리, 랜덤 액세스 메모리, 자기 또는 광학 디스크, 또는 자기 테이프와 같은 저장 매체일 수 있다.
상기 프로그램 명령들은 절차 기반 기술들, 컴퓨터 기반 기술들, 및/또는 객체 지향 기술들, 다른 것들을 포함하는 많은 방법들 중 임의의 방법으로 구현될 수 있다. 예컨대, 상기 프로그램 명령들은 원하는 바로 액티브X 컨트롤(ActiveX control)들, C++ 오브젝트(C++ object)들, 자바빈(JavaBean)들, 마이크로소프트 파운데이션 클래스("MFC"; Microsoft Foundation Class)들, 또는 다른 기술들 또는 방법론들을 이용하여 구현될 수 있다.
추가의 실시예는 웨이퍼 상의 결함들을 검출하기 위한 컴퓨터-구현 방법에 관한 것이다. 상기 방법은 상기 웨이퍼 상에 형성된 다수의 이미지들의 구조를 결합시켜서 복합 이미지의 구조를 생성하는 단계를 포함한다. 다수의 이미지들의 구조는 본 명세서에 추가로 기술되는 바와 같이 획득될 수 있다. 상기 구조는 상기 웨이퍼 상에 형성된 임의의 구조를 포함할 수 있다. 상기 구조의 다수의 이미지들을 결합하는 단계는 본 명세서에 추가로 기술되는 바와 같이 수행될 수 있다. 일 실시예에서, 상기 결합하는 단계는 상기 다수의 이미지들을 평균하는 단계를 포함한다. 상기 다수의 이미지들을 평균하는 단계는 본 명세서에 기술된 바와 같이 또는 당업계에서의 기지의 임의의 적절한 방법 및/또는 알고리즘을 이용하여 임의의 다른 적절한 방식으로 수행될 수 있다.
상기 다수의 이미지들은 상기 구조가 형성되는 상기 웨이퍼 상의 다수의 위치들에서 획득된다. 일 실시예에서, 상기 다수의 위치들은 동일한 디자인들을 갖는 셀들에서의 상기 구조의 위치들을 포함한다. 다른 실시예에서, 상기 다수의 위치들은 이웃하는 다이들에서의 상기 구조의 위치들을 포함한다. 예컨대, PWQ 타입 검사 동안에, 각각의 초점 및 노출 포인트로부터의 다수의 다이들이 MDAT 알고리즘에 의해 수행되는 것과 실질적으로 유사한 방식으로 함께 평균될 수 있다. 상기 다수의 위치들은 본 명세서에 기술된 바와 같이 또는 임의의 다른 적절한 방식으로 결정되거나 또는 식별될 수 있다.
일 실시예에서, 상기 복합 이미지가 상기 다수의 이미지들보다 더 적은 라인 에지 거칠기(LER; line edge roughness)를 갖도록 상기 결합하는 단계가 수행된다. 다른 실시예에서, 상기 복합 이미지가 상기 다중 이미지들보다 더 작은 랜덤 변화를 갖도록 상기 결합하는 단계가 수행된다. 예컨대, 개별 구조들은 조직적인 에러들을 저지하는 LER과 같은 랜덤 변화들을 가질 수 있다. 하지만, 상기 구조의 다중 이미지들을 함께 평균함으로써, 동일한 셀들(예컨대, 인접한 동일한 셀들)로부터 또는 이웃하는 다이들로부터, 복잡 이미지가 임의의 개별 구조보다 훨씬 낮은 LER 또는 랜덤 변화를 갖도록 구성될 수 있다.
그러한 일 실시예에서, 도 8에 도시된 바와 같이, 웨이퍼 상에 형성된 구조의 다수의 이미지들(46)은 개별 특성들의 개별 이미지들이 LER을 보인다는 것을 설명한다. 하지만, 도 9에 도시된 바와 같이, 도 8에 도시된 구조에 대한 다수의 이미지들을 평균함으로써 생성되는 상기 구조의 복합 이미지(48)는 상기 구조의 복합 이미지가 다수의 이미지들(46) 각각과 비교하여 더 낮은 LER을 갖는다는 것을 설명한다.
추가의 실시예에서, 상기 복합 이미지가 상기 다수의 이미지들 각각에 비해 더 낮은 그레이 레벨 잡음을 갖도록 상기 결합하는 단계가 수행된다. 예컨대, 상기 복합 이미지는 산탄 잡음과 같은 소스들로부터의 더 적은 그레이 레벨 잡음을 포함할 것이다. 이러한 방식으로, 본 명세서에 기술된 실시예들은 산탄 잡음 및 다른 이미지 잡음 아티팩트들에서의 감소를 바람직하게 제공한다.
상기 방법은 또한 상기 웨이퍼 상의 결함들을 검출하기 위해 상기 복합 이미지를 기준과 비교하는 단계를 포함한다. 일 실시예에서, 상기 기준은 상기 구조의 기지의 양호한 이미지를 포함한다. 다른 실시예에서, 상기 기준은 표준 기준 다이에서 획득된 상기 구조의 이미지를 포함한다. 추가의 실시예에서, 상기 기준은 기준 이미지, 복합 테스트 이미지, 또는 PWQ 다이에서 획득되는 상기 구조의 복합 테스트 이미지 및 기준 이미지를 포함한다. 일부의 실시예들에서, 상기 기준은 렌더링된(rendered) 데이터베이스 이미지를 포함한다. 이러한 방식으로, 상기 복합 이미지는 기지의 양호한 이미지(표준 기준 다이 또는 PWQ 다이로부터의) 또는 렌더링된 데이터베이스 이미지와 비교될 수 있다. 추가의 실시예에서, 상기 기준은 상기 웨이퍼 또는 상이한 웨이퍼 상의 다수의 위치들에서 획득되는 다수의 이미지들로부터 생성되는 상기 구조의 복합 이미지를 포함한다. 그러한 기준들은 본 명세서에 추가로 기술되는 바와 같이 생성될 수 있다. 추가로, 이러한 실시예들에서 이용되는 상기 기준은 본 명세서에 기술되는 다른 기준들을 포함할 수 있다.
일 실시예에서, 상기 결함들은 조직적인 결함들을 포함한다. 조직적인 결함들은 단일 다이의 어레이 구조 내에서 또는 다수의 다이 상의 동일한 구조 내에서 반복적으로 발생한다. 본 명세서에 기술된 실시예들에서 그러한 결함들을 검출하는 것은 바람직할 수 있는데, 왜냐하면 본 명세서에 기술된 실시예들은 향상된 조직적 결함 검출을 제공하기 때문이다. 특히, 본 명세서에 기술되는 실시예들은 향상된 조직적 결함 검출을 위한 랜덤 액세스 에러들을 감소시키기 위해서 다수의 특성 평균을 이용한다. 이러한 방식으로, 다수의 테스트 이미지들을 함께 평균하는 것은 조직적 결함들에 대한 검사 민감도를 향상시킨다. 그러한 것으로서, 본 명세서에 기술되는 실시예들은 웨이퍼 검사 상의 조직적 결함들의 향상된 민감도를 제공한다. 추가로, 본 명세서에 기술되는 실시예들은 바람직하게 랜덤 방해 결함들로의 감소된 민감도를 제공한다. 예컨대, 도 8에 도시된 바와 같이, 상기 구조의 다수의 이미지들은, 거짓 방해로서 검출될 수 있고 그러므로 결함 검출의 민감도를 제한할 수 있는 LER을 보여준다. 하지만, 도 9에 도시된 상기 구조의 복합 평균된 이미지는 조직적 라인 폭(LW; line width) 변화로의 더 나은 민감도를 가능하게 하기 위해서 상기 LER이 얼마나 감소되는지를 보여준다.
다른 실시예에서, 상기 결함들은 상기 구조의 LER과 대략 동일한 크기를 갖는다. 예컨대, 본 명세서에 기술된 실시예들은 상기 결함 크기가 LER 및 다른 결함들 또는 변화들과 유사할 때에 웨이퍼 상의 조직적 결함들(부정확한 광학 근접 보정(OPC; optical proximity correction)으로부터 발생하는 결함들과 같은)의 검출을 가능하게 한다. 대조적으로, 몇몇 현재의 검사 방법들은 테스트 이미지 및 하나 이상의 기준 이미지들 사이의 픽셀 대 픽셀 빅 방법을 이용한다. 하지만, 조직적 결함 크기와 유사한 상기 테스트 또는 기준 이미지에서의 랜덤 변화가 존재하면, 검사는 검사 시스템을 궁극적으로 제한할 방해 결함들에 의해서 압도당할 것이다. 이러한 방식으로, 종래의 검사에서 가능한 것보다 훨씬 더 작은 조직적 결함들이 압도적인 방해 결함 검출 레이트 없이도 본 명세서에 기술된 실시예들에서 검출될 수 있다. 예컨대, 본 명세서에 기술된 실시예들은 종래의 검사 시스템 또는 다이-대-데이터베이스 검사 시스템의 결함 민감도를 10nm 범위 이하로 확장하는데에 이용될 수 있다.
상기한 웨이퍼 상의 결함들을 검출하기 위한 컴퓨터-구현 방법의 실시예들 각각은 본 명세서에 기술된 임의의 다른 방법(들)의 임의의 단계(들)를 포함할 수 있다. 추가로, 상기한 컴퓨터-구현 방법의 실시예들 각각은 본 명세서에 기술된 임의의 시스템들에 의해서 수행될 수 있다.
본 발명의 다양한 양상들의 수정들 및 대안적 실시예들은 이러한 설명의 관점에서 당업자에게 명백할 수 있다. 예컨대, 다이 대 표준 기준 다이 검사에서의 이용을 위한 표준 기준 다이를 생성하기 위한 방법들 및 웨이퍼를 검사하기 위한 방법들이 제공된다. 따라서, 이러한 설명은 단지 예시적인 것으로서 그리고 당업자에 기술하기 위한 목적으로 본 발명을 실시하기 위한 일반적인 방식으로서 해석되어야 한다. 도시되고 기술된 본 발명의 형태들이 현재에 바람직한 실시예들로서 취해져야한다는 것이 이해되어야 한다. 요소들 및 물질들이 본 명세서에 기술되고 설명된 것들로 대체될 수 있고, 일부들 및 프로세스들이 역전될 수 있으며, 본 발명의 특정한 특징들이 독립적으로 이용될 수 있고, 본 발명의 이러한 기술의 이득을 가진 이후에 이러한 모든 것은 당업자에게 명백할 것이다. 후술하는 청구항들에서 기술되는 바와 같이 본 발명의 범위 및 사상을 벗어남이 없이 본 명세서에 기술된 요소들에서의 변화들이 만들어질 수 있다.

Claims (45)

  1. 다이 대 표준 기준 다이 검사(die to standard reference die inspection)에서의 이용을 위한 표준 기준 다이를 생성하기 위한 컴퓨터-구현 방법으로서,
    웨이퍼 상에 중앙에 위치한 다이 및 상기 웨이퍼 상에 위치한 하나 이상의 다이들에 대한 검사 시스템의 출력을 획득하는 단계;
    상기 출력의 내부 다이 위치(within die position)들에 기초하여 상기 중앙에 위치한 다이 및 상기 하나 이상의 다이들에 대한 상기 출력을 결합하는 단계 ― 상기 출력은 그레이 레벨들을 포함하고, 상기 결합하는 단계는 상기 내부 다이 위치들에 걸쳐서 상기 그레이 레벨들의 평균값 및 중간값을 결정하는 단계를 포함함 ―; 및
    상기 결합의 결과들에 기초하여 상기 표준 기준 다이를 생성하는 단계
    를 포함하고,
    상기 표준 기준 다이는 상기 내부 다이 위치들에 걸친 상기 평균값을 포함하고,
    상기 방법은, 상기 내부 다이 위치들에 걸친 상기 중간값의 추가적인 표준 기준 다이를 생성하는 단계를 더 포함하는,
    표준 기준 다이를 생성하기 위한 컴퓨터-구현 방법.
  2. 제 1 항에 있어서,
    상기 표준 기준 다이는 이미지를 포함하는,
    표준 기준 다이를 생성하기 위한 컴퓨터-구현 방법.
  3. 제 1 항에 있어서,
    상기 다이 대 표준 기준 다이 검사는 리피터 결함(repeater defect)들에 대한 검사를 포함하는,
    표준 기준 다이를 생성하기 위한 컴퓨터-구현 방법.
  4. 제 1 항에 있어서,
    상기 표준 기준 다이는 상기 중앙에 위치한 다이 및 상기 하나 이상의 다이들에서의 랜덤 결함들로부터의 어떠한 잡음도 포함하지 않는,
    표준 기준 다이를 생성하기 위한 컴퓨터-구현 방법.
  5. 제 1 항에 있어서,
    상기 표준 기준 다이를 디자인 데이터 공간(design data space)에 정렬시키는 단계를 더 포함하고,
    상기 결합의 결과들에 기초하여 상기 표준 기준 다이를 생성하는 단계는, 상기 디자인 데이터 공간에서의 미리 결정된 영역들에 대응하는 상기 디자인 데이터 공간에서의 위치들에 대해서만 상기 표준 기준 다이를 생성하는 단계를 포함하는,
    표준 기준 다이를 생성하기 위한 컴퓨터-구현 방법.
  6. 제 1 항에 있어서,
    상기 결합하는 단계는, 상기 내부 다이 위치들에 걸친 상기 출력의 특성 및 상기 내부 다이 위치들에 걸친 상기 특성에서의 잡음을 결정하는 단계를 포함하는,
    표준 기준 다이를 생성하기 위한 컴퓨터-구현 방법.
  7. 웨이퍼를 검사하기 위한 방법으로서,
    상기 웨이퍼에 대한 검사 시스템의 출력을 획득하는 단계;
    상기 출력의 내부 다이 위치들에 기초하여 상기 웨이퍼 상에 중앙에 위치한 다이 및 상기 웨이퍼 상에 위치한 하나 이상의 다이들에 대한 상기 출력을 결합하는 단계 ― 상기 출력은 그레이 레벨들을 포함하고, 상기 결합하는 단계는 상기 내부 다이 위치들에 걸쳐서 상기 그레이 레벨들의 평균값 및 중간값을 결정하는 단계를 포함함 ―;
    상기 결합의 결과들에 기초하여 표준 기준 다이를 생성하는 단계 ― 상기 표준 기준 다이는 상기 내부 다이 위치들에 걸친 평균값을 포함함 ―; 및
    하나 이상의 테스트 다이들에서의 결함들을 검출하기 위해서 상기 표준 기준 다이를 상기 웨이퍼 상의 상기 하나 이상의 테스트 다이들에 대한 출력과 비교하는 단계
    를 포함하고,
    상기 방법은, 상기 내부 다이 위치들에 걸친 상기 중간값의 추가적인 표준 기준 다이를 생성하는 단계를 포함하는,
    웨이퍼를 검사하기 위한 방법.
  8. 제 7 항에 있어서 ,
    상기 하나 이상의 테스트 다이들에 대한 출력 및 상기 표준 기준 다이는 이미지들을 포함하는,
    웨이퍼를 검사하기 위한 방법.
  9. 제 7 항에 있어서,
    상기 방법의 모든 단계들은 상기 웨이퍼의 검사의 런타임(run time) 동안에 수행되는,
    웨이퍼를 검사하기 위한 방법.
  10. 제 7 항에 있어서,
    상기 결함들은 리피터 결함들을 포함하는,
    웨이퍼를 검사하기 위한 방법.
  11. 제 7 항에 있어서,
    상기 결함들은, 상기 웨이퍼를 가공하는데에 이용되는 레티클(reticle)의 제조에 의해 야기되는 조직적인 결함(systematic defect)들을 포함하는,
    웨이퍼를 검사하기 위한 방법.
  12. 제 7 항에 있어서,
    상기 결함들은 조직적인 결함들을 포함하고, 상기 하나 이상의 테스트 다이들은 프로세스 윈도우 검정 방법론(process window qualification methodology)을 이용하여 상기 웨이퍼 상에서 형성되는,
    웨이퍼를 검사하기 위한 방법.
  13. 제 7 항에 있어서,
    상기 표준 기준 다이는 상기 중앙에 위치한 다이 및 상기 하나 이상의 다이들에서의 랜덤 결함들로부터의 어떠한 잡음도 포함하지 않는,
    웨이퍼를 검사하기 위한 방법.
  14. 제 7 항에 있어서,
    두 개 이상의 테스트 다이들에 대한 결합된 출력이 상기 하나 이상의 테스트 다이들 각각에 대한 출력보다 랜덤 결함들로부터의 더 적은 잡음을 포함하도록, 상기 하나 이상의 테스트 다이들 중 두 개 이상에 대한 출력을 결합하는 단계를 더 포함하는,
    웨이퍼를 검사하기 위한 방법.
  15. 제 7 항에 있어서,
    상기 하나 이상의 테스트 다이들 중 두 개 이상에 대한 상기 출력을 결합하는 단계를 더 포함하고, 상기 비교하는 단계는 상기 두 개 이상의 테스트 다이들에서의 결함들을 검출하기 위해서 상기 표준 기준 다이를 상기 두 개 이상의 테스트 다이들에 대한 상기 결합된 출력과 비교하는 단계를 포함하며, 상기 결함들은 리피터 결함들을 포함하는,
    웨이퍼를 검사하기 위한 방법.
  16. 제 7 항에 있어서,
    상기 비교하는 단계는 적응적 스레스홀딩(adaptive thresholding)을 이용하여 수행되는,
    웨이퍼를 검사하기 위한 방법.
  17. 제 7 항에 있어서,
    상기 비교하는 단계는, 상기 하나 이상의 테스트 다이들 및 상기 표준 기준 다이에 대한 히스토그램들의 등화를 이용하여 상기 하나 이상의 테스트 다이들에 대한 상기 출력과 상기 표준 기준 다이를 정렬시키는 단계를 포함하는,
    웨이퍼를 검사하기 위한 방법.
  18. 제 7 항에 있어서,
    상기 비교하는 단계는, 상기 표준 기준 다이에서의 픽셀들의 이웃 및 상기 하나 이상의 테스트 다이들에 대한 상기 출력에서의 픽셀들의 이웃의 템플릿 매칭(template matching)을 포함하는,
    웨이퍼를 검사하기 위한 방법.
  19. 제 7 항에 있어서,
    상기 획득하는 단계는 상기 웨이퍼 상의 상기 테스트 다이들 중 일부에 대해서만 상기 출력을 획득하는 단계를 포함하는,
    웨이퍼를 검사하기 위한 방법.
  20. 제 7 항에 있어서,
    상기 표준 기준 다이를 디자인 데이터 공간에 정렬시키는 단계를 더 포함하고,
    상기 결합의 결과들에 기초하여 표준 기준 다이를 생성하는 단계는, 상기 디자인 데이터 공간에서의 미리 결정된 영역들에 대응하는 상기 디자인 데이터 공간에서의 위치들에 대해서만 상기 표준 기준 다이를 생성하는 단계를 포함하는,
    웨이퍼를 검사하기 위한 방법.
  21. 웨이퍼를 검사하기 위한 방법으로서,
    표준 기준 웨이퍼에 대한 검사 시스템의 출력을 획득하는 단계;
    상기 출력의 내부 다이 위치들에 기초하여 상기 표준 기준 웨이퍼 상에 중앙에 위치한 다이 및 상기 표준 기준 웨이퍼 상에 위치한 하나 이상의 다이들에 대한 상기 출력을 결합하는 단계 ― 상기 출력은 그레이 레벨들을 포함하고, 상기 결합하는 단계는 상기 내부 다이 위치들에 걸쳐서 상기 그레이 레벨들의 평균값 및 중간값을 결정하는 단계를 포함함 ―;
    상기 결합의 결과들에 기초하여 표준 기준 다이를 생성하는 단계 ― 상기 표준 기준 다이는 상기 내부 다이 위치들에 걸친 평균값을 포함함 ―;
    상기 웨이퍼에 대한 상기 검사 시스템의 출력을 획득하는 단계; 및
    하나 이상의 테스트 다이들에서의 결함들을 검출하기 위해서, 상기 표준 기준 다이를 상기 웨이퍼 상의 상기 하나 이상의 테스트 다이들에 대한 출력과 비교하는 단계
    를 포함하고,
    상기 방법은, 상기 내부 다이 위치들에 걸친 상기 중간값의 추가적인 표준 기준 다이를 생성하는 단계를 포함하는,
    웨이퍼를 검사하기 위한 방법.
  22. 제 21 항에 있어서,
    상기 비교하는 단계 이전에 디자인 데이터 공간에 대해서 상기 하나 이상의 테스트 다이들에 대한 상기 출력의 위치 및 상기 표준 기준 다이의 위치를 결정하는 단계, 및
    상기 비교하는 단계 이전에 상기 디자인 데이터 공간에 대해서 상기 하나 이상의 테스트 다이들에 대한 상기 출력 및 상기 표준 기준 다이의 위치들에 기초하여 상기 하나 이상의 테스트 다이들에 대한 상기 출력 및 상기 표준 기준 다이를 정렬시키는 단계
    를 더 포함하는,
    웨이퍼를 검사하기 위한 방법.
  23. 제 21 항에 있어서,
    프레임 대 프레임 정렬 기술(frame by frame alignment technique)을 이용하여 상기 비교하는 단계 이전에 상기 표준 기준 다이를 상기 하나 이상의 테스트 다이들에 대한 상기 출력에 정렬시키는 단계를 더 포함하는,
    웨이퍼를 검사하기 위한 방법.
  24. 제 21 항에 있어서,
    전체적인 정렬(global alignment)에 대해서 상기 하나 이상의 테스트 다이들에 대한 상기 출력의 제1 스와스(swath)를 정렬시키는 단계, 및
    상기 하나 이상의 테스트 다이들에 대한 상기 출력의 제2 스와스를 상기 제1 스와스에 정렬시켜 그에 따라 상기 제2 스와스를 상기 전체적인 정렬에 정렬시키는 단계
    를 더 포함하는,
    웨이퍼를 검사하기 위한 방법.
  25. 제 21 항에 있어서,
    상기 웨이퍼에 대한 상기 검사 시스템의 상기 출력은 상기 웨이퍼 상의 조명 스팟(illumination spot) 당 적어도 두 개의 샘플들을 포함하는,
    웨이퍼를 검사하기 위한 방법.
  26. 제 21 항에 있어서,
    상기 웨이퍼에 대한 상기 검사 시스템의 출력을 획득하는 단계는, 상기 웨이퍼 상의 상기 테스트 다이들 중 일부에 대해서만 상기 출력을 획득하는 단계를 포함하는,
    웨이퍼를 검사하기 위한 방법.
  27. 제 21 항에 있어서,
    상기 표준 기준 웨이퍼 및 상기 웨이퍼에 대한 상기 출력을 획득하는 단계는 광대역 딥 자외선 모드 검사(broadband deep ultraviolet mode inspection)를 이용하여 수행되는,
    웨이퍼를 검사하기 위한 방법.
  28. 제 21 항에 있어서,
    상기 표준 기준 웨이퍼 및 상기 웨이퍼에 대한 상기 출력을 획득하는 단계는 광대역 에지 콘트라스트 모드 검사(broadband edge contrast mode inspection)를 이용하여 수행되는,
    웨이퍼를 검사하기 위한 방법.
  29. 웨이퍼 상의 결함들을 검출하기 위한 컴퓨터-구현 방법으로서,
    상기 웨이퍼 상에 형성된 구조의 복합 이미지를 생성하기 위해서 상기 구조의 다수의 이미지들을 결합하는 단계 ― 상기 다수의 이미지들은 상기 구조가 형성되는 상기 웨이퍼 상의 다수의 위치들에서 획득되고, 상기 다수의 이미지들은 그레이 레벨들을 포함하며, 상기 결합하는 단계는 내부 다이 위치들에 걸쳐서 상기 그레이 레벨들의 평균값 및 중간값을 결정하는 단계를 포함하고, 상기 복합 이미지는 상기 내부 다이 위치들에 걸친 상기 평균값을 포함함 ―;
    상기 내부 다이 위치들에 걸친 상기 중간값의 추가적인 복합 이미지를 생성하는 단계; 및
    상기 웨이퍼 상의 결함들을 검출하기 위해서 상기 복합 이미지를 기준과 비교하는 단계
    를 포함하는,
    웨이퍼 상의 결함들을 검출하기 위한 컴퓨터-구현 방법.
  30. 제 29 항에 있어서,
    상기 결함들은 조직적인 결함들을 포함하는,
    웨이퍼 상의 결함들을 검출하기 위한 컴퓨터-구현 방법.
  31. 제 29 항에 있어서,
    상기 결함들은 상기 구조의 라인 에지 거칠기(line edge roughness)와 동일한 크기를 갖는,
    웨이퍼 상의 결함들을 검출하기 위한 컴퓨터-구현 방법.
  32. 제 29 항에 있어서,
    상기 결합하는 단계는 상기 다수의 이미지들을 평균하는 단계를 더 포함하는,
    웨이퍼 상의 결함들을 검출하기 위한 컴퓨터-구현 방법.
  33. 제 29 항에 있어서,
    상기 다수의 위치들은 동일한 디자인들을 갖는 셀들에서의 상기 구조의 위치들을 포함하는,
    웨이퍼 상의 결함들을 검출하기 위한 컴퓨터-구현 방법.
  34. 제 29 항에 있어서,
    상기 다수의 위치들은 이웃하는 다이들에서의 상기 구조의 위치들을 포함하는,
    웨이퍼 상의 결함들을 검출하기 위한 컴퓨터-구현 방법.
  35. 제 29 항에 있어서,
    상기 결합하는 단계는 상기 복합 이미지가 상기 다수의 이미지들 각각보다 더 작은 라인 에지 거칠기를 갖도록 수행되는,
    웨이퍼 상의 결함들을 검출하기 위한 컴퓨터-구현 방법.
  36. 제 29 항에 있어서 ,
    상기 결합하는 단계는 상기 복합 이미지가 상기 다수의 이미지들 각각보다 더 작은 랜덤 변화를 갖도록 수행되는,
    웨이퍼 상의 결함들을 검출하기 위한 컴퓨터-구현 방법.
  37. 제 29 항에 있어서,
    상기 결합하는 단계는 상기 복합 이미지가 상기 다수의 이미지들 각각보다 더 낮은 그레이 레벨 잡음을 갖도록 수행되는,
    웨이퍼 상의 결함들을 검출하기 위한 컴퓨터-구현 방법.
  38. 제 29 항에 있어서,
    상기 기준은 상기 구조의 기지의 양호한 이미지를 포함하는,
    웨이퍼 상의 결함들을 검출하기 위한 컴퓨터-구현 방법.
  39. 제 29 항에 있어서,
    상기 기준은 표준 기준 다이에서 획득된 상기 구조의 이미지를 포함하는,
    웨이퍼 상의 결함들을 검출하기 위한 컴퓨터-구현 방법.
  40. 제 29 항에 있어서,
    상기 기준은 기준 이미지, 복합 테스트 이미지, 또는 프로세스 윈도우 검정 다이에서 획득되는 상기 구조의 기준 이미지 및 복합 테스트 이미지를 포함하는,
    웨이퍼 상의 결함들을 검출하기 위한 컴퓨터-구현 방법.
  41. 제 29 항에 있어서,
    상기 기준은 렌더링된(rendered) 데이터베이스 이미지를 포함하는,
    웨이퍼 상의 결함들을 검출하기 위한 컴퓨터-구현 방법.
  42. 제 29 항에 있어서,
    상기 기준은, 상기 웨이퍼 상의 또는 상이한 웨이퍼 상의 다수의 위치들에서 획득되는 다수의 이미지들로부터 생성되는 상기 구조의 복합 이미지를 포함하는,
    웨이퍼 상의 결함들을 검출하기 위한 컴퓨터-구현 방법.
  43. 웨이퍼 상의 결함들을 검출하도록 구성되는 시스템으로서,
    상기 웨이퍼 상에 형성된 구조의 다수의 이미지들을 획득하도록 구성되는 검사 시스템; 및
    상기 구조의 복합 이미지를 생성하기 위해서 상기 다수의 이미지들을 결합하고 ― 상기 다수의 이미지들은 상기 구조가 형성되는 상기 웨이퍼 상의 다수의 위치들에서 획득되고, 상기 다수의 이미지들은 그레이 레벨들을 포함하며, 상기 결합하는 것은 내부 다이 위치들에 걸쳐 상기 그레이 레벨들의 평균값 및 중간값을 결정하는 것을 포함하고, 상기 복합 이미지는 상기 내부 다이 위치들에 걸친 상기 평균값을 포함함 ―, 상기 내부 다이 위치들에 걸쳐 상기 중간값의 추가적인 복합 이미지를 생성하며, 그리고 상기 웨이퍼 상의 결함들을 검출하기 위해서 상기 복합 이미지를 기준과 비교하도록 구성되는 컴퓨터 시스템
    을 포함하는,
    웨이퍼 상의 결함들을 검출하도록 구성되는 시스템.
  44. 컴퓨터 판독가능 매체로서,
    웨이퍼 상의 결함들을 검출하기 위한 컴퓨터-구현 방법을 수행하기 위한 컴퓨터 시스템 상에서 실행가능한 프로그램 명령들을 포함하고,
    상기 컴퓨터-구현 방법은,
    상기 웨이퍼 상에 형성된 구조의 복합 이미지를 생성하기 위해서 상기 구조의 다수의 이미지들을 결합하는 단계 ― 상기 다수의 이미지들은 상기 구조가 형성되는 상기 웨이퍼 상의 다수의 위치들에서 획득되고, 상기 다수의 이미지들은 그레이 레벨들을 포함하며, 상기 결합하는 단계는 내부 다이 위치들에 걸쳐 상기 그레이 레벨들의 평균값 및 중간값을 결정하는 단계를 포함하고, 상기 복합 이미지는 상기 내부 다이 위치들에 걸친 상기 평균값을 포함함 ―,
    상기 내부 다이 위치들에 걸쳐 상기 중간값의 추가적인 복합 이미지를 생성하는 단계, 및
    상기 웨이퍼 상의 결함들을 검출하기 위해서 상기 복합 이미지를 기준과 비교하는 단계
    를 포함하는,
    컴퓨터 판독가능 매체.
  45. 삭제
KR1020107003699A 2007-07-20 2008-07-21 다이 대 표준 기준 다이 검사에서의 이용을 위한 표준 기준 다이를 생성하기 위한 방법들 및 웨이퍼를 검사하기 위한 방법들 KR101471950B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US95097407P 2007-07-20 2007-07-20
US60/950,974 2007-07-20
US12/176,095 2008-07-18
US12/176,095 US7796804B2 (en) 2007-07-20 2008-07-18 Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020147015882A Division KR101553866B1 (ko) 2007-07-20 2008-07-21 다이 대 표준 기준 다이 검사에서의 이용을 위한 표준 기준 다이를 생성하기 위한 방법들 및 웨이퍼를 검사하기 위한 방법들

Publications (2)

Publication Number Publication Date
KR20100039411A KR20100039411A (ko) 2010-04-15
KR101471950B1 true KR101471950B1 (ko) 2014-12-11

Family

ID=40002987

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020147015882A KR101553866B1 (ko) 2007-07-20 2008-07-21 다이 대 표준 기준 다이 검사에서의 이용을 위한 표준 기준 다이를 생성하기 위한 방법들 및 웨이퍼를 검사하기 위한 방법들
KR1020107003699A KR101471950B1 (ko) 2007-07-20 2008-07-21 다이 대 표준 기준 다이 검사에서의 이용을 위한 표준 기준 다이를 생성하기 위한 방법들 및 웨이퍼를 검사하기 위한 방법들

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020147015882A KR101553866B1 (ko) 2007-07-20 2008-07-21 다이 대 표준 기준 다이 검사에서의 이용을 위한 표준 기준 다이를 생성하기 위한 방법들 및 웨이퍼를 검사하기 위한 방법들

Country Status (5)

Country Link
US (2) US7796804B2 (ko)
JP (3) JP5758121B2 (ko)
KR (2) KR101553866B1 (ko)
IL (2) IL202857A (ko)
WO (1) WO2009015084A2 (ko)

Families Citing this family (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US8041103B2 (en) * 2005-11-18 2011-10-18 Kla-Tencor Technologies Corp. Methods and systems for determining a position of inspection data in design data space
US7676077B2 (en) 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
WO2008077100A2 (en) 2006-12-19 2008-06-26 Kla-Tencor Corporation Systems and methods for creating inspection recipes
WO2008086282A2 (en) * 2007-01-05 2008-07-17 Kla-Tencor Corporation Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions
US7796804B2 (en) 2007-07-20 2010-09-14 Kla-Tencor Corp. Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer
US8139844B2 (en) * 2008-04-14 2012-03-20 Kla-Tencor Corp. Methods and systems for determining a defect criticality index for defects on wafers
KR101841897B1 (ko) 2008-07-28 2018-03-23 케이엘에이-텐코어 코오포레이션 웨이퍼 상의 메모리 디바이스 영역에서 검출된 결함들을 분류하기 위한 컴퓨터-구현 방법들, 컴퓨터-판독 가능 매체, 및 시스템들
US8041106B2 (en) * 2008-12-05 2011-10-18 Kla-Tencor Corp. Methods and systems for detecting defects on a reticle
US8775101B2 (en) 2009-02-13 2014-07-08 Kla-Tencor Corp. Detecting defects on a wafer
US8204297B1 (en) 2009-02-27 2012-06-19 Kla-Tencor Corp. Methods and systems for classifying defects detected on a reticle
US8112241B2 (en) * 2009-03-13 2012-02-07 Kla-Tencor Corp. Methods and systems for generating an inspection process for a wafer
JP5275208B2 (ja) * 2009-12-02 2013-08-28 株式会社東芝 半導体装置の製造方法
US8781781B2 (en) 2010-07-30 2014-07-15 Kla-Tencor Corp. Dynamic care areas
US8699784B2 (en) * 2010-08-10 2014-04-15 Camtek Ltd. Inspection recipe generation and inspection based on an inspection recipe
US9170211B2 (en) 2011-03-25 2015-10-27 Kla-Tencor Corp. Design-based inspection using repeating structures
US9087367B2 (en) 2011-09-13 2015-07-21 Kla-Tencor Corp. Determining design coordinates for wafer defects
US8750597B2 (en) 2011-11-23 2014-06-10 International Business Machines Corporation Robust inspection alignment of semiconductor inspection tools using design information
US8831334B2 (en) 2012-01-20 2014-09-09 Kla-Tencor Corp. Segmentation for wafer inspection
JP5988615B2 (ja) * 2012-02-28 2016-09-07 株式会社日立ハイテクノロジーズ 半導体評価装置、及びコンピュータープログラム
JP5895613B2 (ja) * 2012-03-08 2016-03-30 東レ株式会社 判定方法、判定装置、判定システム、および、プログラム
US8826200B2 (en) 2012-05-25 2014-09-02 Kla-Tencor Corp. Alteration for wafer inspection
US8977035B2 (en) 2012-06-13 2015-03-10 Applied Materials Israel, Ltd. System, method and computer program product for detection of defects within inspection images
US9189844B2 (en) 2012-10-15 2015-11-17 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific information
US9390494B2 (en) * 2012-12-13 2016-07-12 Kla-Tencor Corporation Delta die intensity map measurement
US20140168427A1 (en) * 2012-12-18 2014-06-19 Wal-Mart Stores, Inc. Notify associates of cleanup jobs
US9053527B2 (en) 2013-01-02 2015-06-09 Kla-Tencor Corp. Detecting defects on a wafer
US9134254B2 (en) 2013-01-07 2015-09-15 Kla-Tencor Corp. Determining a position of inspection system output in design data space
US9311698B2 (en) 2013-01-09 2016-04-12 Kla-Tencor Corp. Detecting defects on a wafer using template image matching
KR102019534B1 (ko) 2013-02-01 2019-09-09 케이엘에이 코포레이션 결함 특유의, 다중 채널 정보를 이용한 웨이퍼 상의 결함 검출
US9222895B2 (en) 2013-02-25 2015-12-29 Kla-Tencor Corp. Generalized virtual inspector
US9008410B2 (en) * 2013-03-13 2015-04-14 Kla-Tencor Corporation Single die inspection on a dark field inspection tool
US9098891B2 (en) * 2013-04-08 2015-08-04 Kla-Tencor Corp. Adaptive sampling for semiconductor inspection recipe creation, defect review, and metrology
US9865512B2 (en) 2013-04-08 2018-01-09 Kla-Tencor Corp. Dynamic design attributes for wafer inspection
US9310320B2 (en) 2013-04-15 2016-04-12 Kla-Tencor Corp. Based sampling and binning for yield critical defects
JP2014211417A (ja) * 2013-04-22 2014-11-13 株式会社ニューフレアテクノロジー パターン検査装置及びパターン検査方法
US9355208B2 (en) * 2013-07-08 2016-05-31 Kla-Tencor Corp. Detecting defects on a wafer
CN104764478B (zh) * 2014-01-03 2017-04-19 致茂电子股份有限公司 晶粒检测方法
US10127652B2 (en) 2014-02-06 2018-11-13 Kla-Tencor Corp. Defect detection and classification based on attributes determined from a standard reference image
US9262821B2 (en) 2014-05-12 2016-02-16 Kla-Tencor Corp. Inspection recipe setup from reference image variation
US9816939B2 (en) 2014-07-22 2017-11-14 Kla-Tencor Corp. Virtual inspection systems with multiple modes
US9766186B2 (en) 2014-08-27 2017-09-19 Kla-Tencor Corp. Array mode repeater detection
US9766187B2 (en) 2014-08-27 2017-09-19 Kla-Tencor Corp. Repeater detection
US10444011B2 (en) * 2014-11-25 2019-10-15 Hitachi High-Technologies Corporation Sample for coordinates calibration and method for fabricating the same
US9673022B2 (en) * 2015-07-13 2017-06-06 Applied Materials Israel Ltd. Review of suspected defects using one or more reference dies
US10535131B2 (en) * 2015-11-18 2020-01-14 Kla-Tencor Corporation Systems and methods for region-adaptive defect detection
US10062156B2 (en) 2016-02-25 2018-08-28 Kla-Tencor Corporation Method and system for detecting defects on a substrate
US10151706B1 (en) 2016-04-07 2018-12-11 Kla-Tencor Corp. Inspection for specimens with extensive die to die process variation
US9984454B2 (en) * 2016-04-22 2018-05-29 Kla-Tencor Corporation System, method and computer program product for correcting a difference image generated from a comparison of target and reference dies
KR101681517B1 (ko) * 2016-07-15 2016-12-12 주식회사 엠에스비전 반도체 설비의 정비 상태 검사 방법, 그 장치 및 이를 포함하는 반도체 설비
KR20180019872A (ko) 2016-08-17 2018-02-27 삼성전자주식회사 결함 검사 방법 및 결함 검사 장치
US10475178B1 (en) * 2017-01-30 2019-11-12 Kla-Tencor Corporation System, method and computer program product for inspecting a wafer using a film thickness map generated for the wafer
US10600175B2 (en) * 2017-03-24 2020-03-24 Kla-Tencor Corporation Dynamic care areas for defect detection
US10365232B2 (en) 2017-05-15 2019-07-30 Kla-Tencor Corp. High accuracy of relative defect locations for repeater analysis
US11237872B2 (en) 2017-05-23 2022-02-01 Kla-Tencor Corporation Semiconductor inspection and metrology systems for distributing job among the CPUs or GPUs based on logical image processing boundaries
US10957033B2 (en) 2017-07-10 2021-03-23 Kla-Tencor Corporation Repeater defect detection
US10964014B2 (en) * 2017-10-30 2021-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Defect detecting method and defect detecting system
KR102014926B1 (ko) * 2017-10-31 2019-08-27 에스케이실트론 주식회사 실리콘 웨이퍼의 산화물층의 두께 예측 방법
KR102427648B1 (ko) 2017-11-03 2022-08-01 삼성전자주식회사 결함 검사 방법 및 결함 검사 장치
US10572991B2 (en) 2017-11-07 2020-02-25 Kla-Tencor Corporation System and method for aligning semiconductor device reference images and test images
US11060981B2 (en) * 2018-03-20 2021-07-13 Applied Materials Israel Ltd. Guided inspection of a semiconductor wafer based on spatial density analysis
US10557802B2 (en) 2018-05-09 2020-02-11 Kla-Tencor Corporation Capture of repeater defects on a semiconductor wafer
KR102585069B1 (ko) 2018-06-04 2023-10-05 에이에스엠엘 네델란즈 비.브이. 패터닝 공정을 위한 공정 모델을 개선하는 방법
TWI671838B (zh) * 2018-07-17 2019-09-11 敖翔科技股份有限公司 半導體廠缺陷作業系統及裝置
KR20200044252A (ko) * 2018-10-18 2020-04-29 삼성디스플레이 주식회사 표시 패널 검사 시스템, 표시 패널 검사 방법 및 이를 이용한 표시 패널.
US10832396B2 (en) 2018-10-19 2020-11-10 Kla-Tencor Corp. And noise based care areas
JP2022043365A (ja) * 2018-11-19 2022-03-16 株式会社日立ハイテク 検査装置、検査方法、欠陥検出プログラム
US11112691B2 (en) * 2019-01-16 2021-09-07 Kla Corporation Inspection system with non-circular pupil
WO2021074944A1 (ja) * 2019-10-15 2021-04-22 株式会社日立ハイテク 欠陥検査方法及び欠陥検査装置
US11615993B2 (en) 2019-11-21 2023-03-28 Kla Corporation Clustering sub-care areas based on noise characteristics
JP7291663B2 (ja) * 2020-04-24 2023-06-15 Towa株式会社 位置決め装置、位置決め方法、樹脂成形システムおよび樹脂成形品の製造方法
US11328411B2 (en) * 2020-05-04 2022-05-10 KLA Corp. Print check repeater defect detection
KR20220001125A (ko) 2020-06-29 2022-01-05 삼성전자주식회사 기판 불량 검출 방법 및 장치
CN114509446A (zh) * 2020-10-23 2022-05-17 联华电子股份有限公司 晶片缺角自动检测方法与晶片缺角自动检测系统
KR102427897B1 (ko) * 2020-12-03 2022-08-02 에스케이하이닉스 주식회사 반도체 다이의 처리 방법
CN112635346B (zh) * 2020-12-08 2023-12-26 深圳中科飞测科技股份有限公司 晶圆检测方法、半导体检测设备及存储介质
CN113533356A (zh) * 2021-09-16 2021-10-22 武汉精创电子技术有限公司 晶粒阵列缺陷检测方法、装置、设备及可读存储介质
US20230351553A1 (en) * 2022-03-31 2023-11-02 Kla Corporation Shot noise reduction using frame averaging
US20230314336A1 (en) 2022-03-31 2023-10-05 Kla Corporation Multi-mode optical inspection
US11922619B2 (en) 2022-03-31 2024-03-05 Kla Corporation Context-based defect inspection
WO2023244564A1 (en) * 2022-06-14 2023-12-21 Bruker Nano, Inc. Method of analyzing metrology data

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050117796A1 (en) * 2003-11-28 2005-06-02 Shigeru Matsui Pattern defect inspection method and apparatus
WO2006063268A2 (en) * 2004-12-07 2006-06-15 Kla-Tencor Technologies Corp. Computer-implemented methods for detecting and/or sorting defects in a design pattern of a reticle

Family Cites Families (329)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3495269A (en) * 1966-12-19 1970-02-10 Xerox Corp Electrographic recording method and apparatus with inert gaseous discharge ionization and acceleration gaps
US3496352A (en) * 1967-06-05 1970-02-17 Xerox Corp Self-cleaning corona generating apparatus
US3909602A (en) 1973-09-27 1975-09-30 California Inst Of Techn Automatic visual inspection system for microelectronics
US4015203A (en) * 1975-12-31 1977-03-29 International Business Machines Corporation Contactless LSI junction leakage testing method
US4347001A (en) 1978-04-03 1982-08-31 Kla Instruments Corporation Automatic photomask inspection system and apparatus
US4247203A (en) * 1978-04-03 1981-01-27 Kla Instrument Corporation Automatic photomask inspection system and apparatus
FR2473789A1 (fr) 1980-01-09 1981-07-17 Ibm France Procedes et structures de test pour circuits integres a semi-conducteurs permettant la determination electrique de certaines tolerances lors des etapes photolithographiques.
US4378159A (en) * 1981-03-30 1983-03-29 Tencor Instruments Scanning contaminant and defect detector
US4448532A (en) 1981-03-31 1984-05-15 Kla Instruments Corporation Automatic photomask inspection method and system
US4926489A (en) 1983-03-11 1990-05-15 Kla Instruments Corporation Reticle inspection system
JPS59202573A (ja) * 1983-05-04 1984-11-16 Komatsu Ltd 棒状物体の検出方法
US4579455A (en) * 1983-05-09 1986-04-01 Kla Instruments Corporation Photomask inspection apparatus and method with improved defect detection
US4532650A (en) 1983-05-12 1985-07-30 Kla Instruments Corporation Photomask inspection apparatus and method using corner comparator defect detection algorithm
US4555798A (en) 1983-06-20 1985-11-26 Kla Instruments Corporation Automatic system and method for inspecting hole quality
US4578810A (en) * 1983-08-08 1986-03-25 Itek Corporation System for printed circuit board defect detection
JPS6062122A (ja) * 1983-09-16 1985-04-10 Fujitsu Ltd マスクパターンの露光方法
US4599558A (en) 1983-12-14 1986-07-08 Ibm Photovoltaic imaging for large area semiconductors
US4595289A (en) 1984-01-25 1986-06-17 At&T Bell Laboratories Inspection system utilizing dark-field illumination
JPS60263807A (ja) * 1984-06-12 1985-12-27 Dainippon Screen Mfg Co Ltd プリント配線板のパタ−ン欠陥検査装置
US4633504A (en) 1984-06-28 1986-12-30 Kla Instruments Corporation Automatic photomask inspection system having image enhancement means
US4817123A (en) * 1984-09-21 1989-03-28 Picker International Digital radiography detector resolution improvement
JPH0648380B2 (ja) 1985-06-13 1994-06-22 株式会社東芝 マスク検査方法
US4734721A (en) * 1985-10-04 1988-03-29 Markem Corporation Electrostatic printer utilizing dehumidified air
US4641967A (en) * 1985-10-11 1987-02-10 Tencor Instruments Particle position correlator and correlation method for a surface scanner
US4928313A (en) 1985-10-25 1990-05-22 Synthetic Vision Systems, Inc. Method and system for automatically visually inspecting an article
US5046109A (en) 1986-03-12 1991-09-03 Nikon Corporation Pattern inspection apparatus
US4814829A (en) * 1986-06-12 1989-03-21 Canon Kabushiki Kaisha Projection exposure apparatus
US4805123B1 (en) 1986-07-14 1998-10-13 Kla Instr Corp Automatic photomask and reticle inspection method and apparatus including improved defect detector and alignment sub-systems
JPS63122218A (ja) * 1986-11-12 1988-05-26 Jeol Ltd 微細パタ−ン検査方法
US4758094A (en) 1987-05-15 1988-07-19 Kla Instruments Corp. Process and apparatus for in-situ qualification of master patterns used in patterning systems
US4766324A (en) 1987-08-07 1988-08-23 Tencor Instruments Particle detection method including comparison between sequential scans
US4812756A (en) * 1987-08-26 1989-03-14 International Business Machines Corporation Contactless technique for semicondutor wafer testing
US4845558A (en) 1987-12-03 1989-07-04 Kla Instruments Corporation Method and apparatus for detecting defects in repeated microminiature patterns
US4877326A (en) 1988-02-19 1989-10-31 Kla Instruments Corporation Method and apparatus for optical inspection of substrates
JPH01305477A (ja) * 1988-06-02 1989-12-08 Hitachi Ltd 外観欠陥検査方法
US5054097A (en) 1988-11-23 1991-10-01 Schlumberger Technologies, Inc. Methods and apparatus for alignment of images
JPH02170549A (ja) * 1988-12-23 1990-07-02 Nec Corp ウェハ外観比較検査装置
US5155336A (en) 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
JP3707172B2 (ja) * 1996-01-24 2005-10-19 富士ゼロックス株式会社 画像読取装置
JPH08250385A (ja) * 1995-03-14 1996-09-27 Hitachi Ltd 半導体生産方法及びそのシステム
US5189481A (en) * 1991-07-26 1993-02-23 Tencor Instruments Particle detector for rough surfaces
US5563702A (en) * 1991-08-22 1996-10-08 Kla Instruments Corporation Automated photomask inspection apparatus and method
DE69208413T2 (de) * 1991-08-22 1996-11-14 Kla Instr Corp Gerät zur automatischen Prüfung von Photomaske
CA2131692A1 (en) 1992-03-09 1993-09-16 Sybille Muller An anti-idiotypic antibody and its use in diagnosis and therapy in hiv-related disease
US6205259B1 (en) 1992-04-09 2001-03-20 Olympus Optical Co., Ltd. Image processing apparatus
JP2667940B2 (ja) * 1992-04-27 1997-10-27 三菱電機株式会社 マスク検査方法およびマスク検出装置
JP3730263B2 (ja) 1992-05-27 2005-12-21 ケーエルエー・インストルメンツ・コーポレーション 荷電粒子ビームを用いた自動基板検査の装置及び方法
JP3212389B2 (ja) 1992-10-26 2001-09-25 株式会社キリンテクノシステム 固体上の異物検査方法
KR100300618B1 (ko) 1992-12-25 2001-11-22 오노 시게오 노광방법,노광장치,및그장치를사용하는디바이스제조방법
US5448053A (en) * 1993-03-01 1995-09-05 Rhoads; Geoffrey B. Method and apparatus for wide field distortion-compensated imaging
US5453844A (en) 1993-07-21 1995-09-26 The University Of Rochester Image data coding and compression system utilizing controlled blurring
US5544256A (en) 1993-10-22 1996-08-06 International Business Machines Corporation Automated defect classification system
US5500607A (en) 1993-12-22 1996-03-19 International Business Machines Corporation Probe-oxide-semiconductor method and apparatus for measuring oxide charge on a semiconductor wafer
JP2971313B2 (ja) * 1993-12-24 1999-11-02 株式会社東芝 欠陥検出装置及び検出方法
US5696835A (en) 1994-01-21 1997-12-09 Texas Instruments Incorporated Apparatus and method for aligning and measuring misregistration
US5553168A (en) 1994-01-21 1996-09-03 Texas Instruments Incorporated System and method for recognizing visual indicia
US5864394A (en) * 1994-06-20 1999-01-26 Kla-Tencor Corporation Surface inspection system
JP3017640B2 (ja) * 1994-07-26 2000-03-13 松下電工株式会社 半導体チップの外観検査方法
US5608538A (en) * 1994-08-24 1997-03-04 International Business Machines Corporation Scan line queuing for high performance image correction
US5572608A (en) 1994-08-24 1996-11-05 International Business Machines Corporation Sinc filter in linear lumen space for scanner
US5528153A (en) 1994-11-07 1996-06-18 Texas Instruments Incorporated Method for non-destructive, non-contact measurement of dielectric constant of thin films
US6014461A (en) * 1994-11-30 2000-01-11 Texas Instruments Incorporated Apparatus and method for automatic knowlege-based object identification
US5694478A (en) 1994-12-15 1997-12-02 Minnesota Mining And Manufacturing Company Method and apparatus for detecting and identifying microbial colonies
US5948972A (en) 1994-12-22 1999-09-07 Kla-Tencor Corporation Dual stage instrument for scanning a specimen
CA2139182A1 (en) 1994-12-28 1996-06-29 Paul Chevrette Method and system for fast microscanning
US5661408A (en) 1995-03-01 1997-08-26 Qc Solutions, Inc. Real-time in-line testing of semiconductor wafers
US5991699A (en) 1995-05-04 1999-11-23 Kla Instruments Corporation Detecting groups of defects in semiconductor feature space
TW341664B (en) 1995-05-12 1998-10-01 Ibm Photovoltaic oxide charge measurement probe technique
US5485091A (en) * 1995-05-12 1996-01-16 International Business Machines Corporation Contactless electrical thin oxide measurements
US5644223A (en) 1995-05-12 1997-07-01 International Business Machines Corporation Uniform density charge deposit source
US6288780B1 (en) * 1995-06-06 2001-09-11 Kla-Tencor Technologies Corp. High throughput brightfield/darkfield wafer inspection system using advanced optical techniques
US5649169A (en) 1995-06-20 1997-07-15 Advanced Micro Devices, Inc. Method and system for declustering semiconductor defect data
US5594247A (en) * 1995-07-07 1997-01-14 Keithley Instruments, Inc. Apparatus and method for depositing charge on a semiconductor wafer
US5773989A (en) 1995-07-14 1998-06-30 University Of South Florida Measurement of the mobile ion concentration in the oxide layer of a semiconductor wafer
US5621519A (en) * 1995-07-31 1997-04-15 Neopath, Inc. Imaging system transfer function control method and apparatus
US5619548A (en) * 1995-08-11 1997-04-08 Oryx Instruments And Materials Corp. X-ray thickness gauge
WO1997013370A1 (en) 1995-10-02 1997-04-10 Kla Instruments Corporation Alignment correction prior to image sampling in inspection systems
US5754678A (en) 1996-01-17 1998-05-19 Photon Dynamics, Inc. Substrate inspection apparatus and method
JP3625236B2 (ja) * 1996-01-29 2005-03-02 株式会社ルネサステクノロジ 被検査パターンの欠陥検査方法および半導体製造プロセス評価方法
JPH09320505A (ja) 1996-03-29 1997-12-12 Hitachi Ltd 電子線式検査方法及びその装置並びに半導体の製造方法及びその製造ライン
US5673208A (en) * 1996-04-11 1997-09-30 Micron Technology, Inc. Focus spot detection method and system
US5742658A (en) * 1996-05-23 1998-04-21 Advanced Micro Devices, Inc. Apparatus and method for determining the elemental compositions and relative locations of particles on the surface of a semiconductor wafer
US6205239B1 (en) * 1996-05-31 2001-03-20 Texas Instruments Incorporated System and method for circuit repair
US6292582B1 (en) 1996-05-31 2001-09-18 Lin Youling Method and system for identifying defects in a semiconductor
US6091846A (en) 1996-05-31 2000-07-18 Texas Instruments Incorporated Method and system for anomaly detection
US6246787B1 (en) 1996-05-31 2001-06-12 Texas Instruments Incorporated System and method for knowledgebase generation and management
JP3660763B2 (ja) * 1996-06-26 2005-06-15 株式会社日立製作所 被検査パターンの検査方法及び製造プロセス診断方法並びに半導体基板の製造方法
US5767693A (en) 1996-09-04 1998-06-16 Smithley Instruments, Inc. Method and apparatus for measurement of mobile charges with a corona screen gun
US6076465A (en) 1996-09-20 2000-06-20 Kla-Tencor Corporation System and method for determining reticle defect printability
KR100200734B1 (ko) * 1996-10-10 1999-06-15 윤종용 에어리얼 이미지 측정 장치 및 방법
US5866806A (en) * 1996-10-11 1999-02-02 Kla-Tencor Corporation System for locating a feature of a surface
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US6259960B1 (en) 1996-11-01 2001-07-10 Joel Ltd. Part-inspecting system
US5852232A (en) 1997-01-02 1998-12-22 Kla-Tencor Corporation Acoustic sensor as proximity detector
US5978501A (en) 1997-01-03 1999-11-02 International Business Machines Corporation Adaptive inspection method and system
US5955661A (en) 1997-01-06 1999-09-21 Kla-Tencor Corporation Optical profilometer combined with stylus probe measurement device
US5795685A (en) 1997-01-14 1998-08-18 International Business Machines Corporation Simple repair method for phase shifting masks
US5889593A (en) * 1997-02-26 1999-03-30 Kla Instruments Corporation Optical system and method for angle-dependent reflection or transmission measurement
US5980187A (en) 1997-04-16 1999-11-09 Kla-Tencor Corporation Mechanism for transporting semiconductor-process masks
US6121783A (en) 1997-04-22 2000-09-19 Horner; Gregory S. Method and apparatus for establishing electrical contact between a wafer and a chuck
US6097196A (en) 1997-04-23 2000-08-01 Verkuil; Roger L. Non-contact tunnelling field measurement for a semiconductor oxide layer
US6078738A (en) 1997-05-08 2000-06-20 Lsi Logic Corporation Comparing aerial image to SEM of photoresist or substrate pattern for masking process characterization
KR100308811B1 (ko) 1997-05-10 2001-12-15 박종섭 Gps를이용한시간및주파수발생장치의시간오차개선방법
US6201999B1 (en) * 1997-06-09 2001-03-13 Applied Materials, Inc. Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool
JPH1114323A (ja) * 1997-06-25 1999-01-22 Asahi Chem Ind Co Ltd パターン検査方法及びパターン検査装置
US6011404A (en) * 1997-07-03 2000-01-04 Lucent Technologies Inc. System and method for determining near--surface lifetimes and the tunneling field of a dielectric in a semiconductor
US6072320A (en) 1997-07-30 2000-06-06 Verkuil; Roger L. Product wafer junction leakage measurement using light and eddy current
US6104206A (en) 1997-08-05 2000-08-15 Verkuil; Roger L. Product wafer junction leakage measurement using corona and a kelvin probe
US5834941A (en) 1997-08-11 1998-11-10 Keithley Instruments, Inc. Mobile charge measurement using corona charge and ultraviolet light
JPH1167876A (ja) * 1997-08-14 1999-03-09 Hitachi Tokyo Electron Co Ltd ダイ認識方法および半導体製造装置
US6191605B1 (en) * 1997-08-18 2001-02-20 Tom G. Miller Contactless method for measuring total charge of an insulating layer on a substrate using corona charge
US6578188B1 (en) * 1997-09-17 2003-06-10 Numerical Technologies, Inc. Method and apparatus for a network-based mask defect printability analysis system
US6470489B1 (en) 1997-09-17 2002-10-22 Numerical Technologies, Inc. Design rule checking system and method
US6757645B2 (en) 1997-09-17 2004-06-29 Numerical Technologies, Inc. Visual inspection and verification system
US7107571B2 (en) * 1997-09-17 2006-09-12 Synopsys, Inc. Visual analysis and verification system using advanced tools
US5965306A (en) 1997-10-15 1999-10-12 International Business Machines Corporation Method of determining the printability of photomask defects
US5874733A (en) * 1997-10-16 1999-02-23 Raytheon Company Convergent beam scanner linearizing method and apparatus
US6097887A (en) 1997-10-27 2000-08-01 Kla-Tencor Corporation Software system and method for graphically building customized recipe flowcharts
US6233719B1 (en) 1997-10-27 2001-05-15 Kla-Tencor Corporation System and method for analyzing semiconductor production data
US6104835A (en) 1997-11-14 2000-08-15 Kla-Tencor Corporation Automatic knowledge database generation for classifying objects and systems therefor
US6614520B1 (en) 1997-12-18 2003-09-02 Kla-Tencor Corporation Method for inspecting a reticle
US6060709A (en) 1997-12-31 2000-05-09 Verkuil; Roger L. Apparatus and method for depositing uniform charge on a thin oxide semiconductor wafer
US6175645B1 (en) * 1998-01-22 2001-01-16 Applied Materials, Inc. Optical inspection method and apparatus
US6122017A (en) 1998-01-22 2000-09-19 Hewlett-Packard Company Method for providing motion-compensated multi-field enhancement of still images from video
US6171737B1 (en) * 1998-02-03 2001-01-09 Advanced Micro Devices, Inc. Low cost application of oxide test wafer for defect monitor in photolithography process
US5932377A (en) 1998-02-24 1999-08-03 International Business Machines Corporation Exact transmission balanced alternating phase-shifting mask for photolithography
US6091845A (en) 1998-02-24 2000-07-18 Micron Technology, Inc. Inspection technique of photomask
US6091257A (en) 1998-02-26 2000-07-18 Verkuil; Roger L. Vacuum activated backside contact
US6282309B1 (en) 1998-05-29 2001-08-28 Kla-Tencor Corporation Enhanced sensitivity automated photomask inspection system
US6137570A (en) 1998-06-30 2000-10-24 Kla-Tencor Corporation System and method for analyzing topological features on a surface
JP2000089148A (ja) 1998-07-13 2000-03-31 Canon Inc 光走査装置及びそれを用いた画像形成装置
US6324298B1 (en) * 1998-07-15 2001-11-27 August Technology Corp. Automated wafer defect inspection system and a process of performing such inspection
JP2000049203A (ja) * 1998-07-30 2000-02-18 Hitachi Ltd 外観検査方法および装置ならびに半導体装置の製造方法
US6266437B1 (en) 1998-09-04 2001-07-24 Sandia Corporation Sequential detection of web defects
US6466314B1 (en) 1998-09-17 2002-10-15 Applied Materials, Inc. Reticle design inspection system
US6122046A (en) 1998-10-02 2000-09-19 Applied Materials, Inc. Dual resolution combined laser spot scanning and area imaging inspection
US6535628B2 (en) * 1998-10-15 2003-03-18 Applied Materials, Inc. Detection of wafer fragments in a wafer processing apparatus
JP3860347B2 (ja) 1998-10-30 2006-12-20 富士通株式会社 リンク処理装置
US6248486B1 (en) 1998-11-23 2001-06-19 U.S. Philips Corporation Method of detecting aberrations of an optical imaging system
US6476913B1 (en) 1998-11-30 2002-11-05 Hitachi, Ltd. Inspection method, apparatus and system for circuit pattern
US6529621B1 (en) 1998-12-17 2003-03-04 Kla-Tencor Mechanisms for making and inspecting reticles
US6252412B1 (en) * 1999-01-08 2001-06-26 Schlumberger Technologies, Inc. Method of detecting defects in patterned substrates
US6539106B1 (en) 1999-01-08 2003-03-25 Applied Materials, Inc. Feature-based defect detection
US6373975B1 (en) * 1999-01-25 2002-04-16 International Business Machines Corporation Error checking of simulated printed images with process window effects included
US6252981B1 (en) * 1999-03-17 2001-06-26 Semiconductor Technologies & Instruments, Inc. System and method for selection of a reference die
US7106895B1 (en) 1999-05-05 2006-09-12 Kla-Tencor Method and apparatus for inspecting reticles implementing parallel processing
WO2000068738A1 (fr) * 1999-05-07 2000-11-16 Nikon Corporation Table de montage, micro-appareil, masque photographique, procede d'exposition, et procede de fabrication d'appareil
EP1190238A1 (en) * 1999-05-18 2002-03-27 Applied Materials, Inc. Method of and apparatus for inspection of articles by comparison with a master
US6526164B1 (en) * 1999-05-27 2003-02-25 International Business Machines Corporation Intelligent photomask disposition
US6922482B1 (en) 1999-06-15 2005-07-26 Applied Materials, Inc. Hybrid invariant adaptive automatic defect classification
US6407373B1 (en) 1999-06-15 2002-06-18 Applied Materials, Inc. Apparatus and method for reviewing defects on an object
KR100702741B1 (ko) 1999-06-29 2007-04-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 장치 제조를 위한 집적식 임계치수 제어
WO2001003380A1 (fr) * 1999-07-02 2001-01-11 Fujitsu Limited Dispositif d'attribution de services
US6776692B1 (en) 1999-07-09 2004-08-17 Applied Materials Inc. Closed-loop control of wafer polishing in a chemical mechanical polishing system
US6466895B1 (en) 1999-07-16 2002-10-15 Applied Materials, Inc. Defect reference system automatic pattern classification
US6248485B1 (en) 1999-07-19 2001-06-19 Lucent Technologies Inc. Method for controlling a process for patterning a feature in a photoresist
US6466315B1 (en) 1999-09-03 2002-10-15 Applied Materials, Inc. Method and system for reticle inspection by photolithography simulation
US20020144230A1 (en) 1999-09-22 2002-10-03 Dupont Photomasks, Inc. System and method for correcting design rule violations in a mask layout file
JP2001092948A (ja) * 1999-09-24 2001-04-06 Konica Corp 画像処理装置及び画像処理方法
US6268093B1 (en) 1999-10-13 2001-07-31 Applied Materials, Inc. Method for reticle inspection using aerial imaging
FR2801673B1 (fr) * 1999-11-26 2001-12-28 Pechiney Aluminium Procede de mesure du degre et de l'homogeneite de calcination des alumines
US7190292B2 (en) 1999-11-29 2007-03-13 Bizjak Karl M Input level adjust system and method
US6771806B1 (en) 1999-12-14 2004-08-03 Kla-Tencor Multi-pixel methods and apparatus for analysis of defect information from test structures on semiconductor devices
US6445199B1 (en) 1999-12-14 2002-09-03 Kla-Tencor Corporation Methods and apparatus for generating spatially resolved voltage contrast maps of semiconductor test structures
US6701004B1 (en) * 1999-12-22 2004-03-02 Intel Corporation Detecting defects on photomasks
US6778695B1 (en) 1999-12-23 2004-08-17 Franklin M. Schellenberg Design-based reticle defect prioritization
JP4419250B2 (ja) 2000-02-15 2010-02-24 株式会社ニコン 欠陥検査装置
US7120285B1 (en) 2000-02-29 2006-10-10 Advanced Micro Devices, Inc. Method for evaluation of reticle image using aerial image simulator
US6451690B1 (en) 2000-03-13 2002-09-17 Matsushita Electronics Corporation Method of forming electrode structure and method of fabricating semiconductor device
US6482557B1 (en) * 2000-03-24 2002-11-19 Dupont Photomasks, Inc. Method and apparatus for evaluating the runability of a photomask inspection tool
US6569691B1 (en) 2000-03-29 2003-05-27 Semiconductor Diagnostics, Inc. Measurement of different mobile ion concentrations in the oxide layer of a semiconductor wafer
US6759255B2 (en) 2000-05-10 2004-07-06 Kla-Tencor Technologies Corp. Method and system for detecting metal contamination on a semiconductor wafer
US6425113B1 (en) 2000-06-13 2002-07-23 Leigh C. Anderson Integrated verification and manufacturability tool
EP1296351A4 (en) * 2000-06-27 2009-09-23 Ebara Corp INVESTIGATION DEVICE FOR LOADED PARTICLE RAYS AND METHOD FOR PRODUCING A COMPONENT ELEVATED WITH THIS INSPECTION DEVICE
JP2002032737A (ja) 2000-07-14 2002-01-31 Seiko Instruments Inc 半導体装置のパターン観察のためのナビゲーション方法及び装置
DE10036810A1 (de) * 2000-07-28 2002-02-07 Bosch Gmbh Robert Verbindung zwischen zwei koaxial hintereinander angeordneten Schaftenden eines Gaswechselventils einer Brennkraftmaschine und eines Ventilstellers
US6636301B1 (en) 2000-08-10 2003-10-21 Kla-Tencor Corporation Multiple beam inspection apparatus and method
US6634018B2 (en) * 2000-08-24 2003-10-14 Texas Instruments Incorporated Optical proximity correction
JP2002071575A (ja) 2000-09-04 2002-03-08 Matsushita Electric Ind Co Ltd 欠陥検査解析方法および欠陥検査解析システム
DE10044257A1 (de) 2000-09-07 2002-04-11 Infineon Technologies Ag Verfahren zum Erzeugen von Masken-Layout-Daten für die Lithografiesimulation und von optimierten Masken-Layout-Daten sowie zugehörige Vorrichtung und Programme
US6513151B1 (en) * 2000-09-14 2003-01-28 Advanced Micro Devices, Inc. Full flow focus exposure matrix analysis and electrical testing for new product mask evaluation
US6724489B2 (en) 2000-09-22 2004-04-20 Daniel Freifeld Three dimensional scanning camera
US6593152B2 (en) 2000-11-02 2003-07-15 Ebara Corporation Electron beam apparatus and method of manufacturing semiconductor device using the apparatus
US6753954B2 (en) 2000-12-06 2004-06-22 Asml Masktools B.V. Method and apparatus for detecting aberrations in a projection lens utilized for projection optics
US6602728B1 (en) 2001-01-05 2003-08-05 International Business Machines Corporation Method for generating a proximity model based on proximity rules
US6680621B2 (en) * 2001-01-26 2004-01-20 Semiconductor Diagnostics, Inc. Steady state method for measuring the thickness and the capacitance of ultra thin dielectric in the presence of substantial leakage current
US6597193B2 (en) 2001-01-26 2003-07-22 Semiconductor Diagnostics, Inc. Steady state method for measuring the thickness and the capacitance of ultra thin dielectric in the presence of substantial leakage current
US20020145734A1 (en) 2001-02-09 2002-10-10 Cory Watkins Confocal 3D inspection system and process
US6873720B2 (en) 2001-03-20 2005-03-29 Synopsys, Inc. System and method of providing mask defect printability analysis
JP3973372B2 (ja) 2001-03-23 2007-09-12 株式会社日立製作所 荷電粒子線を用いた基板検査装置および基板検査方法
US6665065B1 (en) 2001-04-09 2003-12-16 Advanced Micro Devices, Inc. Defect detection in pellicized reticles via exposure at short wavelengths
JP4038356B2 (ja) 2001-04-10 2008-01-23 株式会社日立製作所 欠陥データ解析方法及びその装置並びにレビューシステム
JP4266082B2 (ja) 2001-04-26 2009-05-20 株式会社東芝 露光用マスクパターンの検査方法
JP4199939B2 (ja) 2001-04-27 2008-12-24 株式会社日立製作所 半導体検査システム
US20020186878A1 (en) 2001-06-07 2002-12-12 Hoon Tan Seow System and method for multiple image analysis
US6779159B2 (en) 2001-06-08 2004-08-17 Sumitomo Mitsubishi Silicon Corporation Defect inspection method and defect inspection apparatus
US6581193B1 (en) 2001-06-13 2003-06-17 Kla-Tencor Apparatus and methods for modeling process effects and imaging effects in scanning electron microscopy
US7382447B2 (en) * 2001-06-26 2008-06-03 Kla-Tencor Technologies Corporation Method for determining lithographic focus and exposure
US6593748B1 (en) 2001-07-12 2003-07-15 Advanced Micro Devices, Inc. Process integration of electrical thickness measurement of gate oxide and tunnel oxides by corona discharge technique
US20030014146A1 (en) * 2001-07-12 2003-01-16 Kabushiki Kaisha Toshiba Dangerous process/pattern detection system and method, danger detection program, and semiconductor device manufacturing method
JP2003031477A (ja) * 2001-07-17 2003-01-31 Hitachi Ltd 半導体装置の製造方法およびシステム
JP4122735B2 (ja) * 2001-07-24 2008-07-23 株式会社日立製作所 半導体デバイスの検査方法および検査条件設定方法
JP3870044B2 (ja) * 2001-07-25 2007-01-17 株式会社日立製作所 パターン検査方法及びパターン検査装置
US7030997B2 (en) 2001-09-11 2006-04-18 The Regents Of The University Of California Characterizing aberrations in an imaging lens and applications to visual testing and integrated circuit mask analysis
ATE454016T1 (de) * 2001-09-12 2010-01-15 Panasonic Corp Bildkodierungs- und biddekodierungsverfahren
JP3903889B2 (ja) * 2001-09-13 2007-04-11 株式会社日立製作所 欠陥検査方法及びその装置並びに撮像方法及びその装置
JP3870052B2 (ja) 2001-09-20 2007-01-17 株式会社日立製作所 半導体装置の製造方法及び欠陥検査データ処理方法
JP3955450B2 (ja) * 2001-09-27 2007-08-08 株式会社ルネサステクノロジ 試料検査方法
US6670082B2 (en) 2001-10-09 2003-12-30 Numerical Technologies, Inc. System and method for correcting 3D effects in an alternating phase-shifting mask
WO2003036549A1 (en) 2001-10-25 2003-05-01 Kla-Tencor Technologies Corporation Apparatus and methods for managing reliability of semiconductor devices
US6751519B1 (en) 2001-10-25 2004-06-15 Kla-Tencor Technologies Corporation Methods and systems for predicting IC chip yield
US6918101B1 (en) 2001-10-25 2005-07-12 Kla -Tencor Technologies Corporation Apparatus and methods for determining critical area of semiconductor design data
US6948141B1 (en) 2001-10-25 2005-09-20 Kla-Tencor Technologies Corporation Apparatus and methods for determining critical area of semiconductor design data
US6734696B2 (en) 2001-11-01 2004-05-11 Kla-Tencor Technologies Corp. Non-contact hysteresis measurements of insulating films
US6886153B1 (en) * 2001-12-21 2005-04-26 Kla-Tencor Corporation Design driven inspection or measurement for semiconductor using recipe
US6789032B2 (en) 2001-12-26 2004-09-07 International Business Machines Corporation Method of statistical binning for reliability selection
US6658640B2 (en) 2001-12-26 2003-12-02 Numerical Technologies, Inc. Simulation-based feed forward process control
US6906305B2 (en) 2002-01-08 2005-06-14 Brion Technologies, Inc. System and method for aerial image sensing
US7236847B2 (en) 2002-01-16 2007-06-26 Kla-Tencor Technologies Corp. Systems and methods for closed loop defect reduction
JP2003215060A (ja) 2002-01-22 2003-07-30 Tokyo Seimitsu Co Ltd パターン検査方法及び検査装置
US6691052B1 (en) * 2002-01-30 2004-02-10 Kla-Tencor Corporation Apparatus and methods for generating an inspection reference pattern
JP3629244B2 (ja) 2002-02-19 2005-03-16 本多エレクトロン株式会社 ウエーハ用検査装置
US7257247B2 (en) 2002-02-21 2007-08-14 International Business Machines Corporation Mask defect analysis system
US20030223639A1 (en) 2002-03-05 2003-12-04 Vladimir Shlain Calibration and recognition of materials in technical images using specific and non-specific features
US20030192015A1 (en) 2002-04-04 2003-10-09 Numerical Technologies, Inc. Method and apparatus to facilitate test pattern design for model calibration and proximity correction
US6966047B1 (en) 2002-04-09 2005-11-15 Kla-Tencor Technologies Corporation Capturing designer intent in reticle inspection
US6642066B1 (en) 2002-05-15 2003-11-04 Advanced Micro Devices, Inc. Integrated process for depositing layer of high-K dielectric with in-situ control of K value and thickness of high-K dielectric layer
US7152215B2 (en) 2002-06-07 2006-12-19 Praesagus, Inc. Dummy fill for integrated circuits
US7393755B2 (en) 2002-06-07 2008-07-01 Cadence Design Systems, Inc. Dummy fill for integrated circuits
US7124386B2 (en) 2002-06-07 2006-10-17 Praesagus, Inc. Dummy fill for integrated circuits
US20030229875A1 (en) 2002-06-07 2003-12-11 Smith Taber H. Use of models in integrated circuit fabrication
US6828542B2 (en) * 2002-06-07 2004-12-07 Brion Technologies, Inc. System and method for lithography process monitoring and control
US7363099B2 (en) 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
AU2003274370A1 (en) 2002-06-07 2003-12-22 Praesagus, Inc. Characterization adn reduction of variation for integrated circuits
JP4008291B2 (ja) * 2002-06-10 2007-11-14 大日本スクリーン製造株式会社 パターン検査装置、パターン検査方法およびプログラム
JP2004031709A (ja) * 2002-06-27 2004-01-29 Seiko Instruments Inc ウエハレス測長レシピ生成装置
US6777676B1 (en) 2002-07-05 2004-08-17 Kla-Tencor Technologies Corporation Non-destructive root cause analysis on blocked contact or via
JP4073265B2 (ja) 2002-07-09 2008-04-09 富士通株式会社 検査装置及び検査方法
US7012438B1 (en) * 2002-07-10 2006-03-14 Kla-Tencor Technologies Corp. Methods and systems for determining a property of an insulating film
WO2004008246A2 (en) 2002-07-12 2004-01-22 Cadence Design Systems, Inc. Method and system for context-specific mask writing
EP1579274A4 (en) 2002-07-12 2006-06-07 Cadence Design Systems Inc METHOD AND SYSTEM FOR CONTROLLING MASKS ACCORDING TO THE CONTEXT
US6902855B2 (en) 2002-07-15 2005-06-07 Kla-Tencor Technologies Qualifying patterns, patterning processes, or patterning apparatus in the fabrication of microlithographic patterns
US7418124B2 (en) 2002-07-15 2008-08-26 Kla-Tencor Technologies Corp. Qualifying patterns, patterning processes, or patterning apparatus in the fabrication of microlithographic patterns
US6775818B2 (en) 2002-08-20 2004-08-10 Lsi Logic Corporation Device parameter and gate performance simulation based on wafer image prediction
US6784446B1 (en) 2002-08-29 2004-08-31 Advanced Micro Devices, Inc. Reticle defect printability verification by resist latent image comparison
US7043071B2 (en) * 2002-09-13 2006-05-09 Synopsys, Inc. Soft defect printability simulation and analysis for masks
US7504182B2 (en) 2002-09-18 2009-03-17 Fei Company Photolithography mask repair
KR100474571B1 (ko) * 2002-09-23 2005-03-10 삼성전자주식회사 웨이퍼의 패턴 검사용 기준 이미지 설정 방법과 이 설정방법을 이용한 패턴 검사 방법 및 장치
US7061625B1 (en) 2002-09-27 2006-06-13 Kla-Tencor Technologies Corporation Method and apparatus using interferometric metrology for high aspect ratio inspection
US7123356B1 (en) 2002-10-15 2006-10-17 Kla-Tencor Technologies Corp. Methods and systems for inspecting reticles using aerial imaging and die-to-database detection
US7379175B1 (en) 2002-10-15 2008-05-27 Kla-Tencor Technologies Corp. Methods and systems for reticle inspection and defect review using aerial imaging
US7027143B1 (en) 2002-10-15 2006-04-11 Kla-Tencor Technologies Corp. Methods and systems for inspecting reticles using aerial imaging at off-stepper wavelengths
US6807503B2 (en) * 2002-11-04 2004-10-19 Brion Technologies, Inc. Method and apparatus for monitoring integrated circuit fabrication
US7386839B1 (en) 2002-11-06 2008-06-10 Valery Golender System and method for troubleshooting software configuration problems using application tracing
US7457736B2 (en) 2002-11-21 2008-11-25 Synopsys, Inc. Automated creation of metrology recipes
US7136143B2 (en) 2002-12-13 2006-11-14 Smith Bruce W Method for aberration detection and measurement
US6882745B2 (en) * 2002-12-19 2005-04-19 Freescale Semiconductor, Inc. Method and apparatus for translating detected wafer defect coordinates to reticle coordinates using CAD data
US7162071B2 (en) 2002-12-20 2007-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Progressive self-learning defect review and classification method
US6718526B1 (en) * 2003-02-07 2004-04-06 Kla-Tencor Corporation Spatial signature analysis
US7030966B2 (en) 2003-02-11 2006-04-18 Asml Netherlands B.V. Lithographic apparatus and method for optimizing an illumination source using photolithographic simulations
JP4056412B2 (ja) * 2003-03-10 2008-03-05 株式会社東京精密 パターン検査方法及び装置
JP3699960B2 (ja) 2003-03-14 2005-09-28 株式会社東芝 検査レシピ作成システム、欠陥レビューシステム、検査レシピ作成方法及び欠陥レビュー方法
US7053355B2 (en) * 2003-03-18 2006-05-30 Brion Technologies, Inc. System and method for lithography process monitoring and control
US7508973B2 (en) 2003-03-28 2009-03-24 Hitachi High-Technologies Corporation Method of inspecting defects
US6859746B1 (en) * 2003-05-01 2005-02-22 Advanced Micro Devices, Inc. Methods of using adaptive sampling techniques based upon categorization of process variations, and system for performing same
JP2004340652A (ja) 2003-05-14 2004-12-02 Hitachi Ltd 欠陥検査装置および陽電子線応用装置
US6777147B1 (en) 2003-05-21 2004-08-17 International Business Machines Corporation Method for evaluating the effects of multiple exposure processes in lithography
US9002497B2 (en) * 2003-07-03 2015-04-07 Kla-Tencor Technologies Corp. Methods and systems for inspection of wafers and reticles using designer intent data
US7135344B2 (en) * 2003-07-11 2006-11-14 Applied Materials, Israel, Ltd. Design-based monitoring
US6988045B2 (en) * 2003-08-04 2006-01-17 Advanced Micro Devices, Inc. Dynamic metrology sampling methods, and system for performing same
EP2256487B1 (en) * 2003-09-04 2019-11-06 KLA-Tencor Corporation Methods for inspection of a specimen using different inspection parameters
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
US7103484B1 (en) 2003-10-31 2006-09-05 Kla-Tencor Technologies Corp. Non-contact methods for measuring electrical thickness and determining nitrogen content of insulating films
JP2005183907A (ja) 2003-11-26 2005-07-07 Matsushita Electric Ind Co Ltd パターン解析方法及びパターン解析装置
US8151220B2 (en) * 2003-12-04 2012-04-03 Kla-Tencor Technologies Corp. Methods for simulating reticle layout data, inspecting reticle layout data, and generating a process for inspecting reticle layout data
KR101056142B1 (ko) 2004-01-29 2011-08-10 케이엘에이-텐코 코포레이션 레티클 설계 데이터의 결함을 검출하기 위한 컴퓨터로구현되는 방법
JP4426871B2 (ja) 2004-02-25 2010-03-03 エスアイアイ・ナノテクノロジー株式会社 Fib/sem複合装置の画像ノイズ除去
US7194709B2 (en) * 2004-03-05 2007-03-20 Keith John Brankner Automatic alignment of integrated circuit and design layout of integrated circuit to more accurately assess the impact of anomalies
JP2005283326A (ja) 2004-03-30 2005-10-13 Hitachi High-Technologies Corp 欠陥レビュー方法及びその装置
US7215808B2 (en) * 2004-05-04 2007-05-08 Kla-Tencor Technologies Corporation High throughout image for processing inspection images
US7171334B2 (en) * 2004-06-01 2007-01-30 Brion Technologies, Inc. Method and apparatus for synchronizing data acquisition of a monitored IC fabrication process
US7207017B1 (en) 2004-06-10 2007-04-17 Advanced Micro Devices, Inc. Method and system for metrology recipe generation and review and analysis of design, simulation and metrology results
JP4705104B2 (ja) * 2004-08-09 2011-06-22 ブラッコ・シュイス・ソシエテ・アノニム 複数のマスクに基づく医療画像処理のためのイメージ登録方法および装置
US7310796B2 (en) * 2004-08-27 2007-12-18 Applied Materials, Israel, Ltd. System and method for simulating an aerial image
JP4904034B2 (ja) * 2004-09-14 2012-03-28 ケーエルエー−テンカー コーポレイション レチクル・レイアウト・データを評価するための方法、システム及び搬送媒体
US7142992B1 (en) 2004-09-30 2006-11-28 Kla-Tencor Technologies Corp. Flexible hybrid defect classification for semiconductor manufacturing
WO2006044426A2 (en) * 2004-10-12 2006-04-27 Kla-Tencor Technologies Corp. Computer-implemented methods and systems for classifying defects on a specimen
JP2006132947A (ja) * 2004-11-02 2006-05-25 Hitachi High-Technologies Corp 検査装置および検査方法
JP2006220644A (ja) * 2005-01-14 2006-08-24 Hitachi High-Technologies Corp パターン検査方法及びその装置
JP2006200972A (ja) 2005-01-19 2006-08-03 Tokyo Seimitsu Co Ltd 画像欠陥検査方法、画像欠陥検査装置及び外観検査装置
JP4895569B2 (ja) 2005-01-26 2012-03-14 株式会社日立ハイテクノロジーズ 帯電制御装置及び帯電制御装置を備えた計測装置
US7475382B2 (en) 2005-02-24 2009-01-06 Synopsys, Inc. Method and apparatus for determining an improved assist feature configuration in a mask layout
US7804993B2 (en) * 2005-02-28 2010-09-28 Applied Materials South East Asia Pte. Ltd. Method and apparatus for detecting defects in wafers including alignment of the wafer images so as to induce the same smear in all images
US7813541B2 (en) 2005-02-28 2010-10-12 Applied Materials South East Asia Pte. Ltd. Method and apparatus for detecting defects in wafers
US7496880B2 (en) 2005-03-17 2009-02-24 Synopsys, Inc. Method and apparatus for assessing the quality of a process model
US7760929B2 (en) * 2005-05-13 2010-07-20 Applied Materials, Inc. Grouping systematic defects with feedback from electrical inspection
US7760347B2 (en) 2005-05-13 2010-07-20 Applied Materials, Inc. Design-based method for grouping systematic defects in lithography pattern writing system
US7444615B2 (en) 2005-05-31 2008-10-28 Invarium, Inc. Calibration on wafer sweet spots
US7564017B2 (en) 2005-06-03 2009-07-21 Brion Technologies, Inc. System and method for characterizing aerial image quality in a lithography system
US7853920B2 (en) 2005-06-03 2010-12-14 Asml Netherlands B.V. Method for detecting, sampling, analyzing, and correcting marginal patterns in integrated circuit manufacturing
US7501215B2 (en) 2005-06-28 2009-03-10 Asml Netherlands B.V. Device manufacturing method and a calibration substrate
US20070002322A1 (en) * 2005-06-30 2007-01-04 Yan Borodovsky Image inspection method
KR100663365B1 (ko) 2005-07-18 2007-01-02 삼성전자주식회사 내부에 적어도 한 쌍의 빔 경로들을 갖는 렌즈 유니트를구비하는 광학적 검사장비들 및 이를 사용하여 기판의 표면결함들을 검출하는 방법들
US7769225B2 (en) * 2005-08-02 2010-08-03 Kla-Tencor Technologies Corp. Methods and systems for detecting defects in a reticle design pattern
US7488933B2 (en) * 2005-08-05 2009-02-10 Brion Technologies, Inc. Method for lithography model calibration
WO2007019269A2 (en) * 2005-08-08 2007-02-15 Brion Technologies, Inc. System and method for creating a focus-exposure model of a lithography process
US7749666B2 (en) * 2005-08-09 2010-07-06 Asml Netherlands B.V. System and method for measuring and analyzing lithographic parameters and determining optimal process corrections
KR100909474B1 (ko) * 2005-08-10 2009-07-28 삼성전자주식회사 웨이퍼 결함지수를 사용하여 국부성 불량 모드를 갖는결함성 반도체 웨이퍼의 검출 방법들 및 이에 사용되는장비들
JP4266971B2 (ja) * 2005-09-22 2009-05-27 アドバンスド・マスク・インスペクション・テクノロジー株式会社 パターン検査装置、パターン検査方法、及び検査対象試料
JP4203498B2 (ja) 2005-09-22 2009-01-07 アドバンスド・マスク・インスペクション・テクノロジー株式会社 画像補正装置、パターン検査装置、画像補正方法、及び、パターン欠陥検査方法
JP4336672B2 (ja) * 2005-09-26 2009-09-30 アドバンスド・マスク・インスペクション・テクノロジー株式会社 試料検査装置、試料検査方法及びプログラム
US8041103B2 (en) 2005-11-18 2011-10-18 Kla-Tencor Technologies Corp. Methods and systems for determining a position of inspection data in design data space
US7676077B2 (en) 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7570800B2 (en) 2005-12-14 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for binning defects detected on a specimen
US7801353B2 (en) 2006-02-01 2010-09-21 Applied Materials Israel, Ltd. Method for defect detection using computer aided design data
WO2007092950A2 (en) * 2006-02-09 2007-08-16 Kla-Tencor Technologies Corporation Methods and systems for determining a characteristic of a wafer
US7904845B2 (en) 2006-12-06 2011-03-08 Kla-Tencor Corp. Determining locations on a wafer to be reviewed during defect review
WO2008077100A2 (en) 2006-12-19 2008-06-26 Kla-Tencor Corporation Systems and methods for creating inspection recipes
WO2008086282A2 (en) 2007-01-05 2008-07-17 Kla-Tencor Corporation Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions
US7962863B2 (en) 2007-05-07 2011-06-14 Kla-Tencor Corp. Computer-implemented methods, systems, and computer-readable media for determining a model for predicting printability of reticle features on a wafer
US7738093B2 (en) 2007-05-07 2010-06-15 Kla-Tencor Corp. Methods for detecting and classifying defects on a reticle
US7796804B2 (en) 2007-07-20 2010-09-14 Kla-Tencor Corp. Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer
US8611639B2 (en) 2007-07-30 2013-12-17 Kla-Tencor Technologies Corp Semiconductor device property extraction, generation, visualization, and monitoring methods
US7711514B2 (en) 2007-08-10 2010-05-04 Kla-Tencor Technologies Corp. Computer-implemented methods, carrier media, and systems for generating a metrology sampling plan
CN101785009B (zh) 2007-08-20 2012-10-10 恪纳腾公司 确定实际缺陷是潜在系统性缺陷还是潜在随机缺陷的计算机实现的方法
US8126255B2 (en) 2007-09-20 2012-02-28 Kla-Tencor Corp. Systems and methods for creating persistent data for a wafer and for using persistent data for inspection-related functions
US8139844B2 (en) 2008-04-14 2012-03-20 Kla-Tencor Corp. Methods and systems for determining a defect criticality index for defects on wafers
KR101674698B1 (ko) 2009-02-13 2016-11-09 케이엘에이-텐코어 코오포레이션 웨이퍼 상의 결함들 검출

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050117796A1 (en) * 2003-11-28 2005-06-02 Shigeru Matsui Pattern defect inspection method and apparatus
WO2006063268A2 (en) * 2004-12-07 2006-06-15 Kla-Tencor Technologies Corp. Computer-implemented methods for detecting and/or sorting defects in a design pattern of a reticle

Also Published As

Publication number Publication date
JP2015132621A (ja) 2015-07-23
US7796804B2 (en) 2010-09-14
IL227797A (en) 2014-04-30
US20100329540A1 (en) 2010-12-30
US20090041332A1 (en) 2009-02-12
WO2009015084A3 (en) 2009-06-18
KR101553866B1 (ko) 2015-09-17
JP2010534408A (ja) 2010-11-04
KR20140091746A (ko) 2014-07-22
JP6042924B2 (ja) 2016-12-14
IL227797A0 (en) 2013-09-30
JP5878213B2 (ja) 2016-03-08
JP2014240838A (ja) 2014-12-25
US8204296B2 (en) 2012-06-19
JP5758121B2 (ja) 2015-08-05
WO2009015084A2 (en) 2009-01-29
KR20100039411A (ko) 2010-04-15
IL202857A (en) 2013-12-31

Similar Documents

Publication Publication Date Title
KR101471950B1 (ko) 다이 대 표준 기준 다이 검사에서의 이용을 위한 표준 기준 다이를 생성하기 위한 방법들 및 웨이퍼를 검사하기 위한 방법들
US10605744B2 (en) Systems and methods for detecting defects on a wafer
TWI706485B (zh) 光學晶粒對資料庫檢查
US9767548B2 (en) Outlier detection on pattern of interest image populations
TWI648706B (zh) 用於偵測晶圓上之缺陷之方法、系統及非暫時性電腦可讀媒體
KR102341973B1 (ko) 광학 다이 대 데이터베이스 검사
KR101647008B1 (ko) 웨이퍼에 대한 검사 프로세스를 생성하기 위한 방법들 및 시스템들
US8811712B2 (en) Defect inspection method and device thereof
US11067516B2 (en) High accuracy of relative defect locations for repeater analysis
KR102514136B1 (ko) 반도체 웨이퍼의 리피터 결함 포착
JP2019532339A (ja) デフォーカス検出方法
US20230175983A1 (en) Process window qualification modulation layouts

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20171127

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20181123

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20191127

Year of fee payment: 6