KR101073571B1 - 기판 처리 장치 - Google Patents

기판 처리 장치 Download PDF

Info

Publication number
KR101073571B1
KR101073571B1 KR1020090084962A KR20090084962A KR101073571B1 KR 101073571 B1 KR101073571 B1 KR 101073571B1 KR 1020090084962 A KR1020090084962 A KR 1020090084962A KR 20090084962 A KR20090084962 A KR 20090084962A KR 101073571 B1 KR101073571 B1 KR 101073571B1
Authority
KR
South Korea
Prior art keywords
gas
processing
bypass
pump
substrate
Prior art date
Application number
KR1020090084962A
Other languages
English (en)
Other versions
KR20100030601A (ko
Inventor
데츠야 마루바야시
아츠시 모리야
Original Assignee
가부시키가이샤 히다치 고쿠사이 덴키
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 히다치 고쿠사이 덴키 filed Critical 가부시키가이샤 히다치 고쿠사이 덴키
Publication of KR20100030601A publication Critical patent/KR20100030601A/ko
Application granted granted Critical
Publication of KR101073571B1 publication Critical patent/KR101073571B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은, 바이패스 배관의 하류측에 전용(專用)의 진공 펌프를 설치하지 않고, 바이패스 배관으로부터 가스 배기계로 배기된 처리 가스의 처리실 내로의 역확산을 억제한다.
기판을 수용하는 처리실과, 기판을 처리하는 처리 가스를 처리 가스원으로부터 처리실 내로 공급하는 가스 공급계와, 처리실 내의 분위기를 배기하는 가스 배기계와, 가스 배기계에 직렬로 설치된 적어도 2 개의 진공 펌프와, 가스 공급계와 가스 배기계를 처리실을 개재하지 않고 접속하는 바이패스 배관을 포함하고, 진공 펌프 중, 가스 배기계를 흐르는 가스의 최상류측에 위치하는 진공 펌프는 메커니컬 부스터 펌프이고, 바이패스 배관은, 메커니컬 부스터 펌프와 그 하류에 위치하는 진공 펌프 사이의 위치에 접속된다.
부스터 펌프, 진공 펌프, 바이패스 배관

Description

기판 처리 장치{SUBSTRATE PROCESSING APPARATUS}
본 발명은, 기판을 처리하는 기판 처리 장치에 관한 것이다.
에피택셜(epitaxial) 실리콘막(Epi-Si막)이나 에피택셜 실리콘 게르마늄막(Epi-SiGe 막) 등의 막은, 기판을 수용한 처리실 내에 처리 가스(프로세스 가스)를 공급함으로써 성장시킬 수 있다. 한편, 에피택셜막의 성장시에는, 처리실 내로의 처리 가스의 공급 유량을 안정시키는 것이 필요하게 되는 경우가 있다. 이러한 경우, 처리실 내에 처리 가스를 공급하기 전에, 가스 공급계에 설치된 바이패스 배관으로부터 처리 가스를 배기함으로써, 가스 공급계로부터의 처리 가스의 공급 유량을 안정시키고 있었다.
종래의 기판 처리 장치에 있어서는, 바이패스 배관의 하류측은, 처리실 내를 배기하는 가스 배기계에 설치된 진공 펌프의 상류측에 접속되어 있었다. 가스 배기계의 진공 펌프는, 예를 들면 상류부터 차례로 설치된 메커니컬 부스터 펌프와 드라이 펌프로 구성되어 있고, 예를 들면 3만slm에서의 배기가 가능하도록 구성되어 있었다.
그러나, 처리 가스를 바이패스 배관으로부터 배기시키면, 바이패스 배관으로부터 가스 배기계로 흐른 처리 가스가, 처리실 내로 순간적으로 역확산(逆擴散)[역류(逆流)]해 버리는 경우가 있었다. 그 결과, 가스 배기계 내에 잔류하고 있던 이물질 등이 처리 가스와 함께 처리실 내에 침입해 버려, 기판 처리의 품질 저하나 수율(yield) 악화를 초래해 버리는 경우가 있었다. 처리실 내로의 역확산을 회피하기 위해서는, 바이패스 배관의 하류측을 가스 배기계에 접속하지 않고, 바이패스 배관의 하류측에 전용(專用)의 진공 펌프를 설치하는 구성도 생각할 수 있다. 그러나, 이러한 경우에는 기판 처리 장치의 구성이 복잡화해 버려, 기판 처리 장치의 비용이나 설치 스페이스(footprint)가 증대해 버리는 경우가 있었다.
그래서 본 발명은, 바이패스 배관의 하류측에 전용의 진공 펌프를 설치하지 않고, 바이패스 배관으로부터 가스 배기계로 배기된 처리 가스의 처리실 내로의 역확산을 억제하는 것이 가능한 기판 처리 장치를 제공하는 것을 목적으로 한다.
본 발명의 한 형태에 따르면, 기판을 수용하는 처리실과, 상기 기판을 처리하는 처리 가스를 처리 가스원으로부터 상기 처리실 내로 공급하는 가스 공급계와, 상기 처리실 내의 분위기를 배기하는 가스 배기계와, 상기 가스 배기계에 직렬로 설치된 적어도 2 개의 진공 펌프와, 상기 가스 공급계와 상기 가스 배기계를 상기 처리실을 개재하지 않고 접속하는 바이패스 배관을 포함하고, 상기 진공 펌프 중, 상기 가스 배기계를 흐르는 가스의 최상류측에 위치하는 진공 펌프는 메커니컬 부 스터 펌프이고, 상기 바이패스 배관은, 상기 메커니컬 부스터 펌프와 그 하류에 위치하는 진공 펌프 사이의 위치에 접속되는 기판 처리 장치가 제공된다.
본 발명에 따른 기판 처리 장치에 의하면, 바이패스 배관의 하류측에 전용 진공 펌프를 설치하지 않고, 바이패스 배관으로부터 가스 배기계에 배기된 처리 가스의 처리실 내로의 역확산을 억제하는 것이 가능하게 된다.
상술한 바와 같이, Epi-Si 막이나 Epi-SiGe 막은, 기판을 수용한 처리실 내에 처리 가스를 공급함으로써 성장시킬 수 있다. 에피택셜막을 성장시킬 때에는, Poly-Si막 등의 다결정막(多結晶膜)을 성장시킬 때와 비교하여, 처리 가스의 공급 유량에 대하여 높은 안정성이 요구되는 경우가 있다.
예를 들면, 실리콘 기판 상에 Epi-SiGe 막을 성장시키기 위해서는, 처리실 내에 예를 들면 SiH4 가스나 Si2H6 가스 등의 실란계 가스, GeH4 가스 등의 게르마늄계 가스, 또는 Cl2 가스 등의 염소계 가스를 순차적으로 또는 동시에 공급하는데, Si와 Ge의 비율을 정확하게 제어하기 위해서는 처리실 내에 공급하는 각종 가스의 유량을 안정시킬 필요가 있다. 또한, Epi-SiGe 막에 소정 양(量)의 붕소(B) 원소를 도프(dope)하기 위해서는, 처리실 내에 예를 들면 H2 가스로 희석한 B2H6 가스를 추가로 공급하는데, B의 도프량을 정확하게 제어하기 위해서는 처리실 내에 공급하는 각종 가스의 유량을 동일하게 안정시킬 필요가 있다. 또한, Epi-Si 막을 성장시키 기 위해서는, 처리실 내에 SiH4 가스나 Si2H6 가스 등의 실란계 가스를 공급한 후, 처리실 내에 Cl2 가스를 단(短)시간(예를 들면 30초) 공급하는 공정을 반복하는데, 이러한 방법에 있어서도 처리실 내로의 Cl2 가스의 공급 유량을 안정시킬 필요가 있다.
처리 가스의 공급 유량을 안정시키기 위해서는, 처리실 내로의 처리 가스의 공급을 개시(開始)하기 전에, 가스 공급계에 설치된 바이패스 배관으로부터 처리 가스를 배기해 주는 것이 유효하다. 바이패스 배관으로부터 처리 가스를 배기함으로써, 가스 공급계로부터의 처리 가스의 공급 유량이 공급 개시 직후에 일시적으로 과대(過大)하게 되어 버리는 오버 슛(over shoot) 현상 등을 수속(收束)시킬 수 있다. 오버 슛 수속 후에 처리실 내로의 처리 가스의 공급을 개시함으로써, 처리실 내로의 처리 가스의 공급 유량을 안정시킬 수 있다.
그러나, 발명자 등의 예의(銳意) 연구에 따르면, 종래의 기판 처리 장치의 구성에서는, 처리 가스를 바이패스 배관으로부터 배기시키려고 하면, 바이패스 배관으로부터 가스 배기계에 흐른 처리 가스가, 가스 배기계의 하류측에 흐르지 않고 처리실 내로 순간적으로 역확산(역류)해 버리는 경우가 있음이 판명되었다. 발명자 등은, 처리 가스의 역확산을 억제하는 방법에 대하여 예의 연구를 한 결과, 바이패스 배관의 하류측의 접속처를 연구함으로써, 상술한 과제를 해결할 수 있다는 지견(知見)을 얻었다.
<본 발명의 일 실시 형태>
이하에, 상술한 지견을 토대로 한 본 발명의 일 실시 형태에 대하여 설명한다.
(1) 기판 처리 장치의 구성
먼저, 본 발명의 일 실시 형태에 따른 기판 처리 장치의 구성에 대하여, 도 1을 참조하면서 설명한다.
도 1에 나타나는 바와 같이, 본 실시 형태에 따른 기판 처리 장치(101)는, 광체(筐體, 111)를 갖고 있다. 광체(111)의 내부의 전면측(前面側, 도 1 우측)에는, 카세트 스테이지(114)가 설치되어 있다. 카세트 스테이지(114)는, 도시하지 않은 외부 반송 장치와의 사이에서, 기판 수납 용기로서의 카세트(포드)(110)의 수수(授受)를 수행하도록 구성되어 있다. 또한, 카세트 스테이지(114)의 후측(後側)에는, 카세트(110)를 승강 이동시키는 승강 수단으로서의 카세트 엘리베이터(118)가 설치되어 있다. 카세트 엘리베이터(118)에는, 카세트(110)를 수평 이동시키는 반송 수단으로서의 카세트 이재기(移載機)(118b)가 설치되어 있다. 그리고, 카세트 엘리베이터(118)의 후측에는, 카세트(110)의 재치(載置) 수단으로서의 카세트 선반(118a)이 설치되어 있다. 카세트 선반(118a)에는, 이재(移載) 선반(123)이 설치되어 있다. 이재 선반(123)에는, 처리 대상의 기판이나 처리 후의 기판을 수용한 카세트(110)가 일시적으로 재치되어 있다. 또한, 카세트 스테이지(114)의 상방에는, 카세트(110)의 재치 수단으로서의 예비 카세트 선반(107)이 설치되어 있다. 그리고, 예비 카세트 선반(107)의 상방에는, 클린 에어를 광체(111)의 내부에 유통시키는 클린 유닛(134a)이 설치되어 있다.
광체(111)의 후부(도 1 좌측)의 상방에는, 하단부가 개방한 원통 형상의 처리로(處理爐, 202)가 수직으로 설치되어 있다. 처리로(202)의 상세한 구성에 대해서는 후술한다.
처리로(202)의 하방에는, 승강 수단으로서의 보트 엘리베이터(115)가 설치되어 있다. 보트 엘리베이터(115)의 하단부에는, 승강 기판(252)이 설치되어 있다. 승강 기판(252) 상에는, 기판 보지(保持) 수단으로서의 보트(130)가, 덮개로서의 씰 캡(seal cap, 219)을 개재하여 수직으로 설치되어 있다. 보트 엘리베이터(115) 및 보트(130)의 구성에 대해서는 후술한다. 보트 엘리베이터(115)가 상승하면, 처리로(202)의 내부에 보트(130)가 반입됨과 동시에, 처리로(202)의 하단부가 씰 캡(219)에 의해 기밀(氣密)하게 봉지(封止)되도록 구성되어 있다. 또한, 처리로(202)의 하단부의 옆에는, 폐색 수단으로서의 노구(爐口) 셔터(147)가 설치되어 있다. 노구 셔터(147)는, 보트 엘리베이터(115)가 하강하고 있는 동안, 처리로(202)의 하단부를 기밀하게 폐색하도록 구성되어 있다.
처리로(202)와 카세트 선반(118a) 사이에는, 웨이퍼(200)를 승강 이동시키는 승강 수단으로서의 이재 엘리베이터(125b)가 설치되어 있다. 이재 엘리베이터(125b)에는, 웨이퍼(200)를 수평 이동시키는 반송 수단으로서의 웨이퍼 이재기(112)가 설치되어 있다.
(2) 기판 처리 장치의 동작
이어서, 본 실시 형태에 따른 기판 처리 장치의 동작에 대하여, 도 1을 참조하면서 설명한다.
먼저, 웨이퍼(200)가 장전(裝塡)된 카세트(110)가, 도시하지 않은 외부 반송 장치에 의해 반송되어, 카세트 스테이지(114) 상에 재치된다. 이 때, 웨이퍼(200)가 세로 방향의 자세가 되도록 재치된다. 그 후, 카세트 스테이지(114)가 90° 회전함으로써, 웨이퍼(200)의 표면은 기판 처리 장치의 상방(즉 도 1 상방)을 향하고, 웨이퍼(200)는 수평 자세가 된다.
그 후, 카세트 엘리베이터(118)의 승강 동작 및 수평 동작과, 카세트 이재기(118b)의 진퇴 동작 및 회전 동작과의 협조(協調) 동작에 의해, 카세트(110)가, 카세트 스테이지(114) 상으로부터 카세트 선반(118a) 상 또는 예비 카세트 선반(107) 상으로 반송된다. 그 후, 웨이퍼(200)의 이재에 제공되는 카세트(110)가, 카세트 엘리베이터(118) 및 카세트 이재기(118b)의 협조 동작에 의해, 이재 선반(123) 상으로 이재된다.
그 후, 웨이퍼 이재기(112)의 진퇴 동작 및 회전 동작과, 이재 엘리베이터(125b)의 승강 동작과의 협조 동작에 의해, 이재 선반(123) 상의 카세트(110) 내에 장전되어 있던 웨이퍼(200)가, 하강 상태의 보트(130) 내에 이재(장전)된다.
그 후, 보트 엘리베이터(115)가 상승함으로써, 처리로(202)의 내부에 보트(130)가 반입됨과 동시에, 씰 캡(219)에 의해 처리로(202)의 내부가 기밀하게 봉지된다. 그리고, 기밀하게 폐색되고 감압된 처리로(202) 내에서 웨이퍼(200)가 가열되고, 처리로(202) 내에 처리 가스가 공급됨으로써, 웨이퍼(200)의 표면에 소정의 처리가 이루어진다. 이러한 처리의 상세에 대해서는 후술한다.
웨이퍼(200)로의 처리가 완료되면, 상술한 순서와는 반대로, 처리 후의 웨이 퍼(200)가, 보트(130) 내로부터 이재 선반(123) 상의 카세트(110) 내로 이재된다. 그리고, 처리 후의 웨이퍼(200)를 격납한 카세트(110)가, 카세트 이재기(118b)에 의해, 이재 선반(123) 상으로부터 카세트 스테이지(114) 상으로 이재되고, 도시하지 않은 외부 반송 장치에 의해 광체(111)의 외부로 반송된다. 한편, 보트 엘리베이터(115)가 하강한 후에는, 노구 셔터(147)가 처리로(202)의 하단부를 기밀하게 폐색하여, 처리로(202) 내에 외기(外氣)가 침입하는 것을 방지하고 있다.
(3) 처리로의 구성
이어서, 본 실시 형태에 따른 기판 처리 장치가 구비하는 처리로(202) 및 그 주변의 구성에 대하여, 도 2~도 4를 참조하면서 설명한다. 도 2는, 본 발명의 일 실시 형태에 따른 기판 처리 장치가 구비하는 처리로의 개략 구성도이다. 도 3은, 본 발명의 일 실시 형태에 따른 기판 처리 장치가 구비하는 가스 공급계, 바이패스 배관, 가스 배기계의 개략 구성도이다. 도 4는, 도 3에 나타내는 바이패스 배관, 가스 배기계의 다른 구성예를 나타내는 개략 구성도이다.
<처리실>
도 2에 나타내는 바와 같이, 본 실시 형태에 따른 처리로(202)는, 반응관으로서의 아우터 튜브(205)를 갖고 있다. 아우터 튜브(205)는, 석영(SiO2) 또는 탄화 실리콘(SiC) 등의 내열(耐熱) 재료로 이루어지고, 상단이 폐색하고 하단이 개구한 원통 형상으로 형성되어 있다. 아우터 튜브(205)의 내측의 통 중공부(中空部)에는, 감압(減壓) 하에서 기판으로서의 웨이퍼(200)를 처리하는 처리실(201)이 형성되어 있다. 처리실(201)은, 기판으로서의 웨이퍼(200)를, 후술하는 보트(130)에 의해 수평 자세에서 수직 방향에 다단으로 정렬한 상태에서 수용 가능하게 구성되어 있다. 한편, 본 발명은, 처리실(201) 내에 복수 매의 웨이퍼(200)가 수용되는 경우에 국한되지 않고, 처리실(201) 내에 1 매의 웨이퍼(200)가 수용되는 경우이더라도 적합하게 적용 가능하다.
아우터 튜브(205)의 외측에는, 아우터 튜브(205)로 동심원 형상에, 가열 기구로서의 히터(206)가 설치되어 있다. 히터(206)는 원통 형상이며, 히터 소선(素線)과 그 주위에 설치된 단열(斷熱) 부재에 의해 구성되고, 도시하지 않은 보지체(保持體)에 지지됨으로써 수직으로 설치되어 있다. 한편, 히터(206)의 근방에는, 처리실(201) 내의 온도를 검출하는 온도 검출체로서의 온도 센서(도시하지 않음)가 설치되어 있다. 히터(206) 및 온도 센서에는, 온도 제어부(302)가 전기적(電氣的)으로 접속되어 있다. 온도 제어부(302)는, 온도 센서에 의해 검출된 온도 정보에 근거하여 히터(206)로의 통전(通電) 상태를 조절하여, 처리실(201) 내의 온도가 원하는 타이밍으로 원하는 온도 분포가 되도록 제어한다.
아우터 튜브(205)의 하방에는, 아우터 튜브(205)와 동심원 형상에, 매니폴드(209)가 배설(配設)되어 있다. 매니폴드(209)는, 예를 들면, 스테인리스 등으로 이루어지고, 상단 및 하단이 개구한 원통 형상으로 형성되어 있다. 이 매니폴드(209)는, 아우터 튜브(205)를 지지하도록 설치되어 있다. 한편, 매니폴드(209)와 아우터 튜브(205) 사이에는, 씰 부재로서의 O링이 설치되어 있다. 또한, 매니폴드(209)의 하방에는, 예비실로서의 로드록실(loadlock chamber, 140)이 설치되어 있다.
로드록실(140)의 천판(天板, 251)과 매니폴드(209) 사이에는, 씰 부재로서의 O링이 설치되어 있다. 이 매니폴드(209)가 천판(251)에 의해 지지됨으로써, 아우터 튜브(205)는 수직으로 설치된 상태로 되어 있다. 이 아우터 튜브(205)와 매니폴드(209)에 의해 반응 용기가 형성된다. 한편, 천판(251)에는, 처리로(202)의 개구부인 노구(261)가 설치되어 있다.
<가스 공급계>
도 2에 나타내는 바와 같이, 매니폴드(209)의 측벽에는, 웨이퍼(200)를 처리하는 제1 처리 가스를 처리실(201) 내로 공급하는 제1 가스 공급계로서의 제1 가스 공급관(150)과, 웨이퍼(200)를 처리하는 제2 처리 가스를 처리실(201) 내로 공급하는 제2 가스 공급계로서의 제2 가스 공급관(160)과, 웨이퍼(200)를 처리하는 제3 처리 가스를 처리실(201) 내로 공급하는 제3 가스 공급계로서의 제3 가스 공급관(170)이 합류하도록 1본화(一本化)하여 접속되어 있다. 제1 가스 공급관(150), 제2 가스 공급관(160), 제3 가스 공급관(170)이 합류하도록 1본화한 하류측에는, 처리실(201) 내에 처리 가스를 공급하는 가스 공급 노즐(220)이 접속되어 있다. 가스 공급 노즐(220)은, 처리실의 내벽을 따르도록 연직(鉛直) 방향으로 배설되어 있다. 가스 공급 노즐에는, 적층된 웨이퍼(200) 사이의 공간에 처리 가스를 공급하도록, 도시하지 않은 가스 공급공이 각각 설치되어 있다. 또한, 가스 공급 노즐은, 이러한 형태에 국한되지 않고, 높이가 다른 복수의 지류(支流) 노즐에 하류측이 분기되어 있어도 좋고, 이러한 경우, 각 지류 노즐에는 상술한 가스 공급공을 설치하 지 않고, 각 지류 노즐의 하류 단부로부터 상방을 향하여 처리 가스가 공급되는 것으로 해도 좋다.
제1 가스 공급관(150)에는, 상류부터 차례로, 제1 처리 가스를 공급하는 제1 처리 가스 공급원(153), 유량 제어 수단으로서의 매스 플로우 컨트롤러(MFC, 152), 밸브(151)가 직렬로 설치되어 있다. 제2 가스 공급관(160)에는, 상류부터 차례로, 제2 처리 가스를 공급하는 제2 처리 가스 공급원(163), 유량 제어 수단으로서의 매스 플로우 컨트롤러(MFC, 162), 밸브(161)가 직렬로 설치되어 있다. 제3 가스 공급관(170)에는, 상류부터 차례로, 제3 처리 가스를 공급하는 제3 처리 가스 공급원(173), 유량 제어 수단으로서의 매스 플로우 컨트롤러(MFC, 172), 밸브(171)가 직렬로 설치되어 있다. 제1 처리 가스 공급원(153)은, 제1 처리 가스로서 예를 들면 가연성(可燃性) 가스인 SiH4 가스나 Si2H6 가스 등의 실란계 가스나 GeH4 가스 등의 게르마늄계 가스를 공급하도록 구성되고, 제2 처리 가스 공급원(163)은 제2 처리 가스로서 예를 들면 지연성(支燃性) 가스인 Cl2 가스를 공급하도록 구성되며, 제3 처리 가스 공급원(173)은 제3 처리 가스로서 예를 들면 H2 가스를 공급하도록 구성되어 있다.
한편, 본 발명에 따른 가스 공급계는, 합류하도록 1본화되어 매니폴드(209)의 측면에 접속되는 상술한 경우에 국한하지 않고, 제1 가스 공급관(150), 제2 가스 공급관(160), 제3 가스 공급관(170)이 각각 개별로 매니폴드(209)의 측면에 접속되어 있어도 좋다. 이러한 경우에는, 제1 가스 공급관(150), 제2 가스 공급 관(160), 제3 가스 공급관(170)의 각각의 하류측에 가스 공급 노즐이 접속되게 된다. 한편, 가스 공급계의 수는, 상술한 바와 같이 3 개인 경우에 국한하지 않고, 기판 처리의 내용에 따라서, 4 개 이상이어도 좋고, 2 개 이하여도 좋다.
<가스 배기계>
도 2에 나타내는 바와 같이, 매니폴드(209)의 측벽에는, 처리실(201) 내의 분위기를 배기하는 가스 배기계로서의 가스 배기관(231)이 접속되어 있다. 가스 배기관(231)에는, 적어도 2 개의 진공 펌프가 직렬로 설치되어 있다. 가스 배기관(231)을 흐르는 가스의 최상류측에 위치하는 진공 펌프는, 메커니컬 부스터 펌프로 구성되어 있다.
구체적으로는 도 3에 나타내는 바와 같이, 가스 배기관(231)에는, APC 밸브(232), 진공 펌프로서의 제1 메커니컬 부스터 펌프(roots pump)(233), 제2 메커니컬 부스터 펌프(234), 드라이 펌프(235)가, 상류부터 차례로 직렬로 설치되어 있다.
제1 메커니컬 부스터 펌프(233) 및 제2 메커니컬 부스터 펌프(234)는, 예를 들면, 케이싱 내에 있는 2 개의 누에고치 모양의 로우터가, 그 축단(軸端)의 구동 기어에 의해 서로 반대 방향으로 동기(同期) 회전하도록 구성되어 있다. 케이싱의 일단(一端)에 설치된 흡기구로부터 케이싱 내부로 흡인된 가스는, 2 개의 로우터와 케이싱 사이의 공간에 갇혀지면서 로우터의 회전에 의해 이동되고, 케이싱의 타단에 설치된 배기구로부터 배출되도록 구성되어 있다. 로우터끼리 및 로우터와 케이싱 사이는, 약간의 극간(隙間)(예를 들면 0.1mm~0.3mm)을 유지하여 회전하도록 구 성되어 있고, 케이싱 내에는, 윤활유를 필요로 하지 않도록 구성되어 있다. 따라서 오일 프리(oil-free)인 진공 배기가 가능하도록 구성되어 있다.
드라이 펌프(235)는, 처리실(201) 내의 오염의 원인이 되는 펌프유를 사용하지 않는 구성이라면, 루츠(roots)형, 크로우(claw)형, 스크류(screw)형, 터보(turbo)형, 스크롤(scroll)형 등의 여러 가지 방식에 의한 기계식 진공 펌프, 또는 석션 펌프(suction pump) 등의 물리화학적인 방식에 의한 진공 펌프를 사용하는 것이 가능하다.
가스 배기관(231)에, 제1 메커니컬 부스터 펌프(233), 제2 메커니컬 부스터 펌프(234), 드라이 펌프(235)를 직렬로 설치함으로써, 예를 들면 6만slm의 배기 속도가 실현되도록 구성되어 있다. 한편, 전체의 배기 속도는, 주로 제1 메커니컬 부스터 펌프(233)의 배기 성능에 의해 정해진다. 즉, 제1 메커니컬 부스터 펌프(233)의 배기 속도가 전체의 배기 속도를 정하는 율속(律速)이 되고, 주로 제1 메커니컬 부스터 펌프(233)의 배기 성능에 의해 처리실(201) 내의 압력이 결정(決定)되도록 구성되어 있다.
가스 배기관(231)에는, APC 밸브(232)의 상류측과 하류측[제1 메커니컬 부스터 펌프(233)와 APC 밸브(232) 사이]을 연결하도록, 슬로우 배기관(236)이 설치되어 있다. 슬로우 배기관(236)에는 개폐 밸브(237)가 설치되어 있다. 또한, APC 밸브(232)의 상류측에 있어서의 가스 배기관(231) 내에는, 도시하지 않지만, 처리실(201) 내의 압력을 검지하는 압력 검지 수단으로서의 압력 센서가 설치되어 있다. 한편, 압력 센서는, 가스 배기관(231) 내에 국한하지 않고 처리실(201) 내에 설치되어 있어도 좋다. 압력 센서 및 APC 밸브(232)에는, 압력 제어부(304)가 전기적으로 접속되어 있다. 압력 제어부(304)는, 압력 센서에 의해 검출된 압력에 근거하여 APC 밸브(232)의 개방도(開度)를 조절하여, 처리실(201) 내의 압력이 원하는 타이밍으로 원하는 압력이 되도록 제어하도록 구성되어 있다.
한편, 본 발명은, 도 3과 같이 제1 메커니컬 부스터 펌프(233), 제2 메커니컬 부스터 펌프(234), 드라이 펌프(235)의 3 개의 진공 펌프가 설치되는 경우에 국한되지 않는다. 즉, 도 4와 같이, 제1 메커니컬 부스터 펌프(233)와 드라이 펌프(235)의 2 개의 진공 펌프만이 설치되는 경우[제2 메커니컬 부스터 펌프(234)가 설치되지 않은 경우]라도 적합하게 적용 가능하다.
<바이패스 배관>
도 3에 나타내는 바와 같이, 본 실시 형태에 따른 기판 처리 장치(101)는, 제1 가스 공급관(150)과 가스 배기관(231)을 처리실(201)을 개재하지 않고 접속하는 제1 바이패스 배관(155)과, 제2 가스 공급관(160)과 가스 배기관(231)을 처리실(201)을 개재하지 않고 접속하는 제2 바이패스 배관(165)과, 제3 가스 공급관(170)과 가스 배기관(231)을 처리실(201)을 개재하지 않고 접속하는 제3 바이패스 배관(175)을 구비하고 있다.
제1 바이패스 배관(155)의 상류측은, 제1 가스 공급관(150)의 밸브(151)와 매스 플로우 컨트롤러(152) 사이에 접속되어 있고, 제2 바이패스 배관(165)의 상류측은, 제2 가스 공급관(160)의 밸브(161)와 매스 플로우 컨트롤러(162) 사이에 접속되어 있으며, 제3 바이패스 배관(175)의 상류측은, 제3 가스 공급관(170)의 밸 브(171)와 매스 플로우 컨트롤러(172) 사이에 접속되어 있다. 또한, 제1 바이패스 배관(155), 제2 바이패스 배관(165), 제3 바이패스 배관(175)의 하류측은, 가스 배기관(231)의 제1 메커니컬 부스터 펌프(233)와, 그 하류에 위치하는 진공 펌프[도 3의 형태이면 제2 메커니컬 부스터 펌프(234), 도 4의 형태이면 드라이 펌프(235)] 사이에 접속되어 있다. 제1 바이패스 배관(155), 제2 바이패스 배관(165), 제3 바이패스 배관(175)의 하류측의 구성이, 본 실시 형태에 따른 기판 처리 장치의 특징의 하나이다.
제1 바이패스 배관(155), 제2 바이패스 배관(165), 제3 바이패스 배관(175)에는, 각각 밸브(156, 166, 176)가 설치되어 있다. 한편, 제1 바이패스 배관(155), 제2 바이패스 배관(165), 제3 바이패스 배관(175)을 흐르는 가스의 유량은, 각각 예를 들면 1slm 정도이다.
한편, 본 실시 형태에 있어서는, 바이패스 배관은 모든 처리 가스원에 대응하는 개수(즉 3 개)가 설치되는 것으로 하고 있는데, 본 발명은 이러한 형태에 국한되지 않는다. 즉, 일부의 처리 가스원에만 설치되는 것으로 하더라도 본 발명은 적용 가능하다. 예를 들면, 제1 가스 공급관(150) 및 제2 가스 공급관(160) 양쪽 모두 또는 어느 한쪽에 바이패스 배관이 설치되고, 기타의 가스 공급관에는 바이패스 배관이 설치되지 않아도 된다.
<보트 엘리베이터>
로드록실(140)을 구성하는 측벽의 외면에는, 보트 엘리베이터(115)가 설치되어 있다. 보트 엘리베이터(115)는, 하기판(下基板, 245), 가이드 샤프트(264), 볼 나사(244), 상기판(上基板, 247), 승강 모터(248), 승강 기판(252) 및 벨로우즈(bellows, 265)를 구비하고 있다. 하기판(245)은, 로드록실(140)을 구성하는 측벽의 외면에 수평 자세로 고정되어 있다. 하기판(245)에는, 승강대(249)와 감합(嵌合)하는 가이드 샤프트(264) 및 승강대(249)와 나합(螺合)하는 볼 나사(244)가 각각 연직(鉛直) 자세로 설치되어 있다. 가이드 샤프트(264) 및 볼 나사(244)의 상단(上端)에는, 상기판(247)이 수평 자세로 고정되어 있다. 볼 나사(244)는, 상기판(247)에 설치된 승강 모터(248)에 의해 회전되도록 구성되어 있다. 또한, 가이드 샤프트(264)는, 승강대(249)의 상하동(上下動)을 허용하면서 수평 방향의 회전을 억제하도록 구성되어 있다. 그리고, 볼 나사(244)를 회전시킴으로써, 승강대(249)가 승강하도록 구성되어 있다.
승강대(249)에는, 중공(中空)의 승강 샤프트(250)가 수직 자세로 고정되어 있다. 승강대(249)와 승강 샤프트(250)의 연결부는, 기밀하게 구성되어 있다. 승강 샤프트(250)는, 승강대(249)와 함께 승강하도록 구성되어 있다. 승강 샤프트(250)의 하방측 단부는, 로드록실(140)을 구성하는 천판(251)을 관통하고 있다. 천판(251)에 설치되는 관통공의 내경은, 승강 샤프트(250)와 천판(251)이 접촉하지 않도록, 승강 샤프트(250)의 외경보다 크게 구성되어 있다. 로드록실(140)과 승강대(249) 사이에는, 승강 샤프트(250)의 주위를 덮도록, 신축성을 갖는 중공 신축체로서의 벨로우즈(265)가 설치되어 있다. 승강대(249)와 벨로우즈(265)의 연결부 및 천판(251)과 벨로우즈(265)의 연결부는 각각 기밀하게 구성되어 있고, 로드록실(140) 내의 기밀함이 보지되도록 구성되어 있다. 벨로우즈(265)는, 승강대(249) 의 승강량에 대응할 수 있는 충분한 신축량을 갖고 있다. 벨로우즈(265)의 내경은, 승강 샤프트(250)와 벨로우즈(265)가 접촉하지 않도록, 승강 샤프트(250)의 외경보다 충분히 크게 구성되어 있다.
로드록실(140) 내에 돌출한 승강 샤프트(250)의 하단에는, 승강 기판(252)이 수평 자세로 고정되어 있다. 승강 샤프트(250)와 승강 기판(252)의 연결부는, 기밀하게 구성되어 있다. 승강 기판(252)의 상면에는, O링 등의 씰 부재를 개재하여 씰 캡(219)이 기밀하게 설치되어 있다. 씰 캡(219)은, 예를 들면 스테인리스 등의 금속으로 이루어지고, 원반(圓盤) 형상으로 형성되어 있다. 승강 모터(248)를 구동하여 볼 나사(244)를 회전시키고, 승강대(249), 승강 샤프트(250), 승강 기판(252) 및 씰 캡(219)을 상승시킴으로써, 처리로(202) 내에 보트(130)가 반입(boat loading)됨과 함께, 처리로(202)의 개구부인 노구(261)가 씰 캡(219)에 의해 폐색되도록 구성되어 있다. 또한, 승강 모터(248)를 구동하여 볼 나사(244)를 회전시키고, 승강대(249), 승강 샤프트(250), 승강 기판(252) 및 씰 캡(219)을 하강시킴으로써, 처리실(201) 내로부터 보트(130)가 반출(boat unloading)되도록 구성되어 있다. 승강 모터(248)에는, 구동 제어부(305)가 전기적으로 접속되어 있다. 구동 제어부(305)는, 보트 엘리베이터(115)가 원하는 타이밍으로 원하는 동작을 하도록 제어한다.
승강 기판(252)의 하면에는, O링 등의 씰 부재를 개재하여 구동부 커버(253)가 기밀하게 부착되어 있다. 승강 기판(252)과 구동부 커버(253)에 의해 구동부 수납 케이스(256)가 구성되어 있다. 구동부 수납 케이스(256)의 내부는, 로드록 실(140) 내의 분위기와 격리(隔離)되어 있다. 구동부 수납 케이스(256)의 내부에는, 회전 기구(254)가 설치되어 있다. 회전 기구(254)에는 전력 공급 케이블(258)이 접속되어 있다. 전력 공급 케이블(258)은, 승강 샤프트(250)의 상단으로부터 승강 샤프트(250) 내를 지나서 회전 기구(254)까지 유도되어 있고, 회전 기구(254)에 전력을 공급하도록 구성되어 있다. 회전 기구(254)가 구비하는 회전축(255)의 상단부는, 씰 캡(219)을 관통하여, 기판 보지구로서의 보트(130)를 하방으로부터 지지하도록 구성되어 있다. 회전 기구(254)를 작동시킴으로써, 보트(130)에 보지된 웨이퍼(200)를 처리실(201) 내에서 회전시키는 것이 가능하도록 구성되어 있다. 회전 기구(254)에는, 구동 제어부(305)가 전기적으로 접속되어 있다. 구동 제어부(305)는, 회전 기구(254)가 원하는 타이밍으로 원하는 동작을 하도록 제어한다.
또한, 구동부 수납 케이스(256)의 내부로서 회전 기구(254)의 주위에는, 냉각 기구(257)가 설치되어 있다. 냉각 기구(257) 및 씰 캡(219)에는 냉각 유로(259)가 형성되어 있다. 냉각 유로(259)에는 냉각수를 공급하는 냉각수 배관(260)이 접속되어 있다. 냉각수 배관(260)은, 승강 샤프트(250)의 상단으로부터 승강 샤프트(250) 내를 지나서 냉각 유로(259)까지 유도되고, 냉각 유로(259)에 각각 냉각수를 공급하도록 구성되어 있다.
<보트>
기판 보지구로서의 보트(130)는, 예를 들면 석영(SiO2)이나 탄화규소(SiC) 등의 내열성 재료로 이루어지고, 복수 매의 웨이퍼(200)를 수평 자세이면서 서로 중심을 맞춘 상태에서 정렬시켜 다단으로 보지하도록 구성되어 있다. 한편, 보트(130)의 하부에는, 예를 들면 석영이나 탄화규소 등의 내열성 재료로 이루어지는 원판 형상을 한 단열 부재로서의 단열판(216)이, 수평 자세에서 다단으로 복수 매 배치되어 있다. 단열판(216)은, 히터(206)로부터의 열을 매니폴드(209) 측에 전달하기 어렵도록 기능한다.
<컨트롤러>
또한, 본 실시 형태에 따른 기판 처리 장치는, 제어 수단으로서의 컨트롤러(300)를 갖고 있다. 컨트롤러(300)는, CPU, 메모리, HDD 등의 기억 장치, 조작부, 입출력부를 구비한 주제어부(301)를 구비하고 있다. 주제어부(301)는, 상술한 온도 제어부(302), 가스 유량 제어부(303), 압력 제어부(304), 구동 제어부(305), 보트 엘리베이터(115)의 승강 모터(248) 및 회전 기구(254)에 전기적으로 접속되어 있고, 기판 처리 장치 전체를 제어하도록 구성되어 있다.
(4) 기판 처리 공정
이하에, 본 실시 형태에 따른 반도체 장치 제조 공정의 일 공정으로서, 웨이퍼(200) 상에 에피택셜 실리콘막(Epi-Si 막)을 사이클 성장시키는 기판 처리 공정에 대하여, 도 9를 참조하면서 설명한다. 도 9는, 본 발명의 일 실시 형태에 따른 기판 처리 공정의 플로우도이다. 한편, 기판 처리 장치(101)를 구성하는 각 부의 동작은, 컨트롤러(300)에 의해 제어된다. 한편, 제1 처리 가스 공급원(153), 제2 처리 가스 공급원(163) 및 제3 처리 가스 공급원(173)에는, 제1 처리 가스로서의 가연성(可燃性) 가스인 SiH4 가스, 제2 처리 가스로서의 지연성(支燃性) 가스인 Cl2 가스 및 제3 처리 가스로서의 H2 가스가 각각 봉입(封入)되어 있는 것으로 한다. 또한, 처리 대상의 웨이퍼(200)의 표면의 적어도 일부에는, 실리콘이 노출하고 있는 것으로 한다.
<기판 반입 공정(S10)>
먼저, 웨이퍼 이재기(112)에 의해, 강하(降下) 상태의 보트(130)에 복수 매의 처리 대상의 웨이퍼(200)를 장전한다. 소정 매수의 웨이퍼(200)의 장전이 완료되면, 승강 모터(248)를 구동하여, 소정 매수의 웨이퍼(200)를 보지한 보트(130)를 처리실(201) 내에 반입(boat loading)함과 함께, 처리로(202)의 개구부인 노구(261)를 씰 캡(219)에 의해 폐색한다.
<감압·승온 공정(S20)>
다음에, 처리실(201) 내가 원하는 압력(진공도)이 되도록, 제1 메커니컬 부스터 펌프(233), 제2 메커니컬 부스터 펌프(234), 드라이 펌프(235)에 의해 처리실(201) 내를 진공 배기한다. 이 때, 밸브(151, 156, 161, 166, 171, 176)는 닫아 둔다.
그리고, 처리실(201) 내의 압력을 압력 센서에 의해 측정하고, 이 측정한 압력에 근거하여 APC 밸브(232)를 피드백 제어한다. 본 실시 형태에 있어서는, 처리실(201) 내는 예를 들면 40Pa 이하로 감압(減壓)된다. 또한, 제1 메커니컬 부스터 펌프(233)와 제2 메커니컬 부스터 펌프(234) 사이의 가스 배기관(231) 내는, 예를 들면 100Pa 정도로 감압된다. 한편, 제1 바이패스 배관(155)의 밸브(156)의 하류측, 제2 바이패스 배관(165)의 밸브(166)의 하류측, 제3 바이패스 배관(175)의 밸브(176)의 하류측도, 제1 메커니컬 부스터 펌프(233)와 제2 메커니컬 부스터 펌프(234) 사이의 가스 배기관(231) 내와 연통(連通)하고 있는 점으로부터, 예를들면 마찬가지로 100Pa 정도로 감압된다.
한편, 가스 배기관(231)에 설치된 제1 메커니컬 부스터 펌프(233), 제2 메커니컬 부스터 펌프(234), 드라이 펌프(235)는, 모두 펌프유를 사용하지 않는 구성인 점으로부터, 처리실(201) 내, 가스 배기관(231), 제1 바이패스 배관(155) 내, 제2 바이패스 배관(165) 내, 제3 바이패스 배관(175) 내는 클린(oil-free)하게 유지된다.
또한, 처리실(201) 내가 원하는 온도 분포가 되도록, 히터(206)에 의해 처리실(201) 내를 가열한다. 이 때, 온도 센서에 의해 온도를 검출하고, 검출한 온도 정보에 근거하여 히터(206)로의 통전 상태를 피드백 제어한다. 이어서, 회전 기구(254)에 의해, 보트(130) 및 웨이퍼(200)를 회전시킨다.
<SiH4 가스 공급 공정(S30)>
다음에, 제1 처리 가스로서의 SiH4 가스를, 제1 처리 가스 공급원(153)[제1 가스 공급관(150)]으로부터 처리실(201) 내로 공급한다. 구체적으로는, 밸브(156)를 닫은 상태로 밸브(151)를 개방하고, 매스 플로우 컨트롤러(152)에 의해 유량 제어하면서, 처리실(201) 내로의 SiH4 가스의 공급을 개시한다. 처리실(201) 내에 공 급된 SiH4 가스는, 웨이퍼(200) 표면과 접촉한 후, 가스 배기관(231)으로부터 처리실(201) 밖으로 배기된다. SiH4 가스의 공급을 소정 시간 계속한 후, 밸브(151)를 닫아 처리실(201) 내로의 SiH4 가스의 공급을 정지한다.
한편, SiH4 가스 공급 공정(S30)에 있어서는, 밸브(176)를 닫은 상태로 밸브(171)를 개방하고, 매스 플로우 컨트롤러(172)에 의해 유량 제어하면서, SiH4 가스와 동시에 H2 가스를 처리실(201) 내로 공급하는 것이 바람직하다. 이에 의해, 박막을 성장시키는 하지(下地)의 표면 등에 존재하는 산소(O) 원소를 환원시켜, Epi-Si 막 중의 산소 농도를 저하시킬 수 있다.
<Cl2 가스 바이패스 배출 공정(S31)>
SiH4 가스 공급 공정(S30)의 실시가 완료될 때까지, Cl2 가스 바이패스 배출 공정(S31)을 병행하여 실시하고, 제2 처리 가스 공급원(163)[제2 가스 공급관(160)]으로부터의 Cl2 가스의 유량을 안정시켜 둔다. Cl2 가스 바이패스 배출 공정(S31)에서는, 제2 바이패스 배관(165)에 Cl2 가스를 흘려 가스 배기관(231)에 배기해 둔다. 즉, 밸브(161)를 닫은 상태로 밸브(166)를 개방하고, Cl2 가스를 처리실(201) 내에 공급하지 않고 제2 바이패스 배관(165)으로부터 가스 배기관(231)으로 배기함으로써, 제2 처리 가스 공급원(163)[제2 가스 공급관(160)]으로부터의 Cl2 가스의 공급 유량이 공급 개시 직후에 일시적으로 과대하게 되어 버리는 오버 슛 현상을 수속(收束)시켜 둔다.
한편, 상술한 바와 같이, 제1 메커니컬 부스터 펌프(233)와 제2 메커니컬 부스터 펌프(234) 사이의 가스 배기관(231) 내는 예를 들면 100Pa 정도로 감압되고, 처리실(201) 내의 압력은 예를 들면 40Pa 이하로 감압되어 있다. 즉, Cl2 가스가 배출되는 가스 배기관(231) 내의 압력 쪽이, 처리실(201) 내의 압력 쪽보다 높게 된다. 이와 같은 압력 상태라고 하더라도, 본 실시 형태에서는, 제2 바이패스 배관(165)의 하류측은 제1 메커니컬 부스터 펌프(233)와 제2 메커니컬 부스터 펌프(234) 사이에 접속되어 있기 때문에, 처리실(201) 내에 Cl2 가스가 역확산(역류)해 버리는 것이 억제된다. 즉, 가스 배기관(231)의 제2 바이패스 배관(165)과의 접속 개소의 상류측에는, 제1 메커니컬 부스터 펌프(233)가 설치되어 있기 때문에, 제2 바이패스 배관(165)으로부터 가스 배기관(231)으로 배기된 Cl2 가스가, 가스 배기관(231)의 하류측에 흐르지 않고 상류측[처리실(201)측]으로 역확산(역류)해 버리는 것이 억제된다.
<Cl2 가스 공급 공정(S40)>
제2 처리 가스 공급원(163)[제2 가스 공급관(160)]으로부터의 Cl2 가스의 유량이 안정되면, 제2 가스 공급관(160)으로부터 처리실(201) 내로 Cl2 가스를 공급한다. 구체적으로는, 밸브(166)를 닫고, 밸브(161)를 개방하여, 매스 플로우 컨트롤러(162)에 의해 유량 제어하면서, 처리실(201) 내로의 Cl2 가스의 공급을 개시한다. 처리실(201) 내에 공급된 Cl2 가스는, 웨이퍼(200) 표면과 접촉한 후, 가스 배기관(231)으로부터 처리실(201) 밖으로 배기된다.
한편, Cl2 가스 바이패스 배출 공정(S31)에 있어서의 제2 가스 공급관(160)으로부터의 Cl2 가스의 공급처[제2 바이패스 배관(165) 내]는 예를 들면 100Pa 정도로 감압되어 있고, Cl2 가스 공급 공정(S40)에 있어서의 제2 가스 공급관(160)으로부터의 Cl2 가스의 공급처[처리실(201) 내]는 예를 들면 40Pa 이하로 감압되어 있다. 즉, Cl2 가스 바이패스 배출 공정(S31)과 Cl2 가스 공급 공정(S40)에서는, Cl2 가스의 공급처에 약간의 압력차가 존재하게 된다. 그러나, 이 정도의 압력차는, 기판 처리의 품질이나 수율에 거의 영향을 주지 않는다. 즉, 바이패스 배출 공정(S31)으로부터 Cl2 가스 공급 공정(S40)으로 전환했다고 하더라도, 제2 가스 공급관(160)으로부터의 Cl2 가스의 공급 유량의 흐트러짐은 적고, 기판 처리의 품질이나 수율에 거의 영향을 주지 않는다.
한편, Cl2 가스 공급 공정(S40)에 있어서는, 밸브(176)를 닫은 상태로 밸브(171)를 개방하고, 매스 플로우 컨트롤러(172)에 의해 유량을 제어하면서, Cl2 가스와 동시에 H2 가스를 처리실(201) 내로 공급하는 것이 바람직하다. 이에 의해, 박막을 성장시키는 하지의 표면 등에 존재하는 산소(O) 원소를 환원시켜, Epi-Si 막중의 산소 농도를 저하시킬 수 있다.
이와 같이, SiH4 가스 공급 공정(S30)과 Cl2 가스 공급 공정(S40)을 실시하여 웨이퍼(200)의 표면에 SiH4 가스와 Cl2 가스를 공급함으로써, 웨이퍼(200) 상의 실리콘 노출면 상에 Epi-Si 막을 선택적으로 성장시킬 수 있다.
<Cl2 가스 바이패스 배출 공정(S51)>
Cl2 가스 공급 공정(S40)을 소정 시간(예를 들면 30초) 실시하면, 밸브(161)를 닫고, 밸브(166)를 개방하여, Cl2 가스를 처리실(201) 내에 공급하지 않고 제2 바이패스 배관(165)으로부터 가스 배기관(231)으로 배기한다. 이러한 경우에 있어서도, 제2 바이패스 배관(165)의 하류측은, 제1 메커니컬 부스터 펌프(233)와 제2 메커니컬 부스터 펌프(234) 사이에 접속되어 있기 때문에, 처리실(201) 내로 Cl2 가스가 역확산(역류)해 버리는 것이 억제된다.
한편, Cl2 가스 바이패스 배출 공정(S51)에 있어서는, 밸브(166)를 닫은 상태로 밸브(161)를 닫는 것으로 하여, 제2 바이패스 배관(165)에 Cl2 가스를 흘리지 않고 Cl2 가스의 처리실(201) 내로의 공급을 정지해도 된다. 이러한 경우라 하더라도, 후(後)공정에서 Cl2 가스 바이패스 배출 공정(S31)을 다시 실시함으로써, 처리실(201) 내로 공급하는 Cl2 가스의 공급 유량을 안정시킬 수 있다.
<H2 가스 공급 공정(S50)>
Cl2 가스 바이패스 배출 공정(S51)과 병행하여, H2 가스 공급 공정(S50)을 실시한다. 즉, 구체적으로는, 밸브(176)를 닫고, 밸브(171)를 개방하여, 매스 플로우 컨트롤러(172)에 의해 유량을 제어하면서, 처리실(201) 내로의 H2 가스의 공급을 개시한다. 이에 의해 웨이퍼(200)나 Epi-Si 막의 표면에 존재하는 산소(O) 원소를 환원시켜, Epi-Si 막중의 산소 농도를 저하시킬 수 있다. H2 가스의 공급을 소정 시간 계속한 후, 밸브(171)를 닫아, 처리실(201) 내로의 H2 가스의 공급을 정지한다.
<반복 공정>
이하, SiH4 가스 공급 공정(S30)~H2 가스 바이패스 배출 공정(S51)을 1 사이클로 하고, 이 사이클을 반복함으로써, 웨이퍼(200) 상의 실리콘 노출면 상에 원하는 두께의 Epi-Si 막을 선택적으로 성장시킨다. 한편, 본 발명은 상술한 실시 형태에 국한되지 않고, SiH4 가스 공급 공정(S30), Cl2 가스 공급 공정(S40), H2 가스 공급 공정(S50)을 동시에 수행하는 것으로 해도 된다. 이러한 경우라 하더라도, Cl2 가스 공급 공정(S40)의 실시 전후(前後)에는, Cl2 가스 바이패스 배출 공정(S31)과 Cl2 가스 바이패스 배출 공정(S51)을 각각 실시한다. 또한, H2 가스 공급 공정(S50)은 반드시 실시하지 않아도 되며, SiH4 가스 공급 공정(S30)~H2 가스 바이패스 배출 공정(S51)을 1 사이클로 하고, 이 사이클을 반복하는 것으로 해도 된다.
<처리 가스 배기 공정(S60)>
원하는 두께의 Epi-Si 막을 성장시켜 웨이퍼(200)를 처리한 후, 가스 배기관(231)을 개재하여 처리실(201) 내에 잔류하고 있는 처리 가스를 배기한다. 이 때, 밸브(151, 161, 171)는 닫은 상태로 한다. 한편, 도시하지 않은 불활성 가스 공급 라인으로부터 N2 가스 등의 불활성 가스를 처리실(201) 내에 공급함으로써, 처리실(201) 내로부터의 처리 가스의 배기를 촉진할 수 있다. 처리실(201) 내로부터 처리 가스를 배기한 후, 처리실(201) 내에 불활성 가스를 공급하면서 APC 밸브(232)의 개방도를 조정시켜, 처리실(201) 내의 압력을 대기압까지 복귀시킨다. 이어서, 회전 기구(254)의 동작을 정지시킨다. 한편, APC 밸브(232)를 닫는 경우에는, 개폐 밸브(237)를 개방하고, 슬로우 배기관(236)에 의해 처리실(201) 내를 저속(低速)으로 배기하는 것으로 해도 된다.
<기판 반출 공정(S70)>
그 후, 승강 모터(248)를 작동시켜 씰 캡(219)을 하강시키고, 처리로(202)의 개구부인 노구(261)를 개구시킴과 함께, 성막 완료된 웨이퍼(200)를 보지한 보트(130)를 처리실(201) 밖으로 반출(boat unloading)한다. 그 후, 웨이퍼 이재기(112)에 의해, 처리 완료된 웨이퍼(200)를 보트(130)로부터 취출(取出)하여(wafer discharge), 본 실시 형태에 따른 기판 처리 공정을 완료한다.
한편, 웨이퍼(200)를 처리할 때의 처리 조건으로서는, 예를 들면, Epi-Si 막의 성막에 있어서, 처리 온도 400℃~700℃, 처리 압력 1Pa~200Pa가 예시된다. 또한, SiH4 가스 공급 공정(S30)에 있어서는, SiH4 가스의 공급 유량:100sccm, H2 가 스의 공급 유량:1slm이 예시되고, Cl2 가스 공급 공정(S40)에 있어서는, Cl2 가스의 공급 유량:50sccm, H2 가스의 공급 유량:1slm이 예시된다.
(5) 효과
본 실시 형태에 따르면, 이하에 나타내는 (a)~(e) 중 하나 또는 복수의 효과를 발휘한다.
(a) 본 실시 형태에 따르면, SiH4 가스 공급 공정(S30)과 병행하여 Cl2 가스 바이패스 배출 공정(S31)을 실시한다. Cl2 가스 바이패스 배출 공정(S31)에서는, 밸브(161)를 닫은 상태로 밸브(166)를 개방하고, Cl2 가스를 처리실(201) 내에 공급하지 않고 제2 바이패스 배관(165)으로부터 가스 배기관(231)으로 배기함으로써, 제2 처리 가스 공급원(163)[제2 가스 공급관(160)]으로부터의 Cl2 가스의 공급 유량이 공급 개시 직후에 일시적으로 과대하게 되어 버리는 오버 슛 현상을 수속(收束)시켜 둔다. 이에 의해, Cl2 가스 바이패스 배출 공정(S31)의 후에 실시하는 Cl2 가스 공급 공정(S40)에 있어서, 처리실(201) 내에 공급하는 Cl2 가스의 공급 유량을 안정시킬 수 있고, 기판 처리의 품질을 향상시켜, 수율을 개선시킬 수 있다.
(b) 본 실시 형태에 따르면, 제2 바이패스 배관(165) 하류측은, 제1 메커니컬 부스터 펌프(233)와 제2 메커니컬 부스터 펌프(234) 사이에 접속되어 있다. 이 때문에, 처리실(201) 내로 Cl2 가스가 역확산(역류)해 버리는 것을 억제할 수 있다. 예를 들면, Cl2 가스 바이패스 배출 공정(S31)이나 Cl2 가스 바이패스 배출 공정(S51)에 있어서는, 제1 메커니컬 부스터 펌프(233)와 제2 메커니컬 부스터 펌프(234) 사이의 가스 배기관(231) 내는 예를 들면 100Pa 정도로 감압되고, 처리실(201) 내의 압력은 예를 들면 40Pa 이하로 감압되어 있다. 즉, Cl2 가스가 배출되는 가스 배기관(231) 내의 압력 쪽이, 처리실(201) 내의 압력 쪽보다 높게 된다. 이러한 압력 상태라 하더라도, 본 실시 형태에서는, 가스 배기관(231)의 제2 바이패스 배관(165)과의 접속 개소 상류측에 제 1 메커니컬 부스터 펌프(233)가 설치되어 있기 때문에, 제2 바이패스 배관(165)으로부터 가스 배기관(231)으로 배기된 Cl2 가스가, 가스 배기관(231)의 하류측으로 흐르지 않고 상류측[처리실(201)측]으로 역확산(역류)해 버리는 것을 억제할 수 있다. 그 결과, 가스 배기관(231) 내에 잔류하고 있던 이물질 등이 Cl2 가스와 함께 처리실(201) 내에 침입해 버리는 것이 억제되고, 기판 처리 품질을 향상시켜, 수율을 개선시킬 수 있다.
참고로, 종래의 기판 처리 장치가 구비하는 가스 공급계, 바이패스 배관, 가스 배기계의 개략 구성을 도 5 및 도 6에 나타낸다. 도 5에 나타내는 종래의 기판 처리 장치에서는, 가스 배기관(231)에 제1 메커니컬 부스터 펌프(233), 제2 메커니컬 부스터 펌프(234), 드라이 펌프(235)의 3 개의 진공 펌프가 직렬로 설치되어 있고, 도 6에 나타내는 종래의 기판 처리 장치에서는, 가스 배기관(231)에 제1 메커니컬 부스터 펌프(233), 드라이 펌프(235)의 2 개의 진공 펌프가 직렬로 설치되어 있다. 어떤 경우에 있어서도, 제1 바이패스 배관(155), 제2 바이패스 배관(165), 제3 바이패스 배관(175)의 하류측은, 가스 배기관(231)의 제1 메커니컬 부스터 펌프(233)의 상류측에 접속되어 있다. 발명자 등의 예의 연구에 따르면, 이러한 구성에서는, Cl2 가스를 제2 바이패스 배관(165)으로부터 배기시키려면, 가스 배기관(231)으로 배기된 Cl2 가스가 처리실(201) 내에 역확산(역류)해 버리는 경우가 있다. 즉, 종래의 기판 처리 장치에서는, 가스 배기관(231)의 제2 바이패스 배관(165)과의 접속 개소의 상류측에는 본 실시 형태와 같이 진공 펌프[제1 메커니컬 부스터 펌프(233)]가 설치되어 있지 않기 때문에, Cl2 가스가 배출되는 가스 배기관(231) 내의 압력 쪽이 처리실(201) 내의 압력 쪽보다 높으면(또는 동일한 정도이면), 가스 배기관(231)으로 배기된 Cl2 가스가 처리실(201) 내에 역확산(역류)해 버리는 경우가 있다.
(c) 본 실시 형태에 따르면, 제1 바이패스 배관(155), 제2 바이패스 배관(165), 제3 바이패스 배관(175)의 하류측을, 가스 배기관(231)의 제1 메커니컬 부스터 펌프(233)와, 그 하류에 위치하는 진공 펌프[도 3의 형태이면 제2 메커니컬 부스터 펌프(234), 도 4의 형태이면 드라이 펌프(235)] 사이에 접속함으로써, Cl2 가스의 처리실(201) 내로의 역확산(역류)을 억제할 수 있다. 즉, 제1 바이패스 배관(155), 제2 바이패스 배관(165), 제3 바이패스 배관(175)의 하류측에 전용(專用)의 진공 펌프를 새로 설치할 필요가 없다. 그 때문에, 기판 처리 장치(101)의 구성을 단순화시킬 수 있고, 기판 처리 장치(101)의 비용이나 설치 스페이 스(footprint)의 증대를 억제할 수 있다.
참고로, 바이패스 배관에 전용 펌프를 설치한 종래의 기판 처리 장치가 구비하는 가스 공급계, 바이패스 배관, 가스 배기계의 개략 구성을 도 7 및 도 8에 나타낸다. 도 7에 나타내는 종래의 기판 처리 장치에서는, 가스 배기관(231)에 제1 메커니컬 부스터 펌프(233), 제2 메커니컬 부스터 펌프(234), 드라이 펌프(235)의 3 개의 진공 펌프가 직렬로 설치되어 있고, 도 8에 나타내는 종래의 기판 처리 장치에서는, 가스 배기관(231)에 제1 메커니컬 부스터 펌프(233), 드라이 펌프(235)의 2 개의 진공 펌프가 직렬로 설치되어 있다. 어떤 경우에 있어서도, 제1 바이패스 배관(155), 제2 바이패스 배관(165), 제3 바이패스 배관(175)은 가스 배기관(231)에 접속되어 있지 않고, 또한, 제1 바이패스 배관(155), 제2 바이패스 배관(165), 제3 바이패스 배관(175)의 하류측에는 전용 펌프(238)가 새로 설치되어 있다. 발명자 등의 예의 검토에 따르면, 이러한 구성에서는, 기판 처리 장치(101)의 구성이 복잡화하고, 기판 처리 장치(101)의 비용이나 설치 스페이스(footprint)가 증대해 버리는 경우가 있다.
(d) 본 실시 형태에 따르면, 가스 배기관(231)에 설치된 제1 메커니컬 부스터 펌프(233), 제2 메커니컬 부스터 펌프(234), 드라이 펌프(235)는, 모두 펌프유를 사용하지 않는 구성인 점으로부터, 처리실(201) 내, 가스 배기관(231) 내, 제1 바이패스 배관(155) 내, 제2 바이패스 배관(165) 내, 제3 바이패스 배관(175) 내를 클린(clean)하게 유지(oil-free로 유지)하는 것이 가능하게 된다.
(e) 본 실시 형태에 따르면, 가스 배기관(231)에는, 진공 펌프로서, 터보 분 자(分子) 펌프(TMP)가 아닌, 메커니컬 부스터 펌프(MBP)와 드라이 펌프(DP)를 설치하는 것으로 하고 있다. 터보 분자 펌프란, 기계식 진공 펌프의 한 종류로서, 금속제의 터빈 날개를 가진 회전체인 로우터를 고속 회전시켜, 가스 분자를 튕겨서(가스 분자를 누르거나 압축함으로써) 배기하는 펌프이다. 터보 분자 펌프에서는 대기압까지 압축하는 것은 어렵기 때문에, 터보 분자 펌프 후에 다른 조인(粗引) 펌프(roughing vacuum pump)를 하류측에 접속하여 사용할 필요가 있다. 발명자 등의 예의 검토에 따르면, 한 번에 다수 매의 기판을 처리하는 뱃치(batch)식 기판 처리(뱃치식 에피택셜막 성장)에 있어서는, 처리실(201) 내에 대(大)유량의 처리 가스를 공급할 필요가 있기 때문에, 유효 작동 영역이 좁고 대유량의 처리 가스를 배기하기 어려운 터보 분자 펌프보다, 유효 작동 영역이 넓고 대유량의 처리 가스를 배기하기 용이한 메커니컬 부스터 펌프와 드라이 펌프의 조합이 적합하다고 생각할 수 있다. 또한, 가스 배기관(231)과의 메탈 씰(metal seal)이나 볼트 조임에 의한 고정이 필요한 터보 분자 펌프(TMP)보다, 메커니컬 부스터 펌프나 드라이 펌프 쪽이 용이하게 설치할 수 있는 것이라고 생각할 수 있다. 일반적으로는, 터보 분자 펌프는, 메커니컬 부스터 펌프와 비교하여 유효 작동 영역이 좁은 경우가 많기 때문에, 가령 가스 배기관(231)에 터보 분자 펌프(TMP)를 설치하는 경우에는, 가스 배기관(231)의 최상류측[처리실(201)에 가장 가까운 위치]에 설치함으로써, 터보 분자 펌프(TMP)의 배기 효율을 최적화시키고, 처리실(201) 내로의 처리 가스의 역확산(역류)을 억제하도록 해야 한다.
<본 발명의 다른 실시 형태>
상술한 실시 형태에 있어서, 밸브(151)와 밸브(156), 밸브(161)와 밸브(166), 밸브(171)와 밸브(176)는, 각각 인터록(interlock)으로서 구성해도 된다. 예를 들면, 밸브(151)와 밸브(156) 중 어느 한 쪽의 밸브를 닫은 경우에는, 다른 쪽의 밸브를 자동적으로 개방하도록 구성하고, 밸브(151)와 밸브(156) 중 어느 한 쪽의 밸브를 개방하는 경우에는, 다른 쪽의 밸브를 자동적으로 닫도록 구성해도 된다. 이러한 개폐 동작은 컨트롤러(300)에 의해 자동적으로 제어된다. 이와 같이 구성함으로써, 밸브의 개폐 조작의 잘못으로 인한 처리 가스의 공급 유량의 흐트러짐을 억제할 수 있고, 기판 처리의 품질을 향상시켜, 수율을 개선시킬 수 있다.
상술한 실시 형태에서는, Cl2 가스의 공급 유량을 안정시키도록 Cl2 가스에 대해서만 제2 바이패스 배관(165)을 사용하여 배기하는 예에 대해 설명했는데, 본 발명은 이러한 구성에 국한되지 않는다. 즉, SiH4 가스에 대해서도, Cl2 가스의 경우와 마찬가지로, 제1 바이패스 배관(155)을 사용하여 공급 유량을 안정시켜도 된다. 또한, H2 가스에 대해서도, Cl2 가스의 경우와 마찬가지로, 제3 바이패스 배관(175)을 사용하여 공급 유량을 안정시켜도 된다.
상술한 실시 형태에서는, 처리실(201) 내에 SiH4 가스, Cl2 가스, H2 가스를 공급하여 Epi-Si 막을 성장시키는 경우에 대해서 설명했는데, 본 발명은 이러한 실시 형태에 국한되지 않는다. 즉, 제1 처리 가스 공급원(153)에 Si2H6 가스 등의 SiH4 가스 이외의 실란계 가스가 봉입(封入)되어 있고, 처리실(201) 내에 이러한 Si2H6 가스 등의 실란계 가스, Cl2 가스, H2 가스를 순차적으로 또는 동시에 공급함으로써 Epi-Si 막을 성장시키는 경우에도, 본 발명은 적합하게 적용 가능하다.
또한, 제1 처리 가스 공급원(153), 제2 처리 가스 공급원(163) 및 제3 처리 가스 공급원(173)에, SiH4 가스나 Si2H6 가스 등의 실란계 가스, GeH4 가스 등의 게르마늄계 가스 및 Cl2 가스가 각각 봉입되어 있고, 처리실(201) 내에 이들 각종 가스를 순차적으로 또는 동시에 공급함으로써 Epi-SiGe 막을 성장시키는 경우에도, 본 발명은 적합하게 적용 가능하다. 이 때, 제1 바이패스 배관(155), 제2 바이패스 배관(165), 제3 바이패스 배관(175)을 사용하여 상술한 실시 형태와 마찬가지로 Si와 Ge 비율을 조정하여 각종 가스의 유량을 안정시키는 것이 가능함과 함께, 각종 가스의 처리실(201) 내로의 역확산(역류)을 억제하는 것이 가능하다. 또한, B 도프를 수행하는 경우도, B 함유 가스를 공급하기 전에 바이패스 배관을 사용하여, 상술한 실시 형태와 마찬가지로 B 함유 가스의 유량을 안정시키는 것이 가능하다.
<본 발명의 바람직한 형태>
이하에, 본 발명의 바람직한 형태를 부기한다.
본 발명의 제1 형태에 따르면,
기판을 수용하는 처리실과,
상기 기판을 처리하는 처리 가스를 처리 가스원으로부터 상기 처리실 내에 공급하는 가스 공급계와,
상기 처리실 내의 분위기를 배기하는 가스 배기계와,
상기 가스 배기계에 직렬로 설치된 적어도 2 개의 진공 펌프와,
상기 가스 공급계와 상기 가스 배기계를 상기 처리실을 개재하지 않고 접속하는 바이패스 배관
을 포함하고,
상기 진공 펌프 중, 상기 가스 배기계를 흐르는 가스의 최상류측에 위치하는 진공 펌프는 메커니컬 부스터 펌프로서, 상기 바이패스 배관은, 상기 메커니컬 부스터 펌프와 그 하류에 위치하는 진공 펌프 사이의 위치에 접속되는 기판 처리 장치가 제공된다.
본 발명의 제2 형태에 따르면,
상기 가스 배기계의 상기 바이패스 배관과의 접속부보다 가스의 하류측의 진공 펌프는, 드라이 펌프인 제1 형태에 기재한 기판 처리 장치가 제공된다.
본 발명의 제3 형태에 따르면,
상기 가스 배기계의 상기 바이패스 배관과의 접속부보다 가스의 하류측의 진공 펌프는, 메커니컬 부스터 펌프인 제1 형태에 기재한 기판 처리 장치가 제공된다.
본 발명의 제4 형태에 따르면,
상기 가스 배기계의 상기 바이패스 배관과의 접속부보다 가스 하류측의 진공 펌프는, 상류측부터 차례로 메커니컬 부스터 펌프와 드라이 펌프인 제1 형태에 기재한 기판 처리 장치가 제공된다.
본 발명의 제5 형태에 따르면,
상기 처리 가스원이 복수 설치되고, 상기 바이패스 배관은 상기 처리 가스원에 대응하는 수를 가지며, 각각의 상기 바이패스 배관이 상기 메커니컬 부스터 펌프와 그 하류에 위치하는 진공 펌프 사이의 위치에 접속되는 제1 형태에 기재한 기판 처리 장치가 제공된다.
본 발명의 제6 형태에 따르면,
상기 처리실은 복수의 기판을 수용하는 청구항 1에 기재한 기판 처리 장치가 제공된다.
본 발명의 제7 형태에 따르면,
기판을 처리하는 가스를 공급하는 가스 공급계와,
기판을 처리하는 처리실과,
상기 공급계로부터 공급된 가스를 배기하는 가스 배기계와,
상기 가스 공급계와 상기 가스 배기계를 직접 접속하는 바이패스 배관
을 포함하고,
상기 가스 배기계에 메커니컬 부스터 펌프와 드라이 펌프를 설치하고, 상기 바이패스 배관은 상기 메커니컬 부스터 펌프와 상기 드라이 펌프 사이에 접속되는 기판 처리 장치가 제공된다.
본 발명의 제8 형태에 따르면,
기판을 처리하는 가스를 공급하는 가스 공급계와,
기판을 처리하는 처리실과,
상기 공급계로부터 공급된 가스를 배기하는 가스 배기계와,
상기 가스 공급계와 상기 가스 배기계를 직접 접속하는 바이패스 배관
을 포함하고,
상기 가스 배기계에 터보 분자 펌프를 포함하지 않는 3 개의 진공 펌프를 설치하고, 상기 바이패스 배관은 가스의 최상류측에 위치하는 진공 펌프와 다른 진공 펌프 사이에 접속되는 기판 처리 장치가 제공된다.
본 발명의 제9 형태에 따르면,
상기 3 개의 펌프는, 2 개의 메커니컬 부스터 펌프와 1 개의 드라이 펌프로서, 상기 최상류측의 진공 펌프는 메커니컬 부스터 펌프인 제8 형태에 기재한 기판 처리 장치가 제공된다.
본 발명의 제10 형태에 따르면,
기판을 수용하는 처리실과,
상기 기판을 처리하는 처리 가스를 처리 가스원으로부터 상기 처리실 내로 공급하는 가스 공급계와,
상기 처리실 내의 분위기를 배기하는 가스 배기계와,
상기 가스 배기계에 직렬로 설치된 적어도 2 개의 진공 펌프와,
상기 가스 공급계와 상기 가스 배기계를 상기 처리실을 개재하지 않고 접속하는 바이패스 배관
을 포함하고,
상기 진공 펌프 중, 상기 가스 배기계를 흐르는 가스의 최상류측에 위치하는 진공 펌프는 메커니컬 부스터 펌프로서, 상기 바이패스 배관은, 상기 메커니컬 부 스터 펌프와 그 하류에 위치하는 진공 펌프 사이의 위치에 접속되는 기판 처리 장치에 의해 실시되고,
상기 기판을 처리하기 전에 상기 처리 가스원으로부터의 상기 처리 가스의 유량을 안정시키도록 상기 바이패스 배관에 상기 처리 가스를 흘려 상기 가스 배기계에 배기하는 공정과,
상기 처리실 내에 상기 처리 가스원으로부터 상기 처리 가스를 공급하여 상기 기판을 처리하는 공정과,
상기 기판을 처리한 후, 상기 가스 배기계를 개재하여 상기 처리실 내의 상기 처리 가스를 배기하는 공정
을 갖는 반도체 장치의 제조 방법이 제공된다.
도 1은 본 발명의 일 실시 형태에 따른 기판 처리 장치의 개략 구성도.
도 2는 본 발명의 일 실시 형태에 따른 기판 처리 장치가 구비하는 처리로(處理爐)의 개략 구성도.
도 3은 본 발명의 일 실시 형태에 따른 기판 처리 장치가 구비하는 가스 공급계, 바이패스 배관, 가스 배기계의 개략 구성도.
도 4는 도 3에 나타내는 바이패스 배관, 가스 배기계의 다른 구성예를 나타내는 개략 구성도.
도 5는 종래의 기판 처리 장치가 구비하는 가스 공급계, 바이패스 배관, 가스 배기계의 개략 구성도.
도 6은 도 5에 나타내는 바이패스 배관, 가스 배기계의 다른 구성예를 나타내는 개략 구성도.
도 7은 바이패스 배관에 전용 펌프를 설치한 종래의 기판 처리 장치가 구비하는 가스 공급계, 바이패스 배관, 가스 배기계의 개략 구성도.
도 8은 도 7에 나타내는 바이패스 배관, 가스 배기의 다른 구성예를 나타내는 개략 구성도.
도 9는 본 발명의 일 실시 형태에 따른 기판 처리 공정의 플로우도.
<도면 주요 부호의 설명>
101 : 기판 처리 장치 150 : 제1 가스 공급관(가스 공급계)
153 : 제1 처리 가스 공급원 155 : 제1 바이패스 배관
160 : 제2 가스 공급관(가스 공급계) 163 : 제2 처리 가스 공급원
165 : 제2 바이패스 배관 170 : 제3 가스 공급관(가스 공급계)
173 : 제3 처리 가스 공급원 175 : 제3 바이패스 배관
200 : 웨이퍼(기판) 201 : 처리실
202 : 처리로 231 : 가스 배기관(배기계)
233 : 제1 메커니컬 부스터 펌프(진공 펌프)
234 : 제2 메커니컬 부스터 펌프(진공 펌프)
235 : 드라이 펌프(진공 펌프) 300 : 컨트롤러

Claims (5)

  1. 기판을 수용하는 처리실과,
    상기 기판을 처리하는 처리 가스를 처리 가스원(源)으로부터 상기 처리실 내로 공급하는 가스 공급계와,
    상기 처리실 내의 분위기를 배기하는 가스 배기계와,
    상기 가스 배기계에 직렬로 설치된 적어도 2 개의 진공 펌프와,
    상기 가스 공급계와 상기 가스 배기계를 상기 처리실을 개재하지 않고 접속하는 바이패스(bypass) 배관
    을 포함하고,
    상기 진공 펌프 중, 상기 가스 배기계를 흐르는 가스의 최상류측에 위치하는 진공 펌프는 메커니컬 부스터 펌프(mechanical booster pump)이고, 상기 바이패스 배관은, 상기 메커니컬 부스터 펌프와 그 하류에 위치하는 진공 펌프 사이의 위치에 접속되는 기판 처리 장치.
  2. 제1항에 있어서, 상기 가스 배기계의 상기 바이패스 배관과의 접속부보다 가스의 하류측의 진공 펌프는, 드라이 펌프인 기판 처리 장치.
  3. 제1항에 있어서, 상기 가스 배기계의 상기 바이패스 배관과의 접속부보다 가스의 하류측의 진공 펌프는, 메커니컬 부스터 펌프인 기판 처리 장치.
  4. 제1항에 있어서, 상기 가스 배기계의 상기 바이패스 배관과의 접속부보다 가스 하류측의 진공 펌프는, 상류측부터 차례로 메커니컬 부스터 펌프와 드라이 펌프인 기판 처리 장치.
  5. 제1항에 있어서, 상기 처리 가스원이 복수 설치되고, 상기 바이패스 배관은 상기 처리 가스원에 대응하는 수를 가지며, 각각의 상기 바이패스 배관이 상기 메커니컬 부스터 펌프와 그 하류에 위치하는 진공 펌프 사이의 위치에 접속되는 기판 처리 장치.
KR1020090084962A 2008-09-10 2009-09-09 기판 처리 장치 KR101073571B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2008232618A JP5226438B2 (ja) 2008-09-10 2008-09-10 基板処理装置、半導体装置の製造方法及び基板処理方法
JPJP-P-2008-232618 2008-09-10

Publications (2)

Publication Number Publication Date
KR20100030601A KR20100030601A (ko) 2010-03-18
KR101073571B1 true KR101073571B1 (ko) 2011-10-14

Family

ID=41798127

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020090084962A KR101073571B1 (ko) 2008-09-10 2009-09-09 기판 처리 장치

Country Status (3)

Country Link
US (1) US20100058984A1 (ko)
JP (1) JP5226438B2 (ko)
KR (1) KR101073571B1 (ko)

Families Citing this family (315)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5304749B2 (ja) * 2010-08-05 2013-10-02 株式会社島津製作所 真空分析装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101427726B1 (ko) * 2011-12-27 2014-08-07 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법
JP5937385B2 (ja) * 2012-03-16 2016-06-22 東京エレクトロン株式会社 半導体製造装置のガス供給方法、ガス供給システム及び半導体製造装置
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
JP6560924B2 (ja) * 2015-07-29 2019-08-14 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
CN114729701A (zh) 2020-02-04 2022-07-08 株式会社国际电气 控制阀、基板处理装置及半导体器件的制造方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100723079B1 (ko) * 2003-05-13 2007-05-29 동경 엘렉트론 주식회사 원료 가스와 반응성 가스를 사용하는 처리 장치

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0686661B2 (ja) * 1989-10-30 1994-11-02 株式会社東芝 気相成長装置
JPH08139041A (ja) * 1994-11-10 1996-05-31 Kokusai Electric Co Ltd 減圧処理装置のガス給排装置及びガス導入方法
JP3847928B2 (ja) * 1997-12-17 2006-11-22 株式会社日立国際電気 半導体製造装置及び半導体製造方法
JP3973605B2 (ja) * 2002-07-10 2007-09-12 東京エレクトロン株式会社 成膜装置及びこれに使用する原料供給装置、成膜方法
JP2004288703A (ja) * 2003-03-19 2004-10-14 Hitachi Ltd 成膜装置及び成膜方法
JP2005056931A (ja) * 2003-08-06 2005-03-03 Hitachi Kokusai Electric Inc 基板処理装置
JP2005180279A (ja) * 2003-12-18 2005-07-07 Sharp Corp 真空薄膜作成装置、及び真空薄膜作成装置の真空ポンプ制御方法
JP4543848B2 (ja) * 2004-09-17 2010-09-15 東京エレクトロン株式会社 半導体製造装置及びそのメンテナンス方法
KR20060063188A (ko) * 2004-12-07 2006-06-12 삼성전자주식회사 화학기상증착장치 및 그를 이용한 화학기상증착방법

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100723079B1 (ko) * 2003-05-13 2007-05-29 동경 엘렉트론 주식회사 원료 가스와 반응성 가스를 사용하는 처리 장치

Also Published As

Publication number Publication date
JP5226438B2 (ja) 2013-07-03
KR20100030601A (ko) 2010-03-18
US20100058984A1 (en) 2010-03-11
JP2010067788A (ja) 2010-03-25

Similar Documents

Publication Publication Date Title
KR101073571B1 (ko) 기판 처리 장치
JP5237133B2 (ja) 基板処理装置
JP2010239115A (ja) 基板処理装置
JP2005533378A (ja) 熱処理装置及び設定可能な垂直チャンバ
KR20080029836A (ko) 반도체 장치의 제조방법 및 기판처리장치
JP5235142B2 (ja) 半導体装置の製造方法及び基板処理装置
JP5224567B2 (ja) 基板処理装置、基板処理方法および半導体装置の製造方法
JP2007250803A (ja) 基板処理装置
JP2009124105A (ja) 基板処理装置
KR101070668B1 (ko) 반도체 장치의 제조 방법 및 기판 처리 장치
JP2009117554A (ja) 基板処理装置
JP4324632B2 (ja) 半導体装置の製造方法および基板処理装置
KR101060662B1 (ko) 반도체 장치의 제조 방법 및 기판 처리 장치
US10763137B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
JP2012129232A (ja) 基板処理装置及び半導体装置の製造方法
JP2006190812A (ja) 基板処理装置
JP2009289807A (ja) 半導体装置の製造方法
JP2657254B2 (ja) 処理装置及びその排気方法
JP2011222656A (ja) 基板処理装置
JP5032059B2 (ja) 半導体装置の製造方法、基板処理方法、及び基板処理装置
JP2008171958A (ja) 半導体装置の製造方法
KR20220051805A (ko) 보트 반입 방법 및 열처리 장치
JP5792972B2 (ja) 半導体装置の製造方法及び基板処理装置
JP2020150150A (ja) 基板処理装置、半導体装置の製造方法及びプログラム
JP2009088305A (ja) 半導体デバイスの製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20141008

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20151002

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20161005

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170921

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20180920

Year of fee payment: 8