KR100582934B1 - 광조사에 의한 열 처리 장치 - Google Patents

광조사에 의한 열 처리 장치 Download PDF

Info

Publication number
KR100582934B1
KR100582934B1 KR1020040013351A KR20040013351A KR100582934B1 KR 100582934 B1 KR100582934 B1 KR 100582934B1 KR 1020040013351 A KR1020040013351 A KR 1020040013351A KR 20040013351 A KR20040013351 A KR 20040013351A KR 100582934 B1 KR100582934 B1 KR 100582934B1
Authority
KR
South Korea
Prior art keywords
chamber
liner
heat treatment
semiconductor wafer
light
Prior art date
Application number
KR1020040013351A
Other languages
English (en)
Other versions
KR20040078058A (ko
Inventor
무라야마히로미
구수다타츠후미
Original Assignee
다이닛뽕스크린 세이조오 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2003050896A external-priority patent/JP4417017B2/ja
Priority claimed from JP2003114036A external-priority patent/JP4417023B2/ja
Application filed by 다이닛뽕스크린 세이조오 가부시키가이샤 filed Critical 다이닛뽕스크린 세이조오 가부시키가이샤
Publication of KR20040078058A publication Critical patent/KR20040078058A/ko
Application granted granted Critical
Publication of KR100582934B1 publication Critical patent/KR100582934B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B17/00Furnaces of a kind not covered by any preceding group
    • F27B17/0016Chamber type furnaces
    • F27B17/0025Especially adapted for treating semiconductor wafers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Mechanical Engineering (AREA)
  • General Engineering & Computer Science (AREA)

Abstract

챔버는 라이너가 부착된 벽 표면을 가진다. 라이너와 챔버 사이에 고정된 부착물이 없어 라이너는 챔버로부터 제거될 수 있도록 제공된다. 챔버로부터 열확산판, 열판 및 관 부재를 제거하도록 광원을 단순히 개방함으로서, 라이너는 챔버로부터 쉽게 떼어낼 수 있다. 반도체 웨이퍼가 깨져 그 파편으로 챔버가 더럽혀질때, 단순히 라이너를 떼어냄으로서 챔버는 쉽게 깨끗해질 수 있다. 라이너는 호닝에 의해 표면 러프닝되는 외부표면을 가진다. 플래시 램프가 상당히 높은 강도의 플래시광을 방출할 때, 라이너의 거칠게 된 외부표면은 이 플래시광을 차단하는 역할을 한다. 결과적으로, 챔버 내부의 금속표면은 플래시 램프로부터 방출된 플래시광에 노출되지 않게 방지된다.
열처리 장치, 광조사, 라이너, 반도체 웨이퍼

Description

광조사에 의한 열 처리 장치{Heat Treatment Apparatus By Means Of Light Irradiation}
도 1 및 도 2는 본 발명에 따른 열 처리 장치의 구성을 보여주는 각각의 단면도.
도 3은 도 1에서 보여준 열 처리 장치를 구성하는 덧쇄의 투시도.
도 4는 라이너의 부분 확대도.
도 5는 라이너를 떼었을때 열 처리 장치의 부분도
본 발명은 광조사에 의한 반도체웨이퍼 또는 유리기판(이후에는 간단히 '기판'으로 한다)의 열처리를 위한 열처리장치에 관한 것이다.
이온 주입 후 종전의 반도체 웨이퍼의 이온 활성 처리는 할로겐 램프를 사용하여 램프 어닐링 장치와 같은 열 처리 장치를 사용하였다. 그러한 열 처리 장치에서, 반도체 웨이퍼는 웨이퍼의 이온 활성을 실현하기 위해 약 1000에서 1100℃의 온도로 가열(어닐링됨)된다. 할로겐 램프로부터 방출된 광에너지는 약 초당 섭씨 수백도의 속도로 웨이퍼의 온도를 상승시키는 작용을 한다.
할로겐 램프를 사용한 램프 어닐링 장치의 예는 일본 특허 출원 공개공보 제2001-127001호에 소개되고 있으며, 처리 챔버내의 금속 표면은 열 처리동안 금속 표면으로부터 금속 성분 확산을 억제하기 위해 코팅 물질을 씌워지게 된다.
초당 섭씨 수백도의 속도로 웨이퍼의 온도를 상승시켜 반도체 웨이퍼의 이온 활성을 실현시키는 열 처리 장치라도, 반도체 웨이퍼에 주입된 이온들은 둥근 프로파일을 나타내고, 이것은 열에 의한 이온 확산을 의미한다. 심지어 고농도로 이온 주입이 되어야하는 반도체 웨이퍼 표면이 그로부터 주입된 이온들의 확산을 겪기 때문에, 이러한 현상의 발생은 필요한 것 보다 많은 양의 이온 주입을 필요로 하게 된다.
이에 응하여, 이온 주입되는 반도체 웨이퍼의 표면만이 수 밀리초(ms)의 극히 짧은 시간의 기간에 온도가 증가될 수 있도록, 반도체 웨이퍼의 표면은 제논 플래시 램프(xenon flash lamp)등에 의한 플래시광으로 조사된다. 이러한 기술의 예는 일본 특허출원 공개공보 No.59-169125(1984)와 No.63-166219(1988)에 소개되어 있다. 제논 플래시 램프를 사용함에 의한 극히 짧은 시간의 온도상승은 이온 확산에 충분히 긴 시간을 허용하고, 이에 의해 반도체 웨이퍼로 주입된 이온들의 파일을 둥글게 함이 없는 이온 활성화가 실현된다.
제논 플래시 램프를 사용하는 종래의 열 처리 장치에서, 반도체 웨이퍼는 스테인레스 스틸 챔버에 담겨지고, 웨이퍼 표면은 플래시광으로 조사된다. 이때 챔버의 내부 벽 역시 플래시 램프로부터 방출된 플래시광으로 조사된다.
제논 플래시 램프로부터 방출된 광은 상당히 높은 강도를 가지고 있어 챔버 내부에서 금속표면을 덮는 열처리 동안 갈색 산화막의 생성을 초래할 수 있다. 예컨대 반도체 장치에 대해 높은 정밀도를 요구하는 현재의 경향에 비추어 볼때 약간의 오염원조차 결함이 있는 처리를 초래할 수 있다. 따라서 그러한 산화막의 생성은 바람직하지 못하다.
입자 오염 또는 금속 오염을 야기하는 오염원을 최소화하기 위한 필요성은 광 방출에 의한 가열 시스템을 사용하는 기계에 한정되지 않고, 반도체 장치등에 대한 전통적인 열 처리 장치에 공통된다. 일본 특허출원 공개공보 제2002-60926호는 거기에 대응하는 클리닝 기술을 제안하며, 이는 예컨대, 열 처리 챔버내의 컴포넌트에 부착된 증착 물질을 승화시키기 위해 가열된다. 또다른 클리닝 기술은 일본 특허출원공개공보 제2002-313727호에 제시된 예와 같이 할로겐 가스와 같은 대기에서 열처리에 의해 열처리챔버에 부착된 소스 기체 분해산물의 제거를 포함한다.
증착 물질의 전술한 부착에 부가하여, 다양한 이유들로 인해 열처리 챔버의 오염이 초래된다. 예를 들면, 처리중인 반도체 웨이퍼는 깨질 수 있고 이로 인해 처리챔버가 그 파편으로 더럽혀질 수 있다. 특히 제논 플래시 램프를 사용하는 열 처리 장치에서, 반도체 웨이퍼는 상당히 고 에너지 광으로 순간적으로 조사되고, 이에 의해 순간에 반도체 웨이퍼 표면의 온도를 급격히 상승시킨다. 결과적으로 반도체 웨이퍼는 표면의 급속한 열팽창으로 인해 깨질 수 있다.
반도체 웨이퍼의 결과적인 파편들은 열 처리 등에 의해 제거될 수 없다. 처리 챔버는 내부를 기계적으로 클리닝하기 위해 열려야만 한다. 그러나 복잡한 구성을 가진 열 처리 챔버는 쉽게 클리닝될 수 없고, 반도체 웨이퍼의 파편들과 같은 오염원을 완벽하게 제거하는 데 어려움을 준다.
본 발명은 기판을 가열시키기 위해 광으로 광을 방출하는 열 처리 장치에 관한 것이다. 이 발명에 따르면, 열처리 장치는 복수개의 플래시 램프를 가지는 광원, 광원 밑에 있는 챔버, 챔버 안의 기판을 고정하는 고정 부재, 챔버 내부의 광 차폐물을 포함하고, 광 차폐물은 광원으로부터 방출된 광을 차단한다.
챔버 내부의 금속 표면은 플래시 램프가 방출한 플래시광에 노출되지 않도록 되어 있다. 결과적으로 플래시광 조사의 결과물인 금속 표면의 산화를 억제하여 챔버 내부의 금속 표면이 열처리동안 금속 산화물로 코팅되는 것을 방지한다.
본 발명의 또다른 관점에 따라서, 열처리 장치는 다수의 램프를 가지는 광원, 광원 아래 있는 챔버, 챔버 안에 기판을 실질적으로 수평의 위치로 유지하기 위한 지지 요소와, 챔버의 벽 표면을 따라 챔버에 제거가능하게 제공된 라이너(liner)를 포함한다.
기판이 깨져 그 파편으로 챔버가 더러워질 때, 챔버로부터 라이너를 제거함으로서 챔버는 쉽게 클리닝될 수 있다.
그러므로 본 발명의 목적은 열처리과정동안 챔버 내부의 금속 표면이 산화물로 코팅되는 것을 방지할 수 있는 열 처리 장치를 제공하는 것이다.
본 발명의 다른 목적은 챔버를 쉽게 청소할 수 있는 열 처리 장치를 제공하는 것이다.
본 발명의 상기 및 그 이외의 목적, 특징, 양상 및 장점들은 첨부된 도면과 대응하여 본 발명의 이하의 상세한 설명으로부터 보다 명백해질 것이다.
본 발명의 실시예들은 도면들을 참조하여 자세히 설명된다.
도 1 및 도 2는 제논 플래시 램프로부터 방출된 플래시광으로 조사함에 의해 반도체 웨이퍼와 같은 기판의 열처리를 목적으로 하는 본 발명에 따른 열 처리 장치의 구성을 각각 보여주는 측면도이다.
본 발명의 열 처리 장치는 그 속에 저장된 반도체 웨이퍼 W 의 열처리를 위한 챔버(65)를 포함한다. 챔버(65)는 반투명판(61), 기저판(62), 한쌍의 측면판들(63,64)에 의해 형성된다. 예컨대 챔버(65)의 상부 부분인 반투명판(61)은 석영과 같은 적외선 투과 물질을 포함한다. 반투명판(61)은 광원(5)로부터 방출된 광이 반투명판을 통화하여 챔버(65)로 인도되도록 하기 위한 챔버 창으로서 기능한다. 예컨대 하부 부분인 기저판(62)과 챔버의 측벽들인 측면판들(63, 64)은 스테인레스 스틸과 같이 강도와 열저항이 뛰어난 금속물질을 포함한다.
지지핀들(70)은 반도체 웨이퍼 W의 아래 표면으로부터 반도체 웨이퍼 W를 지지하기 위해 뒤에서 언급될 반도체 웨이퍼 W의 지지 수단을 함께 구성하는 열 확산판(73) 및 열판(hot plate)(74)을 관통하여, 기저판(62)에 똑바로 세워져 제공된다. 측면판(64)은 챔버(65) 안으로/로부터 반도체 웨이퍼 W를 이송하기 위해서 개구(66)가 제공된다. 게이트 밸브(68)는 축(67) 주변의 회전에 의해 개구(66)를 개방/폐쇄할 수 있다. 개구(66)이 개방 상태일 때 도시되지 않은 이송 로봇에 의해 반도체 웨이퍼 W가 챔버(65)로 이송된다. 개구(66)는 반도체 웨이퍼 W 가 챔버(65) 안에서 열 처리되어질 때 게이트 밸브(68)에 의해 닫힌 상태가 된다.
챔버(65)는 광원(5) 아래에 위치한다. 광원(5)는 하나 보다 많은 제논 플래시 램프(69)(이하 "플래시 램프들(69)"로 간단히 표기)와 반사기(71)를 포함한다. 본 발명의 바람직한 실시예는 25개의 제논 플래시 램프들(69)을 포함한다. 다수의 플래시 램프들(69)은 각각 긴 원통형의 바 형태의 램프들이다. 플래시 램프들(69)은 그것들의 길이 방향이 수평 방향을 따르는, 2차원 배열로 서로 병렬이다. 반사기(71)는 모든 플래시 램프들을 덮기 위해 다수의 플래시 램프들(69) 위에 위치된다.
각각의 플래시 램프들(69) 각각은 그 내부에 제논 가스로 충진된 유리튜브를 포함하고, 유리 튜브의 바깥 주변부 둘레에 감겨 있는 트리거 전극와 캐패시터에 연결되어 있는 양 단부상에 양극과 음극을 가진다. 제논 가스는 전기적으로 절연물질이므로 보통 상태에서는 유리 튜브로 전기가 흐르지 않는다. 그러나 절연파괴를 위해 고전압이 트리거 전극에 인가되면, 캐패시터에 저장되어 있는 전기가 순간적으로 유리튜브로 흐르게 되고, 이에 의해 결과적인 줄(Joule)열이 광 방출을 야기하기 위해 제논 가스로 인가된다. 각각의 제논 플래시램프들(69)에서, 이전에 저장된 정전 에너지는 0.1ms에서 10ms의 범위의 극히 짧은 광학 펼스로 변환된다.다시 말하면 제논 플래시 램프들(69)은 연속적인 발광을 위한 광원과 비교할 때 상당히 높은 강도의 광을 방출한다.
광 확산기(72)는 광원(5)와 반투명판(61)사이에 위치된다. 광 확산기(72)는 광확산 성질이 제공되는 표면을 갖는 적외선 투과 물질인 석영 유리 부재이다.
플래시 램프들(69)로부터 방출된 광의 일부는 광확산판(72)와 반투명판(61)을 직접 통과하고 그후 챔버(65)로 들어온다. 플래시 램프들(69)로부터 방출된 광의 다른 부분은 반사기(71)에 의해 반사되고 그 후에 광확산판(72)과 반투명판(61)을 통과한 후 챔버(65)로 들어간다.
챔버(65)는 내부에 열판(74)와 열 확산판(73)을 포함한다. 열 확산판(73)은 열판(74)의 윗 표면에 접착에 의해 부착되어 있다. 반도체 웨이퍼W를 위한 이동방지 핀들(preventing pins)(75)이 열 확산판(73)의 표면상에 제공된다.
열판(74)은 반도체 웨이퍼 W의 예열(보조가열)을 수행하도록 작용한다. 열판(74)은 알루미늄 질화물 부재이고, 내부에 히터와 히터를 제어하기 위한 센서를 포함한다. 열 확산판(73)은 열판(74)으로부터 열 에너지를 확산시키고, 그에 의해 균일하게 반도체 웨이퍼 W를 예열하고 지지한다. 열 확산판(73)은 사파이어(sapphire,Al2O3) 혹은 석영과 같이 낮은 열전도성 물질을 포함한다.
챔버(65)의 표면은 라이너(20)가 조립되어 있다. 라이너(20)는 그 사이에 고정된 관계가 없이 챔버(65)에 제거가능하게 제공되어 있다. 챔버(65)의 벽 표면은 측면판들(63과 64)과 기저판(62)과 같은 내부 벽표면들로 규정된다. 예컨대 라이너(20)는 측면판들(63, 64)과 기저판(62)의 모든 내부 벽표면들을 덮는 폐쇄 단부 원통 형태(closed-end cylindrical shape)를 가지는 석영 부재이다. 다시 말해 챔버(65)내부의 금속 표면은 라이너(20)로 완전히 덮혀 있다.
도 (3)은 라이너(20)의 투시도이다. 본 발명 바람직한 실시예에서 라이너(20)는 측면판들(63과 64)을 덮는 관부분(20a)과 기저판(62)를 덮는 기저 부 분(20b)으로 구성된 폐쇄 단부 원통 형태를 가진다. 관부분(20a)과 기저부분(20b)는 따로 만들어져 결합된다. 다시 말하면 라이너(20)는 관부분(20a)과 기저부분(20b)으로 분리가능한 분리된 부재(divided member)이다. 라이너(20)의 기저부분(20b)은 관 부재(41)의 상하 운동을 가능하게 하는 홀(22)과, 지지핀들(70)이 통과할 수 있도록 하기 위한 쓰루홀(21)이 제공된다. 설명의 편리성을 위해 도 3에서 생략되었지만, 홀(22)과 쓰루홀들(21)은 위로 가볍게 돌출한 그 주변부들내에 환상 부분(annular portion)이 제공된다. 예컨대 라이너(20)가 반도체 웨이퍼 W의 파편으로 더렵혀질 때, 클리닝을 위해, 이 환상 부분들은 홀(22)과 쓰루홀들(21)로부터 그러한 파편들이 떨어지는 것을 방지하는 작용을 한다. 관 부분(20a)은 반도체 웨이퍼 W 를 챔버(65) 로부터/으로 이송하기 위한 개구(23)와, 가스를 주입통로(78)로부터 방출 통로(79)로 통과시키는 통로(도시되지 않음)가 제공된다. 라이너(20)는 분리된 부재에 한정되지 않고, 대안적으로 한 개체로 몰딩된 폐쇄 단부 원통 형태를 가질 수 있다.
도 4는 라이너(20)의 부분 확대도이다. 라이너(20)의 석영 표면의 일부인 외부 표면(2a)과, 챔버 (65)의 금속 표면을 페이싱(facing)하는 것(즉, 측면판(63과 64)과 기저판(62)의 내부 벽 표면들)은 거칠게 만들기 위해 호닝(honing)된다. 내부 표면(2b)은 호닝되지 않으므로, 그것은 외부 표면(2a)보다 큰 평활도를 갖는다.
본 발명 바람직한 실시예에서, 표면을 거칠게 하는 기술들중 하나인 호닝은 건식 호닝과 습식 호닝을 포함한다. 라이너(20)의 외부 표면(2a)을 거칠게하기 위한 습식 호닝하는데 있어, 외부표면(2a)은 현탁상태로 유지되는 가루화된 연마제를 포함하는 물과 같은 액체로 빠른 속도로 분사된다. 습식호닝에서, 표면의 거침도(roughness)는 액체의 분사 압력 및 분사 속도와, 연마제의 양, 유형, 형태, 크기, 경도, 비중, 현탁 농도과 같은 조건들을 제어함으로서 조절된다.
라이너(20)의 외부 표면(2a)의 표면을 거칠게 만들기 위한 건식호닝에서, 외부표면(2a)은 공기에 의해 연마제로 빠른 속도로 분사된다. 표면의 거침도는 역시 공기의 분사 압력과 분사속도와 연마제의 양, 유형, 형태, 크기, 경도, 비중과 같은 조건들을 제어함으로서 건식호닝에서 조절된다.
두 호닝에 있어서, 연마제는 실리콘 카바이드, 알루미나, 지르코니아, 스테인레스 물질, 철, 유리 구슬, 플라스틱 등을 포함하는 입자일 수 있다. 본 발명 바람직한 실시예에서, 라이너(20)의 외부 표면(2a)은 적어도 0.2 ㎛이상의 평균 표면 거침도(Ra)(바람직하게는, 1.6㎛이상)의 평균표면 거침도를 갖도록 호닝함으로서 거칠게 된다. 내부 표면(2b)는 외부 표면(2a)보다 더 큰 평활도(smoothness)를 가진다(바람직하게는, 6.3 ㎛이하의 평균 표면 거침도(Ra)를 가짐).
건식과 습식 호닝 모두 라이너(20)의 외부 면(2a)에 소위 새틴 피니쉬(satin finish)를 하기 위해 표면 러프닝(surface roughening)을 제공한다. 따라서 플래시 램프들(69)에서 방출된 플래시광 L은 라이너(20)에 들어가기 위해 내부 표면(2b)에 도달하고, 표면 러프닝된 후의 외부 면(2a)은 플래시광 L을 산란시키도록 작용한다. 결과적으로, 라이너(20)는 플래시 램프들(69)로부터 방출된 광이 챔버(65)내부의 금속표면에 도달하지 못하도록 차단하는 광차폐물로서 작용하도록 동작한다. 챔버(65)내부의 금속표면은 광 차폐물인 라이너(20)로 완전히 덮여지므로, 플래시 램 프들(69)로부터 방출된 광은 결코 이 금속 표면의 어느 부분에도 도달하지 못한다.
열 반사기(30)는 열 확산판의 상부표면(73)을 제외하고, 열판(74)과, 열 확산판(74)과, 판들(73과 74)를 지지하기 위한 관 부재(41)를 에워싸기 위해 제공된다. 열 반사기(30)는 또한 석영 부재이고 그 양 표면은 앞선 호닝 처리가 이루어 진다. 열 반사기(30)는 열판(74)로부터 열 확산판(73)로 방출된 열 에너지의 전도를 제한하도록 작용한다.
모터(40)의 액추에이션은 열 확산판(73)와 열판(74)이 도 1에 나타난 반도체 웨이퍼 W의 이송 위치와 도 2에 나타난 반도체 웨이퍼 W의 열 처리 위치사이에서 상하로 움직이도록 한다.
열판(74)은 관 부재(41)를 통해 이동 판(42)에 연결된다. 이동 판(42)은 챔버(65)의 기저판(62)에 매달린 가이드 부재(43)을 통해 가이드되어, 위/아래로 움직일수 있게 된다. 가이드 부재(43)의 하단에 고정된 고정판(44)은 모터(40)가 볼 스크류(45)를 회전 구동하기 위해 제공되는 중앙 부분을 가지고 있다. 볼 스크류(45)는 연결 부재들(46과 47)을 통해 이동 판(42)에 연결되는 너트(48)에 나사산을 대어 결합된다. 그에 의해 열 확산판(73)과 열판(74)은 근처에서 모터(40)의 액추에이션에 의해 도 1에 나타난 반도체 웨이퍼 W의 이송 위치와 도 2에 나타난 반도체 웨이퍼 W의 열 처리 위치사이에서 상하로 움직이도록 허용한다. 열 확산판(73)과 열판(74)의 상하운동은 이동 판(42)위에 제공된 반사기(30)가 상하로 이동하도록 한다
열 확산판(73)과 열판(74)은 도면에 나타나지 않은 이송 로봇에 의해 개구 (66)를 통하여 챔버(65)로 이송되는 지지핀들(70)상에 반도체 웨이퍼 W를 설치하거나 개구(66)를 통해 챔버(65)로부터 지지핀들(70)상에 설치된 반도체 웨이퍼 W를 이송시키기 위해 도 1에 나타난 반도체 웨이퍼 W의 이송 위치로 내려간다. 도 1의 상태에서, 지지핀들(70)의 상단들은 열 확산판(73)과 열판(74)안에 있는 홀을 통과하여, 열 확산판(73)의 표면에서 위로 돌출한다.
반도체 웨이퍼 W의 열처리를 위해 열 확산판(73)와 열판(74)은 지지핀(70)의 상단보다 높은 도 2의 반도체 웨이퍼 W의 열 처리 위치로 상승된다. 열 확산판(73)와 열판(74)을 도 1의 이동위치로부터 도 2의 열 처리위치로 상승되는 과정에서, 지지핀들(70)위에 설치된 반도체 웨이퍼 W는 열 확산판(73)에 전달된다. 전달된 반도체 웨이퍼 W는 열 확산판(73)의 표면에 의해 지지되는 하부 표면으로 상승이동되어, 챔버(65)에 수평으로 고정될 반투명판(61)에 가까운 위치로 도달한다. 역으로 열 확산판(73)과 열판(74)을 도 2의 열 처리 위치로부터 도 1의 이송 위치로 하강이동하는 과정에서, 열 확산판(73)상에 지지된 반도체 웨이퍼 W는 지지핀들(70)로 전달된다.
반도체 웨이퍼 W를 지지하는 열확산판(73)과 열판(74)이 열 처리 위치에 유지될 때, 반투명판(61)은 열 확산판(73)과 열판(74)상의 반도체 웨이퍼 W와 광원(5)사이에 위치한다. 열 확산판(73)와 광원(5)은 모터(40)의 회전수를 조절함으로서 임의거리를 갖도록 허용된다.
탄성 벨로우즈(bellows)(77)는 관 부재(41)를 둘러싸도록 챔버의 기저판(62)과 이동판 사이에 제공되고, 이에 의해 챔버(65)를 밀폐되도록 밀봉된다. 벨로우즈(77)는 열 확산판(73)과 열판(74)이 열처리 위치로 상승될 때 수축되어지고, 반면에 열 확산판(73)과 열판(73)이 챔버(65)내의 대기가 외부 대기로부터 차단되도록 하강될 때 벨로우즈들(77)이 확장된다.
개구(66) 맞은편에 챔버(65)의 측면판(63)은 개방/폐쇄 밸브(80)에 협력하여 연결된 도입 통로(78)가 제공된다. 이 도입통로(78)는 챔버(65)안으로, 비활성 질화 가스와 같은 필요한 처리 가스를 도입하도록 작용한다. 측면판(64)에 있는 개구(66)는 개방/폐쇄 밸브(81)에 협력적으로 연결된 방출 통로(79)가 제공된다. 방출 통로(79)는 챔버(65)로부터 가스를 방출하도록 작용하고, 도시되지 않은 수단을 고갈하도록 개방/폐쇄 밸브(81)를 통해 연결되어 있다. 라이너(20)는 가스가 라이너를 통과하여 도입통로(78)로부터 방출 통로(79)쪽으로 흐르도록 하는 통로가 제공된다.
다음은 전술한 구성을 가지는 열 처리 장치가 반도체 웨이퍼 W의 열처리를 수행하는 방법을 기술할 것이다. 이 장치에 의해 열 처리될 반도체 웨이퍼 W는 이온 주입된 반도체 웨이퍼이다.
열 확산판(73)과 열판(74)이 도 1의 반도체 웨이퍼 W의 이송 위치에 있을때 반도체 웨이퍼 W는 개구(66)를 통해 챔버(65)로 이송되고, 도시되지 않은 이송 로봇에 의해 지지핀들(70)상에 설치된다. 챔버(65)로 반도체 웨이퍼 W의 이송이 끝날 때, 개구(66)는 게이트 밸브(68)에 의해 닫힌다. 그 후에 모터(40)는 열 확산판(73)와 열판(74)을 도 2에 나온 반도체 웨이퍼 W의 열 처리 위치로 상승시키도록 작동하고, 그에 의해 반도체 웨이퍼 W는 거기에 수평으로 고정된다. 게다가, 개방/폐쇄 밸브들(80과 81)은 챔버(65)안에 질소 가스의 흐름을 형성하기 위해 개방된 상태가 된다.
열 확산판(73)과 열판(74)은 열판(74)에 저장된 히터에 의해 예정된 온도로 가열된 상태가 된다. 따라서 반도체 웨이퍼 W는, 반도체 웨이퍼 W의 점진적인 온도 상승을 야기시키도록, 열 확산판(73)와 열판(74)이 반도체 웨이퍼 W의 열 처리 위치로 상승할 때 가열된 상태의 열확산판(73)과 접촉함으로서 예열된다.
반도체 웨이퍼 W는 열 확산판(73)에 의해 계속 가열된다. 반도체 웨이퍼 W의 온도상승이 일어날 때, 도시되지 않은 온도 센서는 반도체 웨이퍼 W의 표면온도가 예열온도 T1에 도달했는지를 결정하기 위해 계속 모니터링 한다.
예컨대, 예열온도 T1은 대략 200에서 600℃이다. 이러한 온도 범위의 예열온도 T1으로의 반도체 웨이퍼 W의 온도상승은 반도체 웨이퍼 W로 주입된 이온을 확산시키지 못한다.
반도체 웨이퍼 W의 표면온도가 예열온도 T1에 도달할 때, 플래시 램프들(69)은 플래시 가열을 위해 약 0.1에서 10 밀리초(ms)동안 켜진다. 플래시 램프들(69) 각각에서, 예비적으로 저장된 정전 에너지들은 이렇듯 극히 짧은 기간동안 광 펄스들로 변환된다. 다시 말해, 플래시 램프들(69)은 상당히 높은 강도의 광을 방출한다.
그런 플래시 가열을 받게 된 반도체 웨이퍼 W는 순간적으로 표면온도가 반도체 웨이퍼 W의 이온 활성화에 필요한 약 1000에서 1100℃의 온도 T2로 상승한다. 반도체 웨이퍼 W의 표면온도의 상승은 이러한 범위를 가지는 처리 온도 T2로 상승 하면 반도체 웨이퍼 W로 주입된 이온의 활성화가 야기된다.
처리온도 T2로 반도체 웨이퍼 W의 표면온도 상승은 대략 0.1에서 10 밀리초(ms)의 매우 짧은 시간을 요구한다. 따라서 반도체 웨이퍼 W로 주입된 이온의 활성화는 짧은 시간에 완성된다. 결과적으로 반도체 웨이퍼 W로 주입된 이온의 확산은 일어나지 않고 따라서 반도체 웨이퍼 W에 주입된 이온의 형태가 둥글게 되는 것을 막을 수 있다.
반도체 웨이퍼 W는, 반도체 웨이퍼 W를 가열하기 위해 플래시 램프들(69)을 켜기 전에 열판(74)에 의해 그것의 표면온도를 대략 200에서 600℃의 예열 온도 T1으로 올리기 위해 예열된다. 그에 의해 반도체 웨이퍼 W는 약 1000에서 1100℃의 처리 온도 T2로 빠르게 상승될 수 있다.
상당히 높은 강도를 가진 플래시 램프들(69)로부터 방출된 플래시광은 호닝 처리에 의한 표면 러프닝을 받게된 후 라이너(20)의 외부 면(2a)에 의해 차단되고, 그에 의해 챔버(65) 내부의 금속 표면은 플래시 램프들(69)로부터 방출된 플래시광에 노출되는 것이 방지된다. 결과적으로 플래시광 조사의 결과로 생기는 금속 산화가 억제되며, 따라서 챔버(65)의 금속표면이 산화막으로 코팅되는 것을 방지한다.
특히 본 발명 바람직한 실시예에서, 챔버(65)안의 금속 표면은 완전히 라이너(20)로 덮히므로, 챔버(65)의 금속표면은 플래시광 조사의 결과인 산화막으로 코팅되는 것이 전적으로 방지된다.
플래시 가열 후, 모터(40)는 열 확산판(73)와 열판(74)을 도 1의 반도체 웨이퍼 W의 이송 위치로 하강이동하도록 액추에이트되며, 게이트 밸브(68)는 개구(66)를 닫힌 상태에서 열린 상태로 바꾼다. 뿐만 아니라, 지지핀들(70)상에 놓인 반도체 웨이퍼 W는 도시되지 않은 이송 로봇에 의해 챔버(65)로부터 이송된다. 지금까지 기술된 과정을 따라 일련의 열처리 공정이 완성된다.
언급한 것처럼, 플래시 램프들(69)을 켬으로서 반도체 웨이퍼 W를 가열하는 공정에서, 반도체 웨이퍼 W는 웨이퍼 표면의 빠른 열 팽창으로 인해 깨질 수 있다. 이러한 깨짐은 반도체 웨이퍼 W의 파편으로 챔버(65)를 더럽힐 수 있다.
본 발명 바람직한 실시예에서, 라이너(20)는 챔버 벽 표면을 따라 챔버(65)에서 제거할 수 있도록 제공된다. 반도체 웨이퍼 W가 깨져서 반도체 웨이퍼 W의 파편들로 더러워질 때조차, 챔버(65)는 챔버로부터 라이너(20)를 간단히 떼어냄으로서 쉽게 깨끗해질 수 있다. 라이너(20)의 내부 표면(2b)의 평활도는 특히 떼어낸 후에 라이너(20) 자체의 클리닝을 촉진시키기 위해 작용한다.
다음에는, 라이너(20)를 챔버(65)로부터 떼어내는 방법에 대해 논의할 것이다. 챔버(65)에 경첩이 달리도록(hingedly) 연결된 광원(5)은 상부 방향으로 열려있다. 그 후 광 확산판(72)과 반투명판(61)이 제거된다. 다음, 이동 판(42)에 관 부재(41)를 고정하는 나사는 열 확산판(73)과 열판(74)과 관 부재(41)를 챔버(65)의 최상부 개구로부터 제거하기 위해 빼진다. 열 반사기(30)는 또한 이 때에 챔버(65)의 최상부 개구로부터 제거된다. 그 결과적인 상태는 도 5에 나타난다.
도 5의 화살표(AR4)가 가리키는 것과 같이, 제거할 수 있는 라이너(20)는 그 후 챔버(65)로부터 떼어진다. 클리닝이 끝나고 라이너(20)가 그 위치로 되돌아가는 때, 이전의 떼내기 과정은 완전히 역으로 된다.
본발명은 지금까지 기술한 바람직한 실시예에 한정되지 않는다. 예컨대 광원(5)에 제공되는 제논 플래시 램프들(69)의 수는 무작위로 결정되고 이전의 바람직한 실시예에서처럼 25개로 제한되지 않는다. 게다가 플래시 램프들(69)은 제논 플래시 램프들로 제한되지 않는다. 예컨대 플래시 램프들(69)은 크립톤(Kr)플래시 램프가 될 수도 있다.
광원(5)은 램프로부터 방출된 광의 조사에 의해 반도체 웨이퍼 W를 가열하기 위해 플래시 램프들(69) 대신에 다른 종류의 램프들(할로겐 램프와 같은)을 포함할 수 있다. 본 발명에 따른 기술은 또한 광원(5)의 대체되는 유형을 포함하는 열 처리 장치에 적용된다. 다시 말해 챔버는 챔버 벽면을 따라 석영 라이너를 제거할 수 있도록 제공함으로서 쉽게 클리닝할 수 있다. 게다가 호닝 처리에 사용된 석영 라이너는 챔벼의 벽면을 따라 제공되므로 라이너는 램프로부터 방출된 광이 챔버 내부의 금속 벽에 도달하지 못하도록 하는 역할을 한다. 결과적으로 이 금속 부분은 산화가 방지된다.
위에서 기술한 실시예에서, 라이너(20)는 호닝에 의해 표면 러프닝을 받은 외부 표면(2a)과 큰 평활도를 가진 내부표면(2b)을 가진다. 대안적으로 라이너(2)의 양 표면 모두 호닝에 의해 표면 러프닝될 수 있다. 이러한 대안은 라이너(20)에 의한 광 차단 효과를 향상시키고, 이에 의해 플래시 램프들(69)로부터 방출된 플래시광은 높은 신뢰도로 챔버(65)의 금속 표면에 도달하는 것이 차단될 수 있다. 그러나, 이전의 실시예에서처럼 큰 평활도를 가진 라이너(20)의 내부표면(2b)은 예컨대 처리 과정동안 반도체 웨이퍼 W의 깨짐에 의해 요구되는 챔버(65)의 클리닝을 용이하게 하도록 작용한다.
위에서 기술한 바람직한 실시예에서, 반도체 웨이퍼는 광 조사에 의한 이온 활성화를 목표로 한다. 그러나 반도체 웨이퍼는 본 발명의 열처리 장치에 의한 처리를 위한 제한된 목표가 아니다. 예컨대 실리콘 질화 필름이나 다결정의 실리콘 필름과 같이 다양한 종류의 실리콘 필름이 제공되는 유리 기판은 본 발명의 열 처리 장치에 의한 처리에 사용될 수 있다. 보다 특히, 다결정 실리콘 필름은 CVD에 의해 유리 기판에 제공되고 그 후, 이 다결정 실리콘 필름은 실리콘의 이온 주입으로 비결정 상태가 된다. 실리콘 산화 필름은 또한 거기서 형성된 비결정질 실리콘 필름상의 무반사 필름으로 제공된다. 이 상태에서 본 발명의 열처리 장치는 그 자체로 비결정질 실리콘 필름에 광을 방출하도록 작용하며, 그에 의해 비결정 실리콘 필름은 다결정 실리콘 필름을 형성하도록 다결정 상태로 바뀌게 된다.
또한 대안적으로. 본 발명의 열처리 장치는 유리기판과 비결정질 혹은 붕소와 같은 불순물이 폴리실리콘 안으로 주입된 유리 기판위에 제공된 비결정질 실리콘의 결정화된 필름처럼 기본이 되는 규소산화 필름과 폴리 실리콘 필름을 포함하는 TFT 기판으로 발전될 것이다. 이 경우 본 발명의 열처리 장치는 주입된 불순물을 활성화시키기 위해 TFT기판에 광을 방출하는 역할을 한다.
발명을 상세히 보여주고 기술하였지만, 앞으로의 설명은 모든 면에서 설명적인 것이지 한정하는 것이 아니다. 그러므로 그것은 발명의 범위에서 벗어나지 않으면서 많은 수정과 변형들이 이루어질 수 있는 것으로 이해된다.
본 발명은 열처리과정동안 챔버 내부의 금속 표면이 산화물로 코팅되는 것을 방지할 수 있는 열 처리 장치를 제공할 수 있으며, 또한 챔버를 쉽게 청소할 수 있는 열 처리 장치를 제공할 수 있다.

Claims (12)

  1. 플래시광으로 기판을 조사하여 상기 기판을 가열하는 열처리 장치에 있어서:
    다수의 플래시 램프들을 가지는 광원과;
    상기 광원 아래 제공된 챔버와;
    상기 챔버에서 기판을 고정하기 위한 고정 부재와;
    상기 광원에서 방출된 광이 상기 챔버안의 금속 표면에 도달하는 것을 차단하는 상기 챔버에 제공된 광차폐물(light shield)을 포함하는, 열처리장치.
  2. 제 1항에 있어서,
    상기 광차폐물은 호닝(horning)에 의해 거칠게 된 석영 표면을 가진 석영 부재인, 열처리 장치.
  3. 제 2항에 있어서,
    상기 챔버는 금속 측면판과 금속 기저판을 가지며;
    상기 광차폐물은 상기 측면판과 상기 기저판의 내부벽 표면들을 덮기 위한 폐쇄 단부 원통 형태를 가지는, 열처리장치.
  4. 제 3항에 있어서,
    상기 광차폐물은 관 부분과 기저 부분이 분리가능한 분리된 부재(divided member)인, 열처리장치
  5. 제 4항에 있어서,
    상기 광차폐물은 상기 챔버 안의 금속 표면을 전체적으로 덮는, 열처리장치
  6. 제 5항에 있어서,
    상기 석영 표면은 내부면과 외부면을 가지고, 상기 외부 표면은 상기 챔버안에서 상기 금속표면을 접하고 호닝에 의해 거칠게 되어 있으며, 상기 내부표면은 상기 외부표면보다 큰 평활도를 가지는, 열처리장치
  7. 제 6항에 있어서,
    상기 외부표면의 평균표면 거침도(roughness)가 0.2 ㎛이상인, 열처리장치
  8. 광으로 기판을 조사하여 상기 기판을 가열하는 열처리 장치에 있어서:
    다수의 플래시 램프들을 가지는 광원과;
    상기 광원 아래에 제공된 챔버와;
    상기 챔버에서 기판을 실질적으로 수평으로 고정하기 위한 고정 부재와;
    상기 챔버의 벽면을 따라 상기 챔버에서 제거할 수 있도록 제공된 라이너를
    포함하는, 열처리장치
  9. 제 8항에 있어서,
    상기 라이너가 폐쇄 단부 원통 형태를 갖는, 열처리장치
  10. 제 9항에 있어서,
    상기 라이너는 관 부분과 기저부분이 분리가능한 분리된 부재인, 열처리장치
  11. 제 10항에 있어서,
    상기 라이너는 석영 부재인, 열처리장치
  12. 제 11항에 있어서,
    상기 다수의 램프들은 플래시 램프들이고
    상기 고정 부재들은 그 위에 고정된 기판을 예열하기 위한 보조예열 요소를 포함하는, 열처리장치.
KR1020040013351A 2003-02-27 2004-02-27 광조사에 의한 열 처리 장치 KR100582934B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JPJP-P-2003-00050896 2003-02-27
JP2003050896A JP4417017B2 (ja) 2003-02-27 2003-02-27 熱処理装置
JPJP-P-2003-00114036 2003-04-18
JP2003114036A JP4417023B2 (ja) 2003-04-18 2003-04-18 熱処理装置

Publications (2)

Publication Number Publication Date
KR20040078058A KR20040078058A (ko) 2004-09-08
KR100582934B1 true KR100582934B1 (ko) 2006-05-23

Family

ID=32911443

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040013351A KR100582934B1 (ko) 2003-02-27 2004-02-27 광조사에 의한 열 처리 장치

Country Status (2)

Country Link
US (1) US7091453B2 (ko)
KR (1) KR100582934B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160013158A (ko) * 2013-05-23 2016-02-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 처리 챔버를 위한 코팅된 라이너 어셈블리

Families Citing this family (365)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4841873B2 (ja) * 2005-06-23 2011-12-21 大日本スクリーン製造株式会社 熱処理用サセプタおよび熱処理装置
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
DE102007058002B4 (de) * 2007-12-03 2016-03-17 Mattson Thermal Products Gmbh Vorrichtung zum thermischen Behandeln von scheibenförmigen Halbleitersubstraten
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5620090B2 (ja) * 2008-12-15 2014-11-05 キヤノンアネルバ株式会社 基板処理装置、熱処理基板の製造方法及び半導体デバイスの製造方法
US9394608B2 (en) * 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
CN102473641B (zh) * 2009-08-04 2015-04-22 佳能安内华股份有限公司 热处理设备以及半导体装置制造方法
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5507274B2 (ja) * 2010-01-29 2014-05-28 大日本スクリーン製造株式会社 熱処理方法および熱処理装置
US9719169B2 (en) * 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US8950470B2 (en) 2010-12-30 2015-02-10 Poole Ventura, Inc. Thermal diffusion chamber control device and method
US20110249960A1 (en) * 2011-01-28 2011-10-13 Poole Ventura, Inc. Heat Source Door For A Thermal Diffusion Chamber
US8097085B2 (en) * 2011-01-28 2012-01-17 Poole Ventura, Inc. Thermal diffusion chamber
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9177842B2 (en) * 2011-08-10 2015-11-03 Applied Materials, Inc. Degassing apparatus adapted to process substrates in multiple tiers with second actuator
KR101894089B1 (ko) * 2011-10-24 2018-08-31 엘지디스플레이 주식회사 연성 표시장치의 제조방법
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) * 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US11089657B2 (en) * 2015-03-06 2021-08-10 SCREEN Holdings Co., Ltd. Light-irradiation heat treatment apparatus
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
JP7191504B2 (ja) * 2017-07-14 2022-12-19 株式会社Screenホールディングス 熱処理装置
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
JP2019021828A (ja) * 2017-07-20 2019-02-07 株式会社Screenホールディングス 熱処理装置
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) * 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) * 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57162340A (en) 1981-03-31 1982-10-06 Ushio Inc Annealing method for silicon semiconductor
JPS59169125A (ja) 1983-03-16 1984-09-25 Ushio Inc 半導体ウエハ−の加熱方法
JPS59193024A (ja) * 1983-03-29 1984-11-01 Ushio Inc 閃光照射装置
US4649261A (en) * 1984-02-28 1987-03-10 Tamarack Scientific Co., Inc. Apparatus for heating semiconductor wafers in order to achieve annealing, silicide formation, reflow of glass passivation layers, etc.
JPS60258928A (ja) 1984-02-28 1985-12-20 タマラツク・サイエンテイフイツク・カンパニ−・インコ−ポレ−テツド 半導体ウエ−ハの加熱装置および方法
JPS63166219A (ja) * 1986-12-26 1988-07-09 Toshiba Corp 半導体装置の製造方法
US5275976A (en) * 1990-12-27 1994-01-04 Texas Instruments Incorporated Process chamber purge module for semiconductor processing equipment
US6035101A (en) * 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
WO1999049101A1 (en) * 1998-03-23 1999-09-30 Mattson Technology, Inc. Apparatus and method for cvd and thermal processing of semiconductor substrates
JP2000306856A (ja) 1999-04-26 2000-11-02 Hitachi Ltd 半導体製造装置
JP2001127001A (ja) 1999-10-28 2001-05-11 Applied Materials Inc 半導体製造装置
JP3531567B2 (ja) 2000-02-25 2004-05-31 ウシオ電機株式会社 閃光照射加熱装置
US6331212B1 (en) * 2000-04-17 2001-12-18 Avansys, Llc Methods and apparatus for thermally processing wafers
JP4785269B2 (ja) 2000-05-02 2011-10-05 株式会社半導体エネルギー研究所 発光装置の作製方法及び成膜装置のクリーニング方法
US20020011205A1 (en) * 2000-05-02 2002-01-31 Shunpei Yamazaki Film-forming apparatus, method of cleaning the same, and method of manufacturing a light-emitting device
JP4669605B2 (ja) 2000-11-20 2011-04-13 東京エレクトロン株式会社 半導体製造装置のクリーニング方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160013158A (ko) * 2013-05-23 2016-02-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 처리 챔버를 위한 코팅된 라이너 어셈블리
KR102202406B1 (ko) 2013-05-23 2021-01-13 어플라이드 머티어리얼스, 인코포레이티드 반도체 처리 챔버를 위한 코팅된 라이너 어셈블리

Also Published As

Publication number Publication date
US20040169032A1 (en) 2004-09-02
KR20040078058A (ko) 2004-09-08
US7091453B2 (en) 2006-08-15

Similar Documents

Publication Publication Date Title
KR100582934B1 (ko) 광조사에 의한 열 처리 장치
US7062161B2 (en) Photoirradiation thermal processing apparatus and thermal processing susceptor employed therefor
US20080017114A1 (en) Heat treatment apparatus of light emission type
KR100802697B1 (ko) 광방출형 열처리장치
US7327947B2 (en) Heat treating apparatus and method
US20040018751A1 (en) Thermal processing apparatus for substrate employing photoirradiation
JP2003173983A (ja) 熱処理装置
US6936797B2 (en) Thermal processing method and thermal processing apparatus for substrate employing photoirradiation
US8355624B2 (en) Susceptor for heat treatment and heat treatment apparatus
US7068926B2 (en) Heat treatment apparatus of light-emission type and method of cleaning same
US7981780B2 (en) Method and apparatus for processing semiconductor wafer after impurity implantation
JP4437641B2 (ja) 熱処理装置
KR100487736B1 (ko) 열처리장치 및 열처리방법
JP4401753B2 (ja) 熱処理装置
JP4417023B2 (ja) 熱処理装置
JP4417017B2 (ja) 熱処理装置
KR102211817B1 (ko) 기판 처리 장치 및 기판 처리 방법
JP2005050904A (ja) 熱処理装置および熱処理方法、ならびに基板載置機構
JP4466942B2 (ja) 熱処理装置
US20230338993A1 (en) Apparatus for heating chemical liquid and system for treating substrate including the same
KR100577921B1 (ko) 광 조사를 사용하는 기판의 열처리 방법과 열처리 장치
JP5770880B2 (ja) 熱処理方法
JP5602917B2 (ja) 基板処理方法および基板処理装置
JP2005101159A (ja) 熱処理装置
KR100511599B1 (ko) 급속 열처리 장치의 장비 점검 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130502

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140421

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20150417

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20160418

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20170421

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20180503

Year of fee payment: 13