KR100436407B1 - 반도체 장치 및 그 제조 방법 - Google Patents

반도체 장치 및 그 제조 방법 Download PDF

Info

Publication number
KR100436407B1
KR100436407B1 KR10-2001-0059542A KR20010059542A KR100436407B1 KR 100436407 B1 KR100436407 B1 KR 100436407B1 KR 20010059542 A KR20010059542 A KR 20010059542A KR 100436407 B1 KR100436407 B1 KR 100436407B1
Authority
KR
South Korea
Prior art keywords
film
semiconductor device
uppermost
wiring
copper wiring
Prior art date
Application number
KR10-2001-0059542A
Other languages
English (en)
Other versions
KR20020025032A (ko
Inventor
이시마루가즈나리
Original Assignee
가부시끼가이샤 도시바
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시끼가이샤 도시바 filed Critical 가부시끼가이샤 도시바
Publication of KR20020025032A publication Critical patent/KR20020025032A/ko
Application granted granted Critical
Publication of KR100436407B1 publication Critical patent/KR100436407B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • H01L23/5256Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections comprising fuses, i.e. connections having their state changed from conductive to non-conductive
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • H01L23/5256Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections comprising fuses, i.e. connections having their state changed from conductive to non-conductive
    • H01L23/5258Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections comprising fuses, i.e. connections having their state changed from conductive to non-conductive the change of state resulting from the use of an external beam, e.g. laser beam or ion beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/0212Auxiliary members for bonding areas, e.g. spacers
    • H01L2224/02122Auxiliary members for bonding areas, e.g. spacers being formed on the semiconductor or solid-state body
    • H01L2224/02163Auxiliary members for bonding areas, e.g. spacers being formed on the semiconductor or solid-state body on the bonding area
    • H01L2224/02165Reinforcing structures
    • H01L2224/02166Collar structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05022Disposition the internal layer being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05124Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05144Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05166Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05171Chromium [Cr] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/0518Molybdenum [Mo] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05181Tantalum [Ta] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05184Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05552Shape in top view
    • H01L2224/05553Shape in top view being rectangular
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05569Disposition the external layer being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • H01L2224/05572Disposition the external layer being disposed in a recess of the surface the external layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05644Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05655Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05666Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05671Chromium [Cr] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0612Layout
    • H01L2224/0615Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13005Structure
    • H01L2224/13007Bump connector smaller than the underlying bonding area, e.g. than the under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13022Disposition the bump connector being at least partially embedded in the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13024Disposition the bump connector being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01004Beryllium [Be]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01022Titanium [Ti]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01023Vanadium [V]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01024Chromium [Cr]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01042Molybdenum [Mo]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01047Silver [Ag]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01073Tantalum [Ta]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01082Lead [Pb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04944th Group
    • H01L2924/04941TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04955th Group
    • H01L2924/04953TaN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1305Bipolar Junction Transistor [BJT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19041Component type being a capacitor
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49107Fuse making

Abstract

에너지 빔에 의해 절단 가능한 퓨즈를 갖는 반도체 장치로서, 상기 반도체 장치는 복수의 반도체 소자가 형성된 반도체 기판 상에 배치된 구리 배선으로 이루어지는 복수의 구리 배선층과, 상기 복수의 구리 배선층보다 상층에 배치되며 최상층의 상기 구리 배선에 접속된 고융점 금속막을 적어도 포함하는 최상층 배선과, 상기 최상층 배선의 일부로서 형성된 퓨즈와, 상기 최상층 배선 상에 배치된 표면 보호막을 갖는다.

Description

반도체 장치 및 그 제조 방법{SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THEREOF}
본 발명은 반도체 장치 및 그 제조 방법에 관한 것으로, 특히, 구리 배선을 이용한 경우의 용장 회로의 일부를 구성하는 퓨즈를 갖는 반도체 장치 및 그 제조 방법에 관한 것이다. 또한, 돌기 전극(범프)을 갖는 플립 칩 실장에 적합한 반도체 장치 및 그 제조 방법에 관한 것이다.
최근, 반도체 집적 회로 장치에서는, 회로 기능의 향상이나 기억 용량의 증대가 진행되고 있다. 그 한편으론, 소자나 배선의 미세화, 금속 배선의 다층화 등에 의해, 반도체 칩의 제조 도중에서의 결함 발생율이 높아져, 이것에 따른 반도체 칩의 제조 수율의 저하가 우려되고 있다. 이러한 결함 발생에 기인하는 수율 저하를 억제하는 대표적 기술의 하나로서, 용장 구성 기술(용장 회로: redundancy circuit)를 들 수 있다. 용장 구성 기술은, 사전에 반도체 칩 내에 결함 부분과 치환 가능한 예비 엘리먼트를 설치하여 놓고, 결함이 발생한 경우에 그 결함 부분과 예비 엘리먼트를 치환함으로써, 반도체 칩을 구제(repair)하는 기술이다. 예를 들면, 반도체 메모리 제품에 있어서, 불량 메모리 셀을 포함하는 칼럼 또는 로우를 예비 메모리 셀로 치환한다. 결함 부분과 예비 엘리먼트와의 치환은, 용장 회로의 일부를 구성하는 퓨즈(fuse)의 절단에 의해서 행해진다. 퓨즈의 절단 방법은, 예를 들면 레이저에 의한 방법과 전기적 용단에 의한 방법이 있다. 퓨즈는, 통상, 다결정 실리콘이나 금속 배선을 이용하여 형성되어 있다.
또한, 반도체 칩의 실장 기술 분야에서, 종래의 와이어 본딩의 대체로서, 플립 칩 실장 등의 와이어리스 본딩 기술이 열심히 연구/개발되어 있다. 플립 칩 실장은, 반도체 칩 표면의 전극 상에 범프라고 불리는 돌기 전극을 형성하여, 칩의 표면과 내부를 반대로 하여, 세라믹 등의 배선 기판의 전극과 범프를 위치 정렬하여, 페이스 다운 본딩으로 접속하는 실장 방법이다. 반도체 패키지의 소형화, 고밀도 실장화의 관점에서 이상적인 실장 방법이다.
또한, 금속 배선은, 저저항화 및 신뢰성 향상 등의 관점에서, 종래의 알루미늄(Al) 배선에 대신, 구리(Cu) 배선이 실용화되어 있다. 또한, 반도체 집적 회로 장치가 대규모화, 고집적화에 따른, 배선층 수가 증가하여, 현재의 고속 SRAM(Static RAM)에 있어서는 4층, 또한 메모리를 혼재하는 논리 LSI 에서는 5층 이상의 다층 배선층이 이용되고 있다. 또한, 금속 배선의 막 두께는, 전원 전압의 안정화 등의 관점에서, 하층에 비해 상층쪽이 두껍게 형성되어 있다.
본 발명의 특징은, 복수의 반도체 소자가 형성된 반도체 기판 상에 배치된, 구리 배선으로 이루어지는 복수의 구리 배선층과, 상기 복수의 구리 배선층보다 상층에 배치되어, 최상층의 상기 구리 배선에 접속된 고융점 금속막을 적어도 포함하는 최상층 배선과, 상기 최상층 배선의 일부로서 형성된 에너지 빔에 의해 절단 가능한 퓨즈와, 상기 최상층 배선 상에 배치된 표면 보호막을 갖는 반도체 장치이다.
도 1은 본 발명의 실시 형태에 따른 반도체 장치의 구성 내에 퓨즈를 포함하는 특징 부분을 나타내는 단면도.
도 2a 내지 2c는 본 발명의 실시 형태에 따른 반도체 장치의 제조 방법에 있어서의 주요한 제조 공정을 나타내는 단면도.
도 3a 내지 3c는 본 발명의 실시 형태에 따른 반도체 장치의 제조 방법에 있어서의 주요한 제조 공정을 나타내는 단면도.
도 4a 내지 4b는 본 발명의 실시 형태에 따른 반도체 장치의 제조 방법에 있어서의 주요한 제조 공정을 나타내는 단면도.
도 5는 최상층 배선과 제2 구리 배선 사이에서 형성된 캐패시터의 구성을 나타내는 단면도. 도 6은 도 1에서의 제2 구리 배선층과 고융점 금속막과의 접속 개소의 바로 위와는 다른 부분에 배리어 메탈 및 땜납볼을 배치한 경우의 구성을 나타내는 단면도.
도 7a는 관련 기술에 따른 반도체 칩에서의 소자 영역과 패드 영역의 레이아웃을 나타내는 평면도.
도 7b는 본 발명의 실시 형태에 따른 반도체 칩에서의 소자 영역과 패드 영역의 레이아웃을 나타내는 평면도.
〈도면의 주요 부분에 대한 부호의 설명〉
1, 2 : 구리 배선층
3 : 층간 절연막
6 : 질화 실리콘막
7 : 산화 실리콘막
8 : 고융점 금속막
9 : Al 막
10 : 표면 보호막
11 : 폴리이미드
12 : 퓨즈 영역
13 : 패드 영역
14 : 배리어 메탈
15 : 땜납 볼
이하, 첨부된 도면을 참조하여, 본 발명의 여러 실시예들을 설명하기로 한다. 도면 전체에서 동일하거나 유사한 부분 또는 소자는 동일하거나 유사한 참조 부호로 나타내었으며, 이러한 부분 및 소자의 설명은 생략되거나 간략화될 것이라는 것을 유의하여야 한다.
도 1에 도시된 바와 같이, 본 발명의 실시 형태에 따른 반도체 장치는, 반도체 기판과, 반도체 기판의 표면에 형성된 복수의 반도체 소자와, 복수의 반도체 소자를 상호 접속하는 구리 배선으로 이루어지는 복수의 구리 배선층(1, 2)과, 복수의 구리 배선층(1, 2)보다 상층에 배치되어 최상층의 구리 배선(2)에 접속된 고융점 금속막(8)을 적어도 포함하는 최상층 배선(8, 9)과, 최상층 배선(8, 9)의 일부로서 형성된, 에너지 빔에 의해 절단 가능한 퓨즈와, 최상층 배선(8, 9) 상에 배치된 표면 보호막(10)과, 표면 보호막(10) 상에 배치되어 표면 보호막(10)에 대하여 충분히 큰 에칭 선택비를 갖는 유기 수지막(폴리이미드)(11)와, 폴리이미드(11) 상에 배치되어, 최상층 배선(8, 9)에 접속된 범프용 기초 금속막(배리어 메탈)(14)과, 범프용 기초 금속막(14)의 상에 배치된 범프(땜납 볼)(15)를 갖는다. 도 1에는, 복수의 구리 배선층의 일부(1, 2)와, 최상층 배선(8, 9)과, 표면 보호막(10)과, 폴리이미드(11)와, 배리어 메탈(14)과, 땜납볼(15)을 나타내었으며, 그 밖의 반도체 기판, 반도체 소자, 구리 배선층의 나머지 부분은 생략하였다.
구리 배선은, 복수의 반도체 소자가 형성된 반도체 기판의 상에 배치되어, 복수의 반도체 소자를 상호 접속함으로써, 반도체 기판 상에 반도체 집적 회로를 형성한다. 또한, 복수의 구리 배선층(1, 2)이 층간 절연막(3, 4)을 통해 적층되어, 상하의 다른 구리 배선(1, 2)이 층간 절연막(3, 4) 내에 배치된 접속 개소(비아 컨택트)에서 접속되는 것으로, 다층 배선 구조를 갖는 반도체 집적 회로가 형성된다. 또한, 구리 배선은, 구리만을 재료로 하는 경우에 한하지 않고, 구리와 그 밖의 금속과의 합금을 재료로 할 수도 있다.
최상층 배선은 최상층의 구리 배선(2)과 표면 보호막(10) 사이에 배치된 배선이고, 최상층의 구리 배선(2)과의 접속에 있어서 구리의 확산을 억제하는 고융점금속막(8)을 적어도 갖고 있다. 따라서, 최상층 배선(8, 9)이 복수의 막 구조를 갖고 있는 경우, 가장 하층에 배치되는 막이, 최상층의 구리 배선(2)과 접속되는 고융점 금속막(8)이 된다. 고융점 금속막(8)은 예를 들면, 티탄(Ti), 탄탈(Ta), 몰리브덴(Mo), 텅스텐(W) 및 이들의 금속을 함유하는 합금을 재료로 할 수 있다. 최상층 배선(8, 9)은 고융점 금속막(8) 상에 배치된 Al계 금속막(9)을 또한 갖고 있다.
최상층 배선(8, 9)의 일부로서 전극 패드가 형성되어, 이 전극 패드를 통해, 반도체 소자의 동작에 필요한 전력이 공급되거나 반도체 집적 회로의 신호가 입출력된다. 전극 패드와의 본딩 방법이 와이어 본딩 방법인 경우, 고융점 금속막의 상에 500 nm 정도의 Al계 금속막(9)을 형성하는 것이 바람직하다. 범프에 의한 플립 칩 실장 등의 와이어리스 본딩 방법을 이용하는 경우, 최상층 배선(8, 9)은 고융점 금속막(8)만으로 구성해도 상관없다.
퓨즈는 반도체 집적 회로의 일부를 구성하는 회로 소자이고, 폴리실리콘등으로 이루어지는 반도체 소자, 혹은 구리 배선의 일부로서 형성되어 있지 않고, 최상층 배선(8, 9)의 일부로서 형성되어 있다. 퓨즈는, 예를 들면, 반도체 칩 상의 결함 부분과 예비 엘리먼트와의 치환을 위한 용장 회로의 일부를 구성하는 퓨즈 등을 포함한다. 그 외에도, 반도체 장치의 제조 종료 후에, 에너지 빔을 조사하여 의도적으로 절단하는 것을 목적으로 하는 퓨즈를 포함한다.
표면 보호막(10)은 반도체 칩의 기능을 보호하기 위한 막이고, CVD법 등에 의해 성막된다. 표면 보호막(10)은 퓨즈 상에 형성되는 절연막이기 때문에 표면보호막(10)의 막 두께는 퓨즈의 절단에 지장이 없는 범위, 예를 들면 50 내지 1000nm의 범위에서 선택하는 것이 가능하다.
범프(15)는 플립 칩 실장 등의 와이어리스 본딩 기술에 있어서의 돌기 전극을 나타내고, 표면 보호막이 선택적으로 제거된 전극 패드에 접속되어 있다. 범프는 주석(Sn), 납(Pb), 은(Ag) 등의 합금을 재료로 할 수 있다. 여기서는, 땜납볼(15)을 사용한 경우에 대해 설명한다.
범프용 기초 금속막(배리어 메탈)(14)은 전극 패드와 범프(15) 사이에 배치되고, 전극 패드와 범프(15)와의 밀착성을 향상시키기 위한 금속막이다. 티탄(Ti), 크롬(Cr), Cu, 금(Au), 니켈(Ni) 등의 금속막을 적층한 것이다.
도 1에는, 복수의 구리 배선층의 일부로서, 제1 구리 배선층(1) 및 제2 구리 배선층(2)을 나타낸다. 제1 구리 배선층(1)의 하부에는 제1 층간 절연막(3)이 배치되고, 제1 구리 배선층(1)과 제2 구리 배선층(2) 사이에는 제2 층간 절연막(4)이 배치되어 있다. 제2 구리 배선층(2)은 이중 상감 구조를 갖고 있다. 즉, 제2 구리 배선층(2)의 일부가 컨택트 플러그로서 제2 층간 절연막(4) 내에 배치되고, 이 컨택트 플러그를 통해, 제1 구리 배선층(1)과 제2 구리 배선층(2)은 접속되어 있다. 도 1에 있어서, 우측, 중앙, 좌측의 3 개소에 구리 배선(1, 2)이 배치되어, 우측으로부터 중앙까지가 퓨즈가 형성되는 퓨즈 영역(12), 좌측이 전극 패드가 형성되는 패드 영역(13)을 나타낸다. 도 1에는 나타내지 않았지만, 제1 구리 배선층(1)과 반도체 기판 사이에는 다른 구리 배선층이 배치되어 있다.
제2 구리 배선층(2)의 상에는 질화 실리콘막(6)이 배치되어 있다. 질화 실리콘막(6) 상에는 산화 실리콘막(7)이 배치되어 있다. 산화 실리콘막(7) 상에는 고융점 금속막(8)이 배치되어 있다. 고융점 금속막(8)의 상에는 Al계 금속막(9)이 배치되어 있다. 최상층 배선은 고융점 금속막(8)과 Al계 금속막(9)으로 이루어지는 적층 구조를 갖는다.
최상층 배선(8, 9)은 퓨즈 영역(12) 및 패드 영역(13)에 선택적으로 배치되어 있다. 또한, 고융점 금속막(8)은 우측, 중앙, 좌측의 제2 구리 배선(2)에 각각 접속되어 있다. 퓨즈 영역(12)의 중앙, 우측의 제2 구리 배선(2)은 고융점 금속막(8)을 통해 접속되어 있다. Al계 금속막(9)의 상에는 표면 보호막(10)이 배치되어 있다. 표면 보호막(10)의 상에는 폴리이미드(11)가 배치되어 있다. 패드 영역(13)의 Al막(9) 상에는 표면 보호막(10) 및 폴리이미드(11) 대신에 배리어 메탈(14)이 배치되고, 배리어 메탈(14)의 일부는 표면 보호막(10) 및 폴리이미드(11) 상에 각각 배치되어 있다. 배리어 메탈(14) 상에는 땜납볼(15)이 배치되어 있다. 퓨즈 영역(12)의 표면 보호막(10) 상에는 폴리이미드(11)가 배치되어 있지 않고 표면 보호막(10)이 표출되어 있다.
다음에, 도 1에 나타낸 반도체 장치의 제조 방법을 도 2a 내지 도 4b를 참조하여 설명한다. 도 2a 내지 도 4b는 도 1에 나타낸 반도체 장치의 제조 방법에 있어서의 주요한 제조 공정을 나타내는 단면도이다.
(1) 우선, 반도체 웨이퍼를 크린룸 내의 제조 라인 상에 배치하여, 기판·배선 공정을 행할 준비를 한다. 그리고, 제조 라인을 구동시켜, 기판 공정에서, 반도체 웨이퍼 상에 복수의 반도체 소자를 형성한다. 구체적으로는, 성막 공정, PEP공정, 에칭 공정 등을 반복하여, 인접한 반도체 소자를 분리하기 위한 소자 분리 영역을 형성하여, 소자 영역에 MOS·FET, 바이폴라 트랜지스터 등의 반도체 소자를 형성한다.
(2) 다음에, 배선 공정에서, 반도체 기판 상의 반도체 소자에 접속되는 구리 배선을 상감법에 의해 형성하여 반도체 집적 회로를 형성한다. 구체적으로는, 우선, 반도체 기판 상에 층간 절연막을 성막한다. 구리 배선에 접속되는 반도체 소자의 각 전극 부분에 창을 갖는 레지스트 패턴을 형성한다. 이 레지스트 패턴을 통해, 이방성 에칭을 행하여 반도체 소자의 각 전극 부분의 층간 절연막을 선택적으로 제거하여, 반도체 소자의 각 전극 부분이 표출한 컨택트 홀을 형성한다.
다음에, 스퍼터링법을 이용하여, 컨택트홀 내에 티탄(Ti), 질화 티탄(TiN)으로 이루어지는 배리어 메탈을 매립하여, CVD법에 의해 텅스텐(W)을 매립한다. 절연막 상의 여분의 Ti, TiN, W를 CMP(화학적 기계적 연마) 등으로 제거하여, 컨택트 홀 내부에 선택적으로 매립된 W 플러그를 형성한다. 다음에, 다시 층간 절연막을 성막한다. 배선 패턴 부분에 창을 갖는 레지스트 패턴을 형성하여, 이 레지스트 패턴을 통해 이방성 에칭을 행하여, 배선 패턴 부분의 층간 절연막을 선택적으로 제거하여, W 플러그가 표출되는 상감 배선홈을 형성한다. 다음에, 탄탈(Ta), 질화 탄탈(TaN) 등의 고융점 금속으로 이루어지는 배리어층을 상감 배선홈의 내벽에 피착한다. 다음에, 진공 증착법이나 도금법 등을 이용하여 Cu를 피착하여 상감 배선홈을 매립하여 복귀한다. 층간 절연막 상에 피착된 여분의 고융점 금속 및 Cu를 CMP 법 등으로 제거하여, 상감 배선홈 내부에 선택적으로 매립된 고융점 금속 및Cu로 이루어지는 구리 배선을 형성한다. 이상의 공정을 거쳐, 반도체 기판 상에 층간 절연막과 그 위에 구리 배선층을 형성할 수 있다. 이상의 공정을 반복하여 행함으로써, 층간 절연막과 구리 배선을 교대로 형성하여 다층 배선을 형성할 수 있다.
단, 반도체 기판 상의 반도체 소자와의 접속부분에 사용한 W 플러그는 제2층 이상의 배선층에서는 사용하지 않는다. W 플러그 대신에, 컨택트홀 및 상감 배선홈에, 고융점 금속으로 이루어지는 배리어층 및 Cu를 매립하고, 도 2a에 나타낸 이중 상감 구조를 갖는 제2 구리 배선(2)을 형성한다.
(3) 다음에, 도 2a에 도시한 바와 같이, 제2 구리 배선층(2)을 형성한 후, 웨이퍼 전면에 절연막으로서 막 두께가 100 nm인 질화 실리콘막(6)을 CVD법에 의해 피착한다. 계속하여, 막 두께가 400 nm의 산화 실리콘막(7)을 CVD법에 의해 피착한다. 질화 실리콘막(6)은 제2 구리 배선층(2)으로부터 구리가 확산되는 것을 방지하는 기능을 갖는 절연막이다. 물론, 질화 실리콘막(6) 대신에, 동일한 기능을 갖는 다른 재료로 이루어지는 절연막이어도 상관없다. 여기서는, 가장 일반적인 질화 실리콘막(6)을 제2 구리 배선층(2) 상에 피착한다.
(4) 다음에, 스피너를 이용하여, 회전하는 웨이퍼 상에 레지스트액을 도포하여 산화 실리콘막(7) 상에 마찬가지로 레지스트막을 성막한다. 도 2b에 도시한 바와 같이, 우측, 중앙, 좌측의 각각의 제2 구리 배선(2) 상에 창을 갖는 마스크를 통해 레지스트막을 노광하여, 레지스트막을 현상함으로써, 우측, 중앙, 좌측의 각각의 제2 구리 배선(2) 상에 개구부를 갖는 레지스트 패턴(17)을 형성한다. 레지스트 패턴(17)을 마스크로 하여 RIE 법을 이용하여 산화 실리콘막(7)의 이방성 에칭을 행하여, 각각의 제2 구리 배선층(2) 상의 산화 실리콘막(7)을 선택적으로 제거하여, 질화 실리콘막(6)의 일부를 표출시킨다.
그 후, 애셔(재화) 처리에 의해 레지스트 패턴(17)을 제거한다.
(5) 다음에, 도 2c에 도시한 바와 같이, 산화 실리콘막(7)을 마스크로 하여 질화 실리콘막(6)의 이방성 에칭을 행하여 질화 실리콘막(6)을 선택적으로 제거하여, 각각의 제2 구리 배선(2)을 표출시킨다. 여기서, 레지스트 패턴(17)을 이용하여, 산화 실리콘막(7) 및 질화 실리콘막(6)을 동시에 에칭하면, 레지스트 패턴(17)의 애셔 처리시에 표출된 제2 구리 배선(2)도 함께 산화되어, 전기 특성상 바람직하지 못하다. 따라서, 산화 실리콘막(7)과 질화 실리콘막(6) 등의 충분히 큰 에칭 선택비를 갖는 2 종류의 절연막이 조합될 수 있는 적층막을 형성함으로써, 제2 구리 배선(2)의 산화를 피할 수 있다. 물론, 충분히 큰 에칭 선택비를 갖는 2 종류의 절연막의 조합이라면, 이외의 절연막의 조합이어도 상관없다. 혹은, 표출된 구리가 산화되지 않고, 레지스트 패턴(17)을 제거하는 처리 방법을 이용한 경우, 산화 실리콘막(7) 및 질화 실리콘막(6) 대신에, 단층의 층간 절연막을 제2 구리 배선층(2) 상에 형성하여, 한번에 에칭 처리를 실시하더라도 상관없다. 어느 방법을 이용하여도 본 발명의 실시 형태에 의한 효과에 차이는 없다.
(6) 다음에, 도 3a에 도시한 바와 같이, 스퍼터링법을 이용하여, 고융점 금속막(8)을 피착한다. 고융점 금속막은, 특히 적층 구조가 아니더라도 좋고, 탄탈(Ta)이나 질화 탄탈(TaN)인 단층의 막이라도 상관없다. 여기서는, 고융점 금속막(8)으로서, 막 두께가 10 내지 60 nm의 TaN을 피착하여, 그 위에, 막 두께가 5 nm∼20 n m의 Ta를 피착한다. 고융점 금속막(8) 상에, 스퍼터링법을 이용하여, 막 두께가 500 nm의 Al계 금속막(9)을 피착하여, 고융점 금속막(8)과 Al계 금속막(9)으로 이루어지는 최상층 배선을 형성한다. Al계 금속막(9)의 막 두께는 퓨즈의 저항 스펙을 만족하고, 또한 레이저 블로우가 가능한 막 두께이면 상관없다. 또한, Al계 금속막(9)은 Al에 수 wt% 정도의 Cu가 첨가되어 있는 AlCu막, 혹은 Al에 수 wt% 정도의 Cu 및 실리콘(Si)이 각각 첨가된 AlSiCu 막이더라도 상관없다. 실시 형태에 있어서는, 와이어 본딩에 의한 칩 배선을 행하는 것을 상정하여, 500 nm 정도의 막 두께를 갖는 Al만으로 이루어지는 Al막(9)을 형성한다. 또한, Al의 막 두께는 필요에 따라서 바꾸더라도 상관없다. 또한, 와이어 본딩을 행하지 않고, 땜납볼(15)에 의한 와이어리스 본딩을 행하는 경우, 최상층 배선을 고융점 금속막(8)만으로 구성하고, Al 막(9)을 형성하지 않더라도 상관없다. 실시 형태에 있어서는, 종래의 Al 배선에 대한 땜납 범프 프로세스가 그대로 이용될 수 있는 이점을 고려하여 Al막(9)을 형성한다.
(7) 다음에, 스피너를 이용하여, 회전하는 웨이퍼 상에 레지스트액을 도포하여, 산화 실리콘막(7) 상에 일정하게 레지스트막을 성막한다. 소정의 마스크를 통해 레지스트막을 노광하고, 레지스트막을 현상함으로써, 도 3b에 도시한 바와 같이, 퓨즈 영역(12)과 패드 영역(13) 상에 선택적으로 레지스트 패턴(18)을 형성한다. 레지스트 패턴(18)을 마스크로 하여 RIE 법에 의해 Al막(9) 및 고융점 금속막(8)의 이방성 에칭을 행하여, 퓨즈 영역(12)과 패드 영역(13) 이외의Al막(9) 및 고융점 금속막(8)을 선택적으로 제거한다. 그 후, 애셔(재화) 처리에 의해 레지스트 패턴(18)을 제거한다. 또, RIE 법 등의 드라이 에칭 대신 웨트 에칭에 의해 선택적으로 Al막(9) 및 고융점 금속막(8)을 제거해도 상관없다. 최상층 배선(8, 9)의 에칭 방법에 의해 실시 형태에 의한 효과에 차이는 없다.
(8) 다음에, 도 3c에 도시한 바와 같이, CVD법 등을 이용하여, 표면 보호막(10)을 피착한다. 표면 보호막(10)은 A1 배선을 형성한 경우에 통상 사용하는 질화실리콘막이나 질화실리콘막과 산화 실리콘막의 적층막 등으로 이루어지는 보호막이다. 실시 형태에서는, 땜납 범프 형성 공정에서 산성 에칭액을 이용하는 경우를 고려하여, 표면 보호막(10)은 막 두께가 300 nm 정도의 산화 실리콘막을 피착하고, 그 위에 내산성이 있는 질화실리콘막을 막 두께가 200nm 정도로 피착된 적층 구조를 갖는다. 표면 보호막(10)이 질화실리콘막의 단층막인 경우, 질화실리콘막의 응력에 의해 최상층 배선(8, 9)의 A1막(9)이 파단할 우려가 았다. 따라서, A1막(9) 상에 질화실리콘막의 응력을 흡수하는 산화 실리콘막을 피착하고, 그 위에 내산성의 질화실리콘막을 피착한다. 물론, 막 응력이 작은 질화실리콘막을 이용하면, 질화실리콘막의 단층막으로 표면 보호막(10)을 구성해도 상관없다.
또한, 표면 보호막(10)의 막 두께는 퓨즈 블로우에 지장이 없는 범위에서 선택한다. 통상의 레이저 블로우의 경우, 1000nm 이하의 막 두께의 절연막을 퓨즈 상에 형성함으로써, 퓨즈 블로우가 가능하다. 또한, 퓨즈 부분의 최상층 배선(8, 9)이 표출하면, 부식, 오염 등이 발생하기 때문에, 반도체 집적 회로의 기능을 보호하기 위해 필요한 막 두께로서 50nm 이상의 막 두께의 표면 보호막(10)을 피착할필요가 있다. 즉, 표면 보호막(10)은 50 내지 1000nm의 범위에서 선택할 수 있다. 실시 형태에서는, 질화실리콘막과 산화 실리콘막 모두 500nm의 막 두께로 한다. 퓨즈 상의 절연막의 막 두께가 피착막 두께에 의해 결정되기 때문에, 하층의 배선층을 이용하여 퓨즈를 형성하여, 퓨즈 상의 절연막을 선택적으로 제거하는 종래의 방식에 비해, 퓨즈 상의 절연막의 막 두께의 변동이 적고, 안정된 퓨즈 블로우를 행할 수 있다.
(9) 다음에, 스피너를 이용하여 회전하는 웨이퍼 상에 레지스트액을 도포하여, 표면 보호막(10) 상에 일정하게 레지스트막을 성막한다. 소정의 마스크를 통해 레지스트막을 노광하고, 레지스트막을 현상함으로써, 도 3c에 도시한 바와 같이, 패드 영역(13) 상에 창을 갖는 레지스트 패턴(19)을 형성한다. 레지스트 패턴(19)을 마스크로 하여 드라이 에칭법 혹은 습식 에칭법에 의해 패드 영역(13) 상의 표면 보호막(10)을 선택적으로 제거하여, 패드 영역(13)의 A1막(9을) 표출시킨다. 그 후, 애셔(재화) 처리에 의해 레지스트 패턴(19)을 제거한다.
(10) 다음에, 도 4a에 도시한 바와 같이, 표면 보호막(10) 상에 폴리이미드(11)를 피착한다. 폴리이미드(11)는 신뢰성 상의 문제로부터 이용되지만, 반드시 이용하지 않더라도 좋다. 폴리이미드(11)는 감광성 및 비감광성이 있지만, 필요에 따라 선택할 수 있다. 실시 형태에서는 공정의 간략화가 가능한 감광성 폴리이미드를 이용한 경우를 예시한다. 다음에, 도 4b에 도시한 바와 같이, 통상의 리소그래피 공정에 의해, 퓨즈 영역(12) 및 패드 영역(13)의 폴리이미드(11)를 선택적으로 제거하여 퓨즈 영역(12)의 표면 보호막(10)을 표출시켜, 패드 영역(13)의 A1막(9)을 표출시킨다. 표면 보호막(10)은 폴리이미드(11)에 대해 충분히 큰 에칭 선택비를 갖고 있기 때문에, 보호막이 표출된 시점에서 에칭을 종료함으로써, 퓨즈 영역(12)의 폴리이미드(11)를 제거하여 표면 보호막(10)을 용이하게 표출시킬 수 있다.
이상의 (1) 내지 (10)의 각 제조 공정은, 통상, 하나의 웨이퍼 제조 라인 상에서 연속하여 실시된다. 이상의 공정이 종료한 반도체 웨이퍼는, 웨이퍼 검사 공정에서 동작 테스트가 실시된다. 예를 들면, 반도체 메모리의 경우, 각 칩의 각 전극 패드(패드 영역(13)의 A1막(9))에 프로브를 맞대어 각 메모리 셀의 동작을 테스트한다. 테스트에 있어서, 정상적으로 동작하지 않는 불량 메모리 셀을 갖는 칩에 대해, 칩 내의 용장 회로의 일부인 퓨즈(퓨즈 영역(12)의 최상층 배선(8 ,9))을 레이저로 블로우함으로써, 불량 메모리 셀이 예비 메모리 셀로 치환된다. 정상적으로 동작하지 않은 메모리 셀을 갖는 칩 불량 칩은 구제된다. 동작 테스트가 종료한 웨이퍼에 대해 다시 한 번 상기 프로브 테스트를 실시하여, 용장 회로에 의해서도 구제되지 않은 불량 칩에 고장(fail) 마크를 붙인다. 다음에, 반도체 웨이퍼를 웨이퍼 제조 라인에서 추출하여, 동작 테스트에 있어서의 양품 칩을 이하에 나타내는 어셈블리 공정이 행해지는 제조 라인 상에 배치한다.
(11) 마지막으로, 동작 테스트에 있어서 양품인 칩에 대해, 통상의 땜납 범프 형성 프로세스를 실시하여, 배리어 메탈(14) 및 땜납볼(15)을 각각 형성한다. 이상의 공정을 거쳐 도 1에 나타낸 반도체 장치를 제조할 수 있다.
이상 설명한 바와 같이, 본 발명의 실시 형태에 따르면, 퓨즈가 형성되어 있는 최상층 배선(8, 9) 상에는 표면 보호막(10)만이 형성되어 있기 때문에, 퓨즈를 절단하기 전에, 퓨즈 절단에 지장이 없을 정도의 막 두께를 남겨, 퓨즈 상에 성막된 절연막을 사전에 제거할 필요가 없어 용이하게 퓨즈를 절단할 수 있다. 또한, 구리 배선층(1, 2)에 있어서 퓨즈를 형성할 필요가 없기 때문에, 구리 배선층(1, 2) 상에 성막된 층간 절연막(3, 4) 및 표면 보호막(10)을 퓨즈의 절단에 지장이 없는 범위의 막 두께까지 박막화할 필요가 없어진다. 또한, 퓨즈의 상에는 보호막이 형성되어 있기 때문에, 노출부분의 퓨즈가 부식하거나, 불순물 이온이 노출부분으로부터 진입하여 불량의 원인이 될 우려가 없다.
또한, 퓨즈 상의 절연막의 막 두께를 제어하기 위한 에칭 공정을 생략할 수 있기 때문에, 제조 효율을 향상시켜, 제조 비용을 삭감할 수 있다. 퓨즈의 패턴 형성 시에 등방적 에칭 처리에 있어서의 사이드 에칭을 이용하는 일이 없기 때문에, 퓨즈의 절단 부분의 패턴 폭 등의 설계의 자유도가 작게 되는 일이 없다. 또한, 배리어 메탈(범프용 기초 금속막)(14)의 형성 공정 및 땜납볼(15)의 형성 공정 전에, 배선층의 일부로서 퓨즈를 형성할 수 있기 때문에, 배선 공정을 행하는 제조 라인 상에 있어, 반도체 칩의 동작 테스트를 실시하여, 퓨즈 블로우에 의한 불량 개소와 예비 엘리먼트와의 치환을 실시할 수 있다. 따라서, 동작 테스트에 있어서 선별된 양품 칩에 대해서만, 배리어 메탈의 형성 공정 및 범프 형성 공정 등의 어셈블리 공정을 실시할 수가 있어, 생산 효율이 향상한다.
따라서, 본 발명의 실시 형태에 따르면, 퓨즈의 블로우가 용이하고, 또한, 퓨즈 상의 절연막의 막 두께 제어가 용이한 반도체 장치 및 그 제조 방법을 제공할수 있다.
또한, 본 발명의 실시 형태에 따르면, 기판·배선 공정(웨이퍼 공정)에 있어서 블로우가 용이한 퓨즈를 형성할 수 있는 반도체 장치 및 그 제조 방법을 제공할 수 있다.
본 발명의 실시 형태에 있어서, 도 5에 도시한 바와 같이, 최상층 배선(8, 9)과 제2 구리 배선(2) 사이에서 캐패시터가 형성되어 있더라도 상관없다. 이 때, 최상층 배선(8, 9) 및 제2 구리 배선(2)에 있어서 각각 평행 평판의 전극이 형성되어, 질화실리콘막(6) 및 산화 실리콘막(7) 중에 전계가 형성된다. 제조 공정을 늘리는 일없이, 캐패시터를 형성할 수가 있어, 전원 전압을 칩 전체에 안정적으로 공급할 수 있다.
또한, 패드 영역(13)은 반도체 칩 상의 어떤 위치에 배치해도 상관없다. 즉, 반도체 칩 외주의 반도체 소자가 배치되어 있지 않은 영역에 패드 영역(13)을 배치하는 것 뿐만 아니라, 반도체 소자가 배치되어 있는 소자 영역 상에 배치해도 상관없다. 돌기 전극(범프)를 이용한 플립 칩 실장에 있어서의 다핀화에 대응할 수 있다. 구체적으로는, 배리어 메탈(14) 및 땜납볼(15)은 반도체 소자 상에 배치되어 있더라도 상관없다. 도 6에 도시한 바와 같이, 제2 구리 배선(2)과 고융점 금속막(8)과의 접속 개소의 바로 위와는 다른 부분에 패드 영역(13)(배리어 메탈(14) 및 땜납볼(15))을 배치해도 상관없다.
또한, 최상층 배선(8, 9)을 이용하여, 반도체 칩 상의 임의의 부분에, 패드 영역(13)을 인출할 수 있다. 도 7a는 종래의 반도체 칩의 레이아웃을 나타내고,도 7b는 본 발명의 실시 형태에 따른 반도체 칩의 레이아웃을 나타낸다. 도 7a에 도시한 바와 같이, 종래는 칩 중앙에 소자 영역을 배치하여, 칩 외주에 패드 영역(13)을 배치하고 있었다. 그러나, 도 7b에 도시한 바와 같이, 최상층 배선(8 ,9)을 이용하여 배리어 메탈(14) 및 땜납볼(15)을 반도체 칩 상의 임의의 부분으로 인출함으로써 반도체 칩 상의 임의의 부분에 패드 영역(13)을 배치할 수 있다. 플립 칩 실장 등의 와이어리스 본딩 기술에 있어서, 다핀화에 대응한 고밀도인 실장이 가능하게 된다.
상기한 바와 같이, 본 발명은 상기 한 실시 형태에 대해서만 기재하였지만, 본 발명은 상기 실시 형태에만 한정되는 것은 아니다.
또한, 당업자라면 상기 실시 형태의 변형 및 수정 실시 형태가 가능하다는 것을 인식할 수 있을 것이다. 따라서, 본 발명은 상기 기술 및 도시된 실시 형태에 대해서만 한정되는 것이 아니라 본 발명의 사상 및 범주를 벗어나지 않는 범위 내에서는 여러 변형 실시 형태가 가능하다는 것에 주목해야 한다.
본 발명에 따르면, 퓨즈의 블로우가 용이하고, 또한, 퓨즈 상의 절연막의 막 두께 제어가 용이한 반도체 장치 및 그 제조 방법을 제공할 수 있다.
또한, 본 발명에 따르면, 기판·배선 공정(웨이퍼 공정)에 있어서 블로우가 용이한 퓨즈를 형성할 수 있는 반도체 장치 및 그 제조 방법을 제공할 수 있다.

Claims (20)

  1. 반도체 장치에 있어서,
    복수의 반도체 소자가 형성된 반도체 기판 상에 배치된 구리 배선으로 이루어지는 복수의 구리 배선층과,
    상기 복수의 구리 배선층보다 상층에 배치되어 최상층의 상기 구리 배선에 접속된 고융점 금속막을 적어도 포함하는 최상층 배선과,
    상기 최상층 배선의 일부로서 형성된, 에너지 빔에 의해 절단 가능한 퓨즈와,
    상기 최상층 배선 상에 배치된 표면 보호막
    을 갖는 것을 특징으로 하는 반도체 장치.
  2. 제1항에 있어서,
    상기 표면 보호막 상에 배치되어 상기 최상층 배선에 접속된 범프용 기초 금속막과,
    상기 범프용 기초 금속막 상에 배치된 범프
    를 더 포함하는 반도체 장치.
  3. 제1항에 있어서, 상기 최상층 배선과 상기 최상층의 구리 배선 사이에 캐패시터가 형성되어 있는 반도체 장치.
  4. 제2항에 있어서, 상기 범프용 기초 금속막 및 상기 범프는 상기 반도체 소자의 상측에 배치되어 있는 반도체 장치.
  5. 제1항에 있어서, 상기 최상층 배선은 상기 고융점 금속막 상에 배치된 A1계 금속막을 더 포함하는 반도체 장치.
  6. 제1항에 있어서, 상기 표면 보호막은 상기 퓨즈의 절단에 지장이 없는 범위의 막 두께를 갖는 반도체 장치
  7. 제1항에 있어서, 상기 복수의 구리 배선층 중 적어도 일부는 이중 상감 구조를 갖는 반도체 장치.
  8. 반도체 장치의 제조 방법에 있어서,
    구리 배선으로 이루어지는 복수의 구리 배선층을 층간 절연막을 개재시켜 반도체 기판 상에 형성하는 단계와,
    최상층의 구리 배선에 접속되는 고융점 금속막을 적어도 포함하는 최상층 배선을 상기 복수의 구리 배선층보다 상층에 형성하는 단계와,
    상기 최상층 배선의 일부로서 에너지 빔에 의해 절단 가능한 퓨즈를 형성하는 단계와,
    상기 최상층 배선 상에 표면 보호막을 형성하는 단계
    를 포함하는 것을 특징으로 하는 반도체 장치의 제조 방법.
  9. 제8항에 있어서,
    상기 최상층 배선에 접속되는 범프용 기초 금속막을 상기 표면 보호막 상에 형성하는 단계와,
    상기 범프용 기초 금속 상에 범프를 형성하는 단계
    를 더 포함하는 반도체 장치의 제조 방법.
  10. 제8항에 있어서,
    상기 최상층의 구리 배선의 일부로서 캐패시터의 한쪽의 전극을 형성하는 단계와,
    상기 최상층 배선의 일부로서 상기 캐패시터의 다른 쪽의 전극을 형성하는 단계
    를 더 포함하는 반도체 장치의 제조 방법.
  11. 제9항에 있어서,
    상기 범프용 기초 금속막 및 상기 범프를 상기 반도체 소자의 상측에 형성하는 반도체 장치의 제조 방법.
  12. 제8항에 있어서, 상기 최상층 배선의 일부로서 상기 고융점 금속막 상에 A1계 금속막을 더 형성하는 단계를 포함하는 반도체 장치의 제조 방법.
  13. 제8항에 있어서, 상기 표면 보호막을 50 내지 1000 nm의 막 두께로 형성하는 반도체 장치의 제조 방법.
  14. 제8항에 있어서, 상기 복수의 구리 배선층 중 적어도 일부는 상감법에 의해 형성하는 반도체 장치의 제조 방법.
  15. 반도체 장치에 있어서,
    복수의 반도체 소자가 형성된 반도체 기판 상에 배치된 구리 배선으로 이루어지는 복수의 구리 배선층과,
    최상층의 상기 구리 배선 상에 배치된 질화 실리콘막과,
    상기 질화 실리콘막 상에 배치된 산화 실리콘막과,
    상기 산화 실리콘막 상에 배치되어 상기 최상층의 구리 배선에 접속된 고융점 금속막과, 상기 고융점 금속막 상에 배치된 A1계 금속막을 포함하는 최상층 배선과,
    상기 최상층 배선의 일부로서 형성된, 에너지 빔에 의해 절단 가능한 퓨즈와,
    상기 최상층 배선 상에 배치된 산화 실리콘막과 상기 산화 실리콘막 상에 배치된 질화 실리콘막을 포함하는 표면 보호막과,
    상기 표면 보호막 상에 배치된 유기 수지막
    을 포함하는 것을 특징으로 하는 반도체 장치.
  16. 제15항에 있어서,
    상기 유기 수지막 상에 배치되어 상기 최상층 배선에 접속된 범프용 기초 금속막과,
    상기 범프용 기초 금속막 상에 배치된 범프
    를 더 포함하는 반도체 장치.
  17. 제15항에 있어서,
    상기 최상층 배선과 상기 최상층의 구리 배선 사이에 캐패시터가 형성되어 있는 반도체 장치.
  18. 제16항에 있어서, 상기 범프용 기초 금속막 및 상기 범프는 상기 반도체 소자의 상측에 배치되어 있는 반도체 장치.
  19. 제15항에 있어서, 상기 표면 보호막은 상기 퓨즈의 절단에 지장이 없는 범위의 막 두께를 갖는 반도체 장치.
  20. 제15항에 있어서, 상기 복수의 구리 배선층 중 적어도 일부는 이중 상감 구조를 갖는 반도체 장치.
KR10-2001-0059542A 2000-09-27 2001-09-26 반도체 장치 및 그 제조 방법 KR100436407B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2000-00293941 2000-09-27
JP2000293941A JP2002110799A (ja) 2000-09-27 2000-09-27 半導体装置及びその製造方法

Publications (2)

Publication Number Publication Date
KR20020025032A KR20020025032A (ko) 2002-04-03
KR100436407B1 true KR100436407B1 (ko) 2004-06-16

Family

ID=18776645

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2001-0059542A KR100436407B1 (ko) 2000-09-27 2001-09-26 반도체 장치 및 그 제조 방법

Country Status (5)

Country Link
US (1) US6656826B2 (ko)
JP (1) JP2002110799A (ko)
KR (1) KR100436407B1 (ko)
CN (1) CN1210798C (ko)
TW (1) TW522538B (ko)

Families Citing this family (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6818110B1 (en) * 1997-09-30 2004-11-16 Symyx Technologies, Inc. Combinatorial electrochemical deposition and testing system
US6524912B1 (en) * 2000-08-31 2003-02-25 Micron Technology, Inc. Planarization of metal container structures
JP2003037129A (ja) * 2001-07-25 2003-02-07 Rohm Co Ltd 半導体装置およびその製造方法
KR100429881B1 (ko) * 2001-11-02 2004-05-03 삼성전자주식회사 셀 영역 위에 퓨즈 회로부가 있는 반도체 소자 및 그제조방법
US7932603B2 (en) * 2001-12-13 2011-04-26 Megica Corporation Chip structure and process for forming the same
JP4136641B2 (ja) * 2002-02-28 2008-08-20 株式会社ルネサステクノロジ 半導体装置の接続条件の算出方法
DE10219116A1 (de) * 2002-04-29 2003-11-13 Infineon Technologies Ag Integrierte Schaltungsanordnung mit Verbindungslagen sowie zugehörige Herstellungsverfahren
FR2842351A1 (fr) * 2002-07-12 2004-01-16 St Microelectronics Sa Adaptation d'un circuit integre a des besoins specifiques
TW540151B (en) * 2002-07-19 2003-07-01 Nanya Technology Corp Fuse structure
CN1241264C (zh) * 2002-09-30 2006-02-08 松下电器产业株式会社 半导体装置及其制造方法
KR100480641B1 (ko) * 2002-10-17 2005-03-31 삼성전자주식회사 고 커패시턴스를 지니는 금속-절연체-금속 커패시터, 이를구비하는 집적회로 칩 및 이의 제조 방법
US6750129B2 (en) * 2002-11-12 2004-06-15 Infineon Technologies Ag Process for forming fusible links
US6861686B2 (en) * 2003-01-16 2005-03-01 Samsung Electronics Co., Ltd. Structure of a CMOS image sensor and method for fabricating the same
US7215361B2 (en) * 2003-09-17 2007-05-08 Micron Technology, Inc. Method for automated testing of the modulation transfer function in image sensors
US6962835B2 (en) 2003-02-07 2005-11-08 Ziptronix, Inc. Method for room temperature metal direct bonding
KR100507702B1 (ko) * 2003-04-03 2005-08-09 주식회사 하이닉스반도체 반도체 소자의 메탈 라인 형성 방법
JP2004311638A (ja) 2003-04-04 2004-11-04 Renesas Technology Corp 半導体装置
US7169696B2 (en) * 2003-06-24 2007-01-30 California Institute Of Technology Method for making a system for selecting one wire from a plurality of wires
KR100548998B1 (ko) * 2003-09-25 2006-02-02 삼성전자주식회사 동일레벨에 퓨즈와 커패시터를 갖는 반도체소자 및 그것을제조하는 방법
US20050077594A1 (en) * 2003-10-10 2005-04-14 Matsushita Electric Industrial Co., Ltd. Semiconductor device with polysilicon fuse and method for trimming the same
US6867073B1 (en) * 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
JP4600652B2 (ja) * 2004-10-13 2010-12-15 ヤマハ株式会社 半導体装置及びその製造方法
JP3855992B2 (ja) 2003-12-17 2006-12-13 セイコーエプソン株式会社 半導体装置及びその製造方法、回路基板並びに電子機器
JP4673557B2 (ja) * 2004-01-19 2011-04-20 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP2005209903A (ja) * 2004-01-23 2005-08-04 Fujitsu Ltd 半導体装置及びその製造方法
JP2005268454A (ja) * 2004-03-17 2005-09-29 Nec Electronics Corp 半導体装置およびその製造方法
JP4603281B2 (ja) * 2004-03-31 2010-12-22 ルネサスエレクトロニクス株式会社 半導体装置
US8552559B2 (en) * 2004-07-29 2013-10-08 Megica Corporation Very thick metal interconnection scheme in IC chips
JP4685388B2 (ja) * 2004-09-06 2011-05-18 Okiセミコンダクタ株式会社 半導体装置
JP4504791B2 (ja) * 2004-11-24 2010-07-14 パナソニック株式会社 半導体回路装置及びその製造方法
JP2006179663A (ja) * 2004-12-22 2006-07-06 Seiko Epson Corp 半導体装置、半導体装置の製造方法、及び半導体パッケージ
US7956460B2 (en) * 2004-12-28 2011-06-07 Rohm Co., Ltd. Semiconductor chip and method for manufacturing same, electrode structure of semiconductor chip and method for forming same, and semiconductor device
KR100595856B1 (ko) * 2004-12-29 2006-06-30 동부일렉트로닉스 주식회사 반도체 소자 제조 방법
KR100579863B1 (ko) * 2004-12-29 2006-05-12 동부일렉트로닉스 주식회사 반도체 소자상 퓨주부 형성 방법 및 퓨주부를 포함하는반도체 소자
JP2006216728A (ja) * 2005-02-03 2006-08-17 Ricoh Co Ltd 半導体装置およびその製造方法
KR100642643B1 (ko) 2005-03-18 2006-11-10 삼성전자주식회사 내부회로의 전원/접지선들과 직접 접속되는 재배치된전원/접지선들을 갖는 반도체 칩들 및 그 제조방법들
US7586199B1 (en) * 2005-03-23 2009-09-08 Marvell International Ltd. Structures, architectures, systems, methods, algorithms and software for configuring and integrated circuit for multiple packaging types
US8405220B1 (en) 2005-03-23 2013-03-26 Marvell International Ltd. Structures, architectures, systems, methods, algorithms and software for configuring an integrated circuit for multiple packaging types
TWI268564B (en) * 2005-04-11 2006-12-11 Siliconware Precision Industries Co Ltd Semiconductor device and fabrication method thereof
US7365396B2 (en) * 2005-04-14 2008-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. SOI SRAM products with reduced floating body effect
KR100714483B1 (ko) * 2005-07-18 2007-05-04 삼성전자주식회사 반도체 메모리 소자 및 그 제조 방법
JP2007035875A (ja) * 2005-07-26 2007-02-08 Seiko Epson Corp 半導体装置およびその製造方法
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US8319343B2 (en) 2005-09-21 2012-11-27 Agere Systems Llc Routing under bond pad for the replacement of an interconnect layer
US8290239B2 (en) * 2005-10-21 2012-10-16 Orbotech Ltd. Automatic repair of electric circuits
US7518211B2 (en) * 2005-11-11 2009-04-14 United Microelectronics Corp. Chip and package structure
US20070108549A1 (en) * 2005-11-15 2007-05-17 Ping-Chang Wu Semiconductor structure
CN100452377C (zh) * 2005-12-01 2009-01-14 联华电子股份有限公司 芯片与封装结构
JP4884077B2 (ja) * 2006-05-25 2012-02-22 ルネサスエレクトロニクス株式会社 半導体装置
US7964961B2 (en) * 2007-04-12 2011-06-21 Megica Corporation Chip package
KR100871389B1 (ko) 2007-10-05 2008-12-02 주식회사 하이닉스반도체 반도체 소자의 퓨즈 및 그의 형성방법
US7935408B2 (en) * 2007-10-26 2011-05-03 International Business Machines Corporation Substrate anchor structure and method
KR100909755B1 (ko) * 2007-10-31 2009-07-29 주식회사 하이닉스반도체 반도체소자의 퓨즈 및 그 형성방법
JP2009212332A (ja) 2008-03-05 2009-09-17 Nec Electronics Corp 半導体装置及びその製造方法
JP5248170B2 (ja) * 2008-04-03 2013-07-31 ルネサスエレクトロニクス株式会社 半導体装置
US8563336B2 (en) * 2008-12-23 2013-10-22 International Business Machines Corporation Method for forming thin film resistor and terminal bond pad simultaneously
WO2010117987A1 (en) * 2009-04-08 2010-10-14 Efficient Power Conversion Corporation Bumped, self-isolated gan transistor chip with electrically isolated back surface
US8759209B2 (en) 2010-03-25 2014-06-24 Stats Chippac, Ltd. Semiconductor device and method of forming a dual UBM structure for lead free bump connections
JP5548060B2 (ja) * 2010-07-28 2014-07-16 株式会社東芝 半導体装置
JP5722651B2 (ja) * 2011-02-07 2015-05-27 ローム株式会社 半導体装置およびその製造方法
US8659118B2 (en) * 2011-07-29 2014-02-25 Infineon Technologies Ag Semiconductor device comprising a fuse structure and a method for manufacturing such semiconductor device
JP5981260B2 (ja) * 2011-09-30 2016-08-31 エスアイアイ・セミコンダクタ株式会社 半導体装置
EP2743965B1 (en) * 2012-12-13 2015-07-08 Imec Method for manufacturing semiconductor devices
US8884400B2 (en) * 2012-12-27 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitor in Post-Passivation structures and methods of forming the same
US10204876B2 (en) * 2013-03-07 2019-02-12 Maxim Integrated Products, Inc. Pad defined contact for wafer level package
JP6100569B2 (ja) 2013-03-21 2017-03-22 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US9627467B2 (en) 2013-09-06 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Thin film resistor integrated between interconnect levels and contacting an underlying dielectric layer protrusion
CN104658910A (zh) * 2013-11-20 2015-05-27 上海华虹宏力半导体制造有限公司 一种功率mos器件的金属成膜方法
JP2015135869A (ja) * 2014-01-16 2015-07-27 株式会社テラプローブ 半導体装置、及び半導体装置の製造方法
WO2016021061A1 (ja) 2014-08-08 2016-02-11 ルネサスエレクトロニクス株式会社 半導体装置
JP6435562B2 (ja) * 2014-12-02 2018-12-12 ローム株式会社 半導体装置および半導体装置の製造方法
US9679844B2 (en) * 2015-06-18 2017-06-13 Microchip Technology Incorporated Manufacturing a damascene thin-film resistor
US9953941B2 (en) 2015-08-25 2018-04-24 Invensas Bonding Technologies, Inc. Conductive barrier direct hybrid bonding
US9620432B2 (en) * 2015-09-02 2017-04-11 International Business Machines Corporation Dielectric thermal conductor for passivating eFuse and metal resistor
US9954051B2 (en) * 2015-10-12 2018-04-24 Applied Materials, Inc. Structure and method of fabricating three-dimensional (3D) metal-insulator-metal (MIM) capacitor and resistor in semi-additive plating metal wiring
JP6607771B2 (ja) * 2015-12-03 2019-11-20 ローム株式会社 半導体装置
CN106129038A (zh) * 2016-07-14 2016-11-16 成都芯源系统有限公司 集成电路芯片及其制作方法
CN106601715A (zh) * 2016-12-21 2017-04-26 成都芯源系统有限公司 集成电路芯片及其制作方法
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
US11749645B2 (en) 2018-06-13 2023-09-05 Adeia Semiconductor Bonding Technologies Inc. TSV as pad
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
US11094620B2 (en) * 2019-09-30 2021-08-17 Texas Instruments Incorporated Integrated capacitor with extended head bump bond pillar
CN113394193B (zh) * 2020-03-13 2022-03-22 长鑫存储技术有限公司 半导体结构及其形成方法、激光熔丝的熔断方法
US11302537B2 (en) * 2020-04-01 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure with conductive adhesive layer and method for forming the same
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03177968A (ja) 1989-12-07 1991-08-01 Fuji Xerox Co Ltd 文書データ交換方法及びその装置
JP3290459B2 (ja) 1991-06-27 2002-06-10 株式会社日立製作所 半導体集積回路装置およびその製造方法
US6033939A (en) * 1998-04-21 2000-03-07 International Business Machines Corporation Method for providing electrically fusible links in copper interconnection
JPH11340265A (ja) 1998-05-22 1999-12-10 Sony Corp 半導体装置及びその製造方法
US6259146B1 (en) * 1998-07-17 2001-07-10 Lsi Logic Corporation Self-aligned fuse structure and method with heat sink
US6413848B1 (en) * 1998-07-17 2002-07-02 Lsi Logic Corporation Self-aligned fuse structure and method with dual-thickness dielectric
US6261873B1 (en) * 1999-04-29 2001-07-17 International Business Machines Corporation Pedestal fuse
US6249038B1 (en) * 1999-06-04 2001-06-19 International Business Machines Corporation Method and structure for a semiconductor fuse
US6496053B1 (en) * 1999-10-13 2002-12-17 International Business Machines Corporation Corrosion insensitive fusible link using capacitance sensing for semiconductor devices
US6295721B1 (en) * 1999-12-28 2001-10-02 Taiwan Semiconductor Manufacturing Company Metal fuse in copper dual damascene

Also Published As

Publication number Publication date
US20020037643A1 (en) 2002-03-28
TW522538B (en) 2003-03-01
CN1359155A (zh) 2002-07-17
JP2002110799A (ja) 2002-04-12
CN1210798C (zh) 2005-07-13
US6656826B2 (en) 2003-12-02
KR20020025032A (ko) 2002-04-03

Similar Documents

Publication Publication Date Title
KR100436407B1 (ko) 반도체 장치 및 그 제조 방법
US6392300B1 (en) Semiconductor device having an alignment mark formed on the uppermost layer of a multilayer wire
US6518092B2 (en) Semiconductor device and method for manufacturing
JP3466929B2 (ja) 半導体装置
US20080160682A1 (en) Semiconductor device having fuse circuit on cell region and method of fabricating the same
US7999382B2 (en) Semiconductor device and fabrication method for the same
JP2010118646A (ja) 集積回路デバイスのヒューズ構造
JP3667507B2 (ja) 半導体装置及びその製造方法
US7449764B2 (en) Semiconductor device and method of manufacturing the same
KR100691051B1 (ko) 반도체 디바이스 및 본드 패드 형성 프로세스
US6750529B2 (en) Semiconductor devices including fuses and multiple insulation layers
JP4388265B2 (ja) 半導体集積回路装置およびその製造方法
CN100423246C (zh) 包含金属层顶部钨或钨化合物之连结垫
US7804153B2 (en) Semiconductor device preventing bridge between fuse pattern and guard ring
JP3290459B2 (ja) 半導体集積回路装置およびその製造方法
JP4921949B2 (ja) 半導体装置
JPH11340434A (ja) 半導体装置及びその製造方法
JP2001257210A (ja) 半導体集積回路装置
US6876015B2 (en) Semiconductor devices
KR101062820B1 (ko) 반도체 장치의 퓨즈 및 그 제조방법
JP2000332116A (ja) 半導体集積回路装置およびその製造方法
KR101102048B1 (ko) 반도체 소자의 퓨즈 및 그 제조 방법
JP2005011833A (ja) 半導体装置およびその製造方法
JP2007067087A (ja) 半導体装置の製造方法および半導体装置
KR20070056417A (ko) 반도체 메모리 소자 및 그 제조 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110502

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee