JPWO2012017653A1 - 基板処理システム、搬送モジュール、基板処理方法及び半導体素子の製造方法 - Google Patents

基板処理システム、搬送モジュール、基板処理方法及び半導体素子の製造方法 Download PDF

Info

Publication number
JPWO2012017653A1
JPWO2012017653A1 JP2012527598A JP2012527598A JPWO2012017653A1 JP WO2012017653 A1 JPWO2012017653 A1 JP WO2012017653A1 JP 2012527598 A JP2012527598 A JP 2012527598A JP 2012527598 A JP2012527598 A JP 2012527598A JP WO2012017653 A1 JPWO2012017653 A1 JP WO2012017653A1
Authority
JP
Japan
Prior art keywords
chamber
transfer
substrate
load lock
loader module
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2012527598A
Other languages
English (en)
Other versions
JP5503006B2 (ja
Inventor
勤 廣木
勤 廣木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2012527598A priority Critical patent/JP5503006B2/ja
Publication of JPWO2012017653A1 publication Critical patent/JPWO2012017653A1/ja
Application granted granted Critical
Publication of JP5503006B2 publication Critical patent/JP5503006B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

【課題】複数のプロセスチャンバを平面的に配置・展開することが可能であり、またスループット(単位時間当たりの基板の処理枚数)の向上を図ることができる基板処理システムを提供する。【解決手段】基板処理システムに、ローダーモジュール(22)から処理を行うための第1のプロセスチャンバ(23)に至り、基板を搬送するための第1の搬送ユニット(28)、および第1の搬送ユニット(28)の下方または上方に設けられ、ローダーモジュール(22)から処理を行うための第2のプロセスチャンバ(24)に至り、基板を搬送するための第2の搬送ユニット(31)を設ける。第1のプロセスチャンバ(23)と第2のプロセスチャンバ(24)とは、上下方向に重なることがなく、平面的に離れた位置に配置される。その一方、第1の搬送ユニット(28)の少なくとも一部と第2の搬送ユニット(31)の少なくとも一部とが、上下方向に重なる。【選択図】図4

Description

本発明は、半導体基板、液晶用基板、有機EL素子等の基板を複数のプロセスチャンバに搬送する基板処理システムおよび基板搬送モジュールに関する。
半導体デバイス、FPD(Flat Panel Display)、有機EL(Organic Electro Luminescence)の素子、太陽電池の製造にあたり、半導体基板やガラス基板等には、成膜、エッチング、アッシング、酸化、窒化、ドーピング、拡散等の各種の処理が施される。これらの処理において、プラズマを用いる場合には、減圧されたプロセスチャンバ内で行われる。
処理効率を向上させるため、一般的に、多数のプロセスチャンバで同時に基板の処理を行うマルチチャンバー式の基板処理システムが採用されている。図1に示すように、従来のマルチチャンバー式の基板処理システムの一例として、プロセスチャンバ1にロードロックチャンバ2を一対一に対応させ、ローダーモジュール3に組にした複数のロードロックチャンバ2及びプロセスチャンバ1を連結した基板処理システムが知られている(特許文献1参照)。ローダーモジュール3には、複数のカセットポート4が設けられる。ローダーモジュール3内の大気搬送ロボット5は、カセット6内の基板をロードロックチャンバ2に搬送する。ロードロックチャンバ2の内部は、真空状態と大気状態とに交互に切り替えられる。ロードロックチャンバ2には、ロードロックチャンバ2に搬送された基板をプロセスチャンバ1に搬送する真空搬送ロボット7が設けられる。大気搬送ロボット5が基板をロードロックチャンバ2に搬送するときは、ロードロックチャンバ2の内部は大気状態にされ、真空搬送ロボット7が基板をプロセスチャンバ1に搬送するときは、ロードロックチャンバ2の内部は真空状態にされる。
図1に示す基板処理システムによれば、一つの真空搬送ロボット7が故障しても、残りの真空搬送ロボット7によって基板の処理が続行できるというメリットがある。しかし、複数のプロセスチャンバ1間に基板を渡り歩かせるとき、真空中で基板を連続的に処理できないというデメリットがある。基板が一つのプロセスチャンバ1から他のプロセスチャンバ1に渡るとき、基板が一旦ローダーモジュール3の大気中を経由しなければならないからである。
上記基板処理システムのデメリットを解決するために、図2に示すように、クラスタ型の基板処理システムが知られている。基板処理システムの中央には、真空搬送ロボット9が設けられるトランスファーチャンバ10が配置される。トランスファーチャンバ10の周囲には、複数のプロセスチャンバ11がトランスファーチャンバ10を取り囲むように配列される。トランスファーチャンバ10は、二つのロードロックチャンバ12を介してローダーモジュール13に連結される。ローダーモジュール13には大気搬送ロボット14が設けられる。大気搬送ロボット14はポート15に置かれるカセット内の基板をロードロックチャンバ12に搬送する。トランスファーチャンバ10の内部の真空搬送ロボット9は、ロードロックチャンバ12に搬送された基板をプロセスチャンバ11に搬送する。
図2に示す基板処理システムによれば、基板を一つのプロセスチャンバ11から他のプロセスチャンバ11に渡り歩かせるとき、トランスファーチャンバ10の真空中を経由するので、基板を大気に曝すことなく真空中で連続的に基板を処理できるというメリットがある。しかし、一つの真空搬送ロボット9で複数のプロセスチャンバ11に対応しなければならないので、プロセスチャンバ11内で行われる処理時間が比較的短い場合には、真空搬送ロボット9の搬送速度に制約を受けてスループット(単位時間当たりの基板の処理枚数)の向上を図れないという課題がある。つまり、プロセスチャンバ11の処理時間が短いと、真空搬送ロボット9の搬送速度が律速要因となり、処理済の基板が搬出されずにプロセスチャンバ11内に待機する時間が生じ、真空搬送ロボット9の搬送速度がシステム全体の処理速度を決定してしまう。さらに近年、基板のサイズも拡大傾向にあり(例えば半導体ウェハの場合、口径300mmから450mmへの拡大が検討されている)、プロセスチャンバ11を含め基板処理システム全体のスケールアップも必要になるところ、単純な基板処理システムのスケールアップはフットプリントの肥大化を招くという課題がある。
特許文献3には、クラスタ型の基板処理システムのフットプリントを低減するために、プロセスチャンバ101,102及びロードロックチャンバ2,2をそれぞれ上下方向に二段に積層し、中央のトランスファーチャンバ3に設けた真空搬送ロボット42を上下方向に移動できるようにし、真空搬送ロボット42が上下二段のロードロックチャンバ2,2と上下二段のプロセスチャンバ101,102との間で基板を搬送するようにした多段式の基板処理システムが開示されている。
特許文献4にも、クラスタ型の基板処理システムのフットプリントを低減するために、中央のトランスファーチャンバ30aに昇降可能にロボットアーム18を配置し、トランスファーチャンバ30aの周囲を取り囲むように上下方向に多段のプロセスチャンバ32aおよび上下方向に多段のロードロックチャンバ34aを連結し、ロボットアーム18が多段のロードロックチャンバ34aと多段のプロセスチャンバとの間で基板を搬送するようにした多段式の基板処理システムが開示されている。特許文献4の基板処理システムにおいて、多段のプロセスチャンバ32a及び多段のロードロックチャンバ34aは、上下方向に完全に重なる場合もあるし、一部が重なる場合もある。
特許文献5には、基板処理システムのフットプリントを低減するために、複数のカセット41が載置されるカセットステージ42に、半導体ウェハを大気中で移送するための長方形移送通路を連結し、長方形移送通路の両側面に上下方向に二段のロードロックチャンバ43を連結し、上下方向に二段のロードロックチャンバに上下方向に二段のプロセスチャンバ45を連結した基板処理システムが開示されている。長方形移送通路には、カセット内の半導体ウェハを受け取り、半導体ウェハをロードロックチャンバ43まで移送する移送装置52が設けられる。ロードロックチャンバ43には、移送装置52から半導体ウェハを受け取り、プロセスチャンバ45に半導体ウェハを渡す真空移送装置が設けられる。ロードロックチャンバ43の内部は、真空状態と大気状態とに交互に切り替えられる。大気移送装置52がロードロックチャンバ43の移送装置52に半導体ウェハを渡すときは、ロードロックチャンバ43の内部は大気状態にされる。一方、ロードロックチャンバ43の真空移送装置がプロセスチャンバ45に半導体ウェハを渡すときは、ロードロックチャンバ43の内部は真空状態にされる。
特開2002−151568号公報 特開2009−76705号公報 特開2000−195925号公報 特開2002−343848号公報 特開2009−147368号公報
しかしながら、特許文献3に記載の基板処理システムにあっては、中央に配置されたトランスファーチャンバの真空搬送ロボットが周囲に配列された複数のプロセスチャンバに対応するという構図は変わらないので、スループット(単位時間当たりの基板の処理枚数)の向上を図れないという課題がある。
特許文献4に記載の基板処理システムのように、複数のプロセスチャンバを上下方向に多段に重ねようとしても、プロセスチャンバの上部または下部には、ガス供給系、ガス排気系、高周波電力供給手段等のプロセスの実行に必須の装備が設けられ、プロセスチャンバ自体も大型化の傾向にあるので、現状の工場のクリーンルームに多段に重ねたプロセスチャンバを配置するのは、現実的にはスペース的に困難である。仮にプロセスチャンバを多段に配置できたとしても、プロセスの実行に必須の装備をプロセスチャンバの上部および下部に配置する空間を奪ってしまい、これらの装備をプロセスチャンバから離れた場所に配置しなければならなくなるという課題がある。
特許文献5に記載の基板処理システムにあっては、複数のプロセスチャンバが単一の長方形通路に結びつけられており、カセットステージから複数のプロセスチャンバに半導体ウェハを搬送するにあたり、単一の長方形移送通路の移送装置を経由しなければならないので、単一の長方形移送通路の移送装置の制約に縛られ、システム全体としてのスループットを向上することができないという課題がある。また、特許文献4に記載の基板処理システムと同様に、プロセスチャンバを多段に重ねているので、プロセスチャンバを上下方向に重ねるのはスペース的に困難であり、仮に重ねられたとしても、プロセスの実行に必須の装備をプロセスチャンバから離れた場所に配置しなければならなくなるという課題がある。
さらに、上部のプロセスチャンバと下部のプロセスチャンバを隙間なく配置した場合には、例えば、上部のプロセスチャンバの排気路長と下部のプロセスチャンバの排気路長が異なることによって、上部のプロセスチャンバと下部のプロセスチャンバとの排気性能に差が生じる。
本発明は、複数のプロセスチャンバを平面的に離散配置するシステム形態において、フットプリントを低減することができる基板処理システムを提供する。
また、本発明は、複数のプロセスチャンバを平面的に離散配置するシステム形態において、スループット(単位時間当たりの基板の処理枚数)の向上を図ることができる基板処理システムを提供する。
さらに、本発明は、複数のプロセスチャンバを離散配置する基板処理システムにおいて、プロセスの実行に必須の装備をプロセスチャンバの上部又は下部に配置でき、これによりプロセスチャンバの個体差を減少させることができる基板処理システムを提供する。
さらに、本発明的は、複数のプロセスチャンバを平面的に離散配置するシステム形態において、フットプリントの低減、スループットの向上およびプロセスチャンバの個体差減少の少なくとも2つを達成できる基板処理システムを提供する。
本発明の第1の態様は、複数のカセットを配置可能な複数のポートが設けられると共に、ポートに配置されたカセット内の基板を搬送する大気搬送ロボットが設けられるローダーモジュールと、前記ローダーモジュールと基板を処理するための少なくとも一つの第1のプロセスチャンバとの間で基板を搬送するための第1の搬送ユニットと、前記第1の搬送ユニットとは高さの異なる位置に設けられ、前記ローダーモジュールと基板を処理するための少なくとも一つの第2のプロセスチャンバとの間で基板を搬送するための第2の搬送ユニットと、を備え、前記第1の搬送ユニットは、前記大気搬送ロボットによって基板が搬送される第1のロードロックチャンバ、および前記第1のロードロックチャンバに搬送された基板を前記少なくとも一つの第1のプロセスチャンバに搬送する第1の真空搬送ロボットが設けられる第1のトランスファーチャンバを含み、前記第2の搬送ユニットは、前記大気搬送ロボットによって基板が搬送される第2のロードロックチャンバ、および前記第2のロードロックチャンバに搬送された基板を前記少なくとも一つの第2のプロセスチャンバに搬送する第2の真空搬送ロボットが設けられる第2のトランスファーチャンバを含み、前記少なくとも一つの第1のプロセスチャンバと前記少なくとも一つの第2のプロセスチャンバとは、上下方向に重なることなく、前記第1の搬送ユニットの少なくとも一部と前記第2の搬送ユニットの少なくとも一部とが、上下方向に重なる基板処理システムである。
本発明の第2の態様は、複数のカセットを配置可能な複数のポートが設けられると共に、ポートに配置されたカセット内の基板を搬送する大気搬送ロボットが設けられるローダーモジュールと、前記ローダーモジュールと基板を処理するための少なくとも一つの第1のプロセスチャンバとの間で基板を搬送するための第1の搬送ユニットと、を備え、前記第1の搬送ユニットは、前記大気搬送ロボットによって基板が搬送される第1のロードロックチャンバ、および前記第1のロードロックチャンバに搬送された基板を前記少なくとも一つの第1のプロセスチャンバに搬送する第1の真空搬送ロボットが設けられる第1のトランスファーチャンバを含み、前記ローダーモジュールには、前記第1の搬送ユニットとは高さの異なる位置に、前記ローダーモジュールと基板を処理するための少なくとも一つの第2のプロセスチャンバとの間で基板を搬送するための第2の搬送ユニットを連結可能な連結ポートが設けられ、前記第2の搬送ユニットは、前記大気搬送ロボットによって基板が搬送される第2のロードロックチャンバ、および第2のロードロックチャンバに搬送された基板を前記少なくとも一つの第2のプロセスチャンバに搬送する第2の真空搬送ロボットが設けられる第2のトランスファーチャンバを含み、前記第1の搬送ユニットの少なくとも一部と前記第2の搬送ユニットの少なくとも一部とが、上下方向に重なることが可能であり、少なくとも一つの前記第1のプロセスチャンバと少なくとも一つの前記第2のプロセスチャンバとは、上下方向に重ならないように配置可能である基板処理システムである。
本発明の第3の態様は、複数のカセットを配置可能な複数のポートが設けられると共に、ポートに配置されたカセット内の基板を搬送する大気搬送ロボットが設けられるローダーモジュールと、前記ローダーモジュールと基板を処理するための少なくとも一つの第1のプロセスチャンバとの間で基板を搬送するための第1の搬送ユニットと、前記第1の搬送ユニットとは高さの異なる位置に設けられ、前記ローダーモジュールと基板を処理するための少なくとも一つの第2のプロセスチャンバとの間で基板を搬送するための第2の搬送ユニットと、を備え、前記第1の搬送ユニットは、連通可能に設けられた第1のロードロックチャンバおよび第1のトランスファーチャンバを含み、前記第2の搬送ユニットは、連通可能に設けられた第2のロードロックチャンバおよび第2のトランスファーチャンバを含み、前記少なくとも一つの第1のプロセスチャンバと前記少なくとも一つの第2のプロセスチャンバとは、上下方向に重なることなく、前記第1の搬送ユニットの少なくとも一部と前記第2の搬送ユニットの少なくとも一部とが、上下方向に重なる基板処理システムである。
本発明の第4の態様は、複数のカセットを配置可能な複数のポートが設けられると共に、ポートに配置されたカセット内の基板を搬送する大気搬送ロボットが設けられるローダーモジュールと、前記ローダーモジュールに連結され、前記大気搬送ロボットによって基板が搬送されるロードロックチャンバと、前記ロードロックチャンバに搬送された基板を、処理を行うための少なくとも一つの第1のプロセスチャンバに搬送する第1の真空搬送ロボットが設けられる第1のトランスファーチャンバと、前記第1のトランスファーチャンバに連結される真空の連結チャンバと、前記連結チャンバに連結され、前記第1の真空搬送ロボットによって前記連結チャンバに搬送される基板を、処理を行うための少なくとも一つの第2のプロセスチャンバに搬送する第2の真空搬送ロボットが設けられる第2のトランスファーチャンバと、を備え、前記第1のトランスファーチャンバと前記第2のトランスファーチャンバは上下方向に高さの異なる位置に設けられ、連結チャンバ内に設けられた基板昇降機構により基板を相互に受け渡し可能とし、前記少なくとも一つの第1のプロセスチャンバと前記少なくとも一つの第2のプロセスチャンバとは、上下方向に重なることがない基板処理システムである。
本発明の第5の態様は、複数のカセットを配置可能な複数のポートが設けられると共に、ポートに配置されたカセット内の基板を搬送する大気搬送ロボットが設けられるローダーモジュールと、前記ローダーモジュールに連結され、前記大気搬送ロボットによって基板が搬送されるロードロックチャンバと、前記ロードロックチャンバに搬送された基板を、処理を行うための少なくとも一つのプロセスチャンバに搬送する真空搬送ロボットが設けられるトランスファーチャンバと、を備え、前記ロードロックチャンバまたは前記トランスファーチャンバの少なくとも一部が、前記ローダーモジュールに上下方向に重なる基板処理システムである。
本発明の第6の態様は、複数のカセットを配置可能な複数のポートが設けられると共に、ポートに配置されたカセット内の基板を搬送する大気搬送ロボットが設けられるローダーモジュールと、前記ローダーモジュールに連結され、前記大気搬送ロボットによって基板が搬送される第1のロードロックチャンバ、および前記第1のロードロックチャンバに連結され、前記第1のロードロックチャンバに搬送された基板を搬送する第1の真空搬送ロボットが設けられる第1のトランスファーチャンバを含む第1の搬送ユニットと、前記ローダーモジュールに連結され、前記大気搬送ロボットによって基板が搬送される第2のロードロックチャンバ、および前記第2のロードロックチャンバに連結され、前記第2のロードロックチャンバに搬送された基板を搬送する第2の真空搬送ロボットが設けられる第2のトランスファーチャンバを含む第2の搬送ユニットと、を備え、前記第1の搬送ユニットおよび前記第2の搬送ユニットは、互いに高さの異なる位置に設けられ、前記第1の搬送ユニットの少なくとも一部と前記第2の搬送ユニットの少なくとも一部が上下方向に重なり、前記第2のトランスファーチャンバが、前記第1のトランスファーチャンバよりも前記ローダーモジュールから離れた位置に配置される搬送モジュールである。
本発明の第7の態様は、複数のカセットを配置可能な複数のポートが設けられると共に、ポートに配置されたカセット内の基板を搬送する大気搬送ロボットが設けられるローダーモジュールと、前記ローダーモジュールに連結され、前記大気搬送ロボットによって基板が搬送される第1のロードロックチャンバ、および前記第1のロードロックチャンバに連結され、前記第1のロードロックチャンバに搬送された基板を搬送する第1の真空搬送ロボットが設けられる第1のトランスファーチャンバを含む第1の搬送ユニットと、を備え、前記ローダーモジュールには、前記第1の搬送ユニットとは高さの異なる位置に、基板を搬送するための第2の搬送ユニットを連結可能な連結ポートが設けられ、前記第2の搬送ユニットは、前記大気搬送ロボットによって基板が搬送される第2のロードロックチャンバ、および前記第2のロードロックチャンバに連結され、前記第2のロードロックチャンバに搬送された基板を搬送する第2の真空搬送ロボットが設けられる第2のトランスファーチャンバを含み、前記第1の搬送ユニットの少なくとも一部と前記第2の搬送ユニットの少なくとも一部が上下方向に重なることが可能であり、前記第2のトランスファーチャンバは、前記第1のトランスファーチャンバよりも前記ローダーモジュールから離れた位置に配置可能である搬送モジュールである。
本発明の第8の態様は、複数のカセットを配置可能な複数のポートが設けられると共に、ポートに配置されたカセット内の基板を搬送する大気搬送ロボットが設けられるローダーモジュールと、前記ローダーモジュールに連結され、前記大気搬送ロボットによって基板が搬送されるロードロックチャンバと、前記ロードロックチャンバに搬送された基板を搬送する真空搬送ロボットが設けられるトランスファーチャンバと、を備え、前記ロードロックチャンバまたは前記トランスファーチャンバの少なくとも一部が、前記ローダーモジュールに上下方向に重なる搬送モジュールである。
本発明の第9の態様は、複数のカセットを配置可能な複数のポートが設けられると共に、ポートに配置されたカセット内の基板を搬送する大気搬送ロボットが設けられるローダーモジュールと、前記ローダーモジュールに連結され、前記大気搬送ロボットによって基板が搬送される第1のロードロックチャンバ、および前記第1のロードロックチャンバに連結され、前記第1のロードロックチャンバに搬送された基板を搬送する第1の真空搬送ロボットが設けられる第1のトランスファーチャンバを含む第1の搬送ユニットと、前記ローダーモジュールに連結され、前記大気搬送ロボットによって基板が搬送される第2のロードロックチャンバ、および前記第2のロードロックチャンバに連結され、前記第2のロードロックチャンバに搬送された基板を搬送する第2の真空搬送ロボットが設けられる第2のトランスファーチャンバを含む第2の搬送ユニットと、を備え、前記第1の搬送ユニットおよび前記第2の搬送ユニットは、互いに高さの異なる位置に設けられ、前記第1の搬送ユニットの前記第1のトランスファーチャンバの少なくとも一部と前記第2の搬送ユニットの前記第2のロードロックチャンバの少なくとも一部が上下方向に重なり、前記第2の搬送ユニットの前記第2のトランスファーチャンバは、前記第1の搬送ユニットの前記第1のトランスファーチャンバよりも前記ローダーモジュールから離れた位置に配置される搬送モジュールである。
本発明の第10の態様は、複数のカセットを配置可能な複数のポートが設けられると共に、ポートに配置されたカセット内の基板を搬送する大気搬送ロボットが設けられるローダーモジュールと、前記ローダーモジュールに連結され、前記大気搬送ロボットによって基板が搬送される第1のロードロックチャンバ、および前記第1のロードロックチャンバに搬送された基板を搬送する第1の真空搬送ロボットが設けられる第1のトランスファーチャンバと含む第1の搬送ユニットと、を備え、前記第1のロードロックチャンバまたは前記第1のトランスファーチャンバの少なくとも一部が、前記ローダーモジュールに上下方向に重なり、前記ローダーモジュールには、前記第1の搬送ユニットとは高さの異なる位置に、基板を搬送するための第2の搬送ユニットを連結可能な連結ポートが設けられる搬送モジュールである。
本発明の第11の態様は、ローダーモジュール内の大気搬送ロボットが、ポートに配置されたカセット内の基板を第1のロードロックチャンバおよび第2のロードロックチャンバに搬送する大気搬送工程と、前記第1のロードロックチャンバに連結される第1のトランスファーチャンバ内の第1の真空搬送ロボットが、前記第1のロードロックチャンバに搬送される基板を少なくとも一つの第1のプロセスチャンバに搬送する第1の真空搬送工程と、前記第1の真空搬送工程と並行して行われ、前記第2のロードロックチャンバに連結される第2のトランスファーチャンバ内の第2の真空搬送ロボットが、前記第2のロードロックチャンバに搬送される基板を少なくとも一つの第2のプロセスチャンバに搬送する第2の真空搬送工程と、を備え、前記第1の真空搬送ロボットが基板を搬送する第1の搬送ルート及び前記第2の真空搬送ロボットが基板を搬送する第2の搬送ルートが、互いに高さの異なる位置に設けられ、前記第1の搬送ルートの少なくとも一部と前記第2の搬送ルートの少なくとも一部とが、上下方向に重なり、前記第2の真空搬送ロボットは前記第1の真空搬送ロボットよりも前記ローダーモジュールから離れた位置まで基板を搬送する基板処理方法である。
本発明の第12の態様は、複数のカセットを配置可能な複数のポートが設けられると共に、ポートに配置されたカセット内の基板を搬送する大気搬送ロボットが設けられるローダーモジュールと、前記ローダーモジュールと基板を処理するための少なくとも一つの第1のプロセスチャンバとの間で基板を搬送するための第1の搬送ユニットと、前記第1の搬送ユニットとは高さの異なる位置に設けられ、前記ローダーモジュールと基板を処理するための少なくとも一つの第2のプロセスチャンバとの間で基板を搬送するための第2の搬送ユニットと、を備え、前記第1の搬送ユニットは、前記大気搬送ロボットによって基板が搬送される第1のロードロックチャンバ、および前記第1のロードロックチャンバに搬送された基板を前記少なくとも一つの第1のプロセスチャンバに搬送する第1の真空搬送ロボットが設けられる第1のトランスファーチャンバを含み、前記第2の搬送ユニットは、前記大気搬送ロボットによって基板が搬送される第2のロードロックチャンバ、および前記第2のロードロックチャンバに搬送された基板を前記少なくとも一つの第2のプロセスチャンバに搬送する第2の真空搬送ロボットが設けられる第2のトランスファーチャンバを含み、前記少なくとも一つの第1のプロセスチャンバと前記少なくとも一つの第2のプロセスチャンバとは、上下方向に重なることなく、前記第1の搬送ユニットの少なくとも一部と前記第2の搬送ユニットの少なくとも一部とが、上下方向に重なる基板処理システム、を用いた半導体素子の製造方法である。
本発明によれば、従来の基板処理システムと比較して、単位面積当たりの処理効率および/または単位時間当たりの処理効率が向上した基板処理システムを提供することができる。
従来のマルチチャンバー式の基板処理システムの平面図である。 従来のクラスタ型の基板処理システムの平面図である。 本発明の第1の実施形態における基板処理システムの前面側の斜視図である。 上記基板処理システムの背面側の斜視図である。 上記基板処理システムの階層構造を示す平面図(図中(a)は全体図を示し、図中(b)は一層目の搬送ユニットを示し、図中(c)は二層目の搬送ユニットを示す)である。 上記基板処理システムの階層構造を示す垂直方向断面図(図中(a)は全体図を示し、図中(b)は一層目の搬送ユニットを示し、図中(c)は二層目の搬送ユニットを示す)である。 上記基板処理システムにおいて第1搬送ユニットおよび第2搬送ユニットの上下を反転させた比較例を示す斜視図である。 第1搬送ユニットおよび第2搬送ユニットの斜視図である。 ローダーモジュールの内部構造を示す斜視図である。 第1搬送ユニットを構成する第1ロードロックチャンバおよび第1トランスファーチャンバの斜視図である。 第2搬送ユニットを構成する第2ロードロックチャンバおよび第2トランスファーチャンバを示す斜視図である。 2つの第2ロードロックチャンバの断面図である。 第1搬送ユニットにおける基板の流れを示す斜視図である。 第2搬送ユニットにおける基板の流れを示す斜視図である。 第1の実施形態における基板処理システムに第3搬送ユニットを付加した例を示す斜視図である。 第3搬送ユニットを構成する第3ロードロックチャンバおよび第3トランスファーチャンバを示す斜視図である。 第1の実施形態における基板処理システムにストレージおよび小型のプロセスチャンバを付加した例を示すリア側の斜視図である。 従来のクラスタ型の基板処理システムと第1の実施形態における基板処理システムとのフットプリントを比較した平面図(プロセスチャンバの数が4個の場合、図中(a)はクラスタ型の基板処理システムを示し、図中(b)は第1の実施形態の基板処理システムを示す)である。 従来のクラスタ型の基板処理システムと第1の実施形態における基板処理システムとのフットプリントを比較した平面図(プロセスチャンバの数が6個の場合、図中(a)はクラスタ型の基板処理システムを示し、図中(b)は本発明の第1の実施形態の基板処理システムを示す)である。 第1の実施形態における基板処理システムのプロセスチャンバの周辺の空き領域を示す平面図である。 空き領域を有効利用して設計変更したプロセスチャンバの斜視図(図中(a)は設計変更前を示し、図中(b)は設計変更後を示す) 従来のクラスタ型の基板処理システムのスループットを説明するタイムチャートである。 第1の実施形態における基板処理システムのスループットを説明するタイムチャート 本発明の第2の実施形態における基板処理システムの平面図である。 本発明の第3の実施形態における基板処理システムの平面図である。 本発明の第4の実施形態における基板処理システムの平面図である。 本発明の第5の実施形態における基板処理システムを示す平面図(一部斜視図を含む)である。 本発明の第5の実施形態における基板処理システムに検査装置およびストレージを付加した例を示す斜視図である。 本発明の第5の実施形態における基板処理システムを示す斜視図である。 本発明の第5の実施形態における基板処理システムの連結されたトランスファーチャンバを示す斜視図である。 本発明の第6の実施形態における基板処理システムの平面図である。 本発明の第6の実施形態における基板処理システムの垂直断面図である。 本発明の第7の実施形態における基板処理システムの平面図である。
以下、添付の図面に基づいて、本発明の実施形態を詳細に説明する。
[実施形態1]
図3は、本発明の第1の実施形態における基板処理システムの構成(主に前面側のシステム構成)を示す。この基板処理システムは、複数のカセット(図示せず)を水平方向に並べて配置可能な複数たとえば6つのポート21−1〜21−6が設けられる細長い直方体形状のローダーモジュール22と、複数たとえば4つのプロセスチャンバ23−1,23−2,24−1,24−2とを備える。
ローダーモジュール22は、一方向(Y方向)に細長い直方体形状の大気搬送室25を備える。大気搬送室25の一側面には、複数のポート21−1〜21−6が横一列に並べて配置される。平面的に見たとき、大気搬送室25はこれら複数のポート21−1〜21−6の配列方向(Y方向)に細長く延びている。各々のポート21−1〜21−6には、複数枚の未処理の基板Wが収納された1つのカセットが載置またはセットされる。カセットは、オペレータによって各ポート21−1〜21−6の上に手動でセットされてもよいし、カセット搬送システムによって自動的に各ポート21−1〜21−6の上にセットされてもよい。カセット内の未処理の基板Wは、大気搬送室25内の大気搬送ロボットによって一枚ずつカセットから取り出される。プロセスチェンバ23−1,23−2,24−1,24−2で処理が行われた基板Wは、大気搬送室25の大気搬送ロボットによって一枚ずつカセットに戻される。
4つのプロセスチャンバ23−1,23−2,24−1,24−2は、ローダーモジュール22に近い方の少なくとも一つ(図示の例では2つ)の第1プロセスチャンバ23−1,23−2と、ローダーモジュール22から遠い方の少なくとも一つ(図示の例では2つ)の第2プロセスチャンバ24−1,24−2とに分けることができる。これら2つの第1プロセスチャンバ23−1,23−2および2つの第2プロセスチャンバ24−1,24−2はローダーモジュール22から平面的に展開される。すなわち、第1プロセスチャンバ23−1,23−2と第2プロセスチャンバ24−1,24−2とは、平面的に重なることはなく、平面的に離れた位置に配置される。
2つの第1プロセスチャンバ23−1,23−2のローダーモジュール22からの離間距離は互いに等しく、2つの第2プロセスチャンバ24−1,24−2のローダーモジュール22からの離間距離は互いに等しい。ローダーモジュール22から見て右側の第1プロセスチャンバ23−1および右側の第2プロセスチャンバ24−1は、ローダーモジュール22の長手方向(Y方向)と直交する方向(X方向)に一列に並んで配置される。ローダーモジュール22から見て左側の第1プロセスチャンバ23−2および左側の第2プロセスチャンバ24−2は、ローダーモジュール22の長手方向(Y方向)と直交する方向(X方向)に一列に並んで配置される。
第1プロセスチャンバ23−1,23−2および第2プロセスチャンバ24−1,24−2の各々は、成膜、エッチング、アッシング、酸化、窒化、ドーピング、拡散等の群から選ばれた少なくとも一つのプロセス、またはこれらの群から選ばれて組み合わされた複数のプロセスを実行するように構成される。たとえば、プラズマを用いてエッチング処理を行うプロセスチャンバの上部には、各種の制御装置、ガス供給系および高周波電力供給手段等が設けられ、その下部には、チャンバ内を真空排気する排気手段等が設けられる。
図4は、この基板処理システムの背面側の構成を示す。図4においては、第1および第2搬送ユニット28,31をわかり易く示すために、手前側の第1プロセスチャンバ23−1および手前側の第2プロセスチャンバ24−1が図示省略されている。ローダーモジュール22と2つの第1プロセスチャンバ23−1,23−2とは、第1ロードロックチャンバ26−1,26−2と、これらのロードロックチャンバに接続されている第1トランスファーチャンバ27とを介して連結される。ここで、第1ロードロックチャンバ26−1,26−2と第1トランスファーチャンバ27を第1の搬送ユニット28と呼ぶ。ローダーモジュール22と第2プロセスチャンバ24−1,242とは、第2ロードロックチャンバ29−1,29−2と、これらのロードロックチャンバに接続されている第2トランスファーチャンバ30とを介して連結される。ここで、第2ロードロックチャンバ29−1,29−2と第2トランスファーチャンバ30を第2の搬送ユニット31と呼ぶ。
第1および第2搬送ユニット28,31は、ローダーモジュール22の長手方向(Y方向)に直交する方向(X方向)に延びている。第2プロセスチャンバ24−1,24−2は第1プロセスチャンバ23−1,23−2よりもローダーモジュール22から離れた位置にあり、第2搬送ユニット31の奥行き方向(X方向)の長さは第1搬送ユニット28の奥行き方向(X方向)の長さよりも大きい。両搬送ユニット28,31の間では、第1搬送ユニット28が上に配置され、第2搬送ユニット31が下に配置される。
大気搬送室25内の大気搬送ロボットは、ポート21−1〜21−6の上にセットされたカセットから未処理の基板Wを1枚ずつ取り出し、取り出した基板Wを第1ロードロックチャンバ26−1,26−2のいずれかに搬入する。第1トランスファーチャンバ27内の第1真空搬送ロボットは、第1ロードロックチャンバ26−1または26−2に搬入された当該基板Wを第1プロセスチャンバ23−1または23−2に搬送する。当該基板Wを搬入した第1プロセスチャンバ23−1または23−2で所定の処理が終了すると、第1トランスファーチャンバ27内の第1真空搬送ロボットがその第1プロセスチャンバ23−1または23−2から処理済みの基板Wを取り出し、取り出した処理済みの基板Wを第1ロードロックチャンバ26−1または26−2に搬入する。大気搬送室25内の大気搬送ロボットは、その処理済みの基板Wを搬入した第1ロードロックチャンバ26−1または26−2から大気圧下で基板Wを取り出し、ポート21−1〜21−6のいずれかに載置されているカセットに収納する。ここで、第1真空搬送ロボットによる第1ロードロックチャンバ26−1,26−2のいずれかから第1プロセスチャンバ23−1,23−2のいずれかへの基板Wの流れを第1の搬送ルートと呼ぶ。
第1ロードロックチャンバ26−1,26−2は、一方で大気搬送室25に連結され、他方で第1トランスファーチャンバ27に連結される。この実施形態では、2つの第1ロードロックチャンバ26−1,26−2が、第1トランスファーチャンバ27の左右両側にそれぞれ設けられる。大気搬送室25の上面には、階段状の凹み25aが形成される。この凹み25aの上に、第1ロードロックチャンバ26−1,26−2の少なくとも一部(望ましくは全部)および第1トランスファーチャンバ27の一部が、大気搬送室25に上下方向に重なるように配置される。第1トランスファーチャンバ27の左右に連結される2つの第1ロードロックチャンバ26−1,26−2は、大気搬送室25の凹み25aの長手方向(Y方向)の両端部の壁面にも連結される。なお、第1ロードロックチャンバ26−1,26−2の片方だけが第1トランスファーチャンバ27の片側に設けられる構成も可能である。
第1トランスファーチャンバ27は平面形状が多角形(好ましくは、少なくとも6つの辺を有する多角形)に形成される。第1トランスファーチャンバ27の大気搬送室25の長手方向(Y方向)において相対向する2つの辺27aにゲートバルブを介して第1ロードロックチャンバ26−1,26−2がそれぞれ連結され、それら2つの辺27aに隣接して上記長手方向(Y方向)に対して斜めに向いている2つの辺27bにゲートバルブを介して2つの第1プロセスチャンバ23−1,23−2がそれぞれ連結される。第1トランスファーチャンバ27の一部(辺27a,27d)はローダーモジュール22に上下方向に重なっているものの、第1トランスファーチャンバ27の2つの辺27bは大気搬送室25とは上下方向に重ならない。大気搬送室25と第1プロセスチャンバ23−1,23−2との干渉を避けるためである。
第1トランスファーチャンバ27において、2つの第1プロセスチャンバ23−1,23−2がそれぞれ連結される2つの辺27bの間の一辺27c(上記長手方向(Y方向)と平行に延びている辺)には、大気搬送室25、第1プロセスチャンバ23−1,23−2、第1トランスファーチャンバ27等のメンテナンスを行う際に作業員が昇降するためのはしご33を架けることができる。はしご33は下側の第2トランスファーチャンバ30の上面と上側の第1トランスファーチャンバ27の辺27cとの間に架け渡される。第1トランスファーチャンバ27の上面には、開閉可能な蓋34が取り付けられる。作業員がはしごを登り第1トランスファーチャンバ27の上面に上がり、蓋34を開けて、第1トランスファーチャンバ27の内部(第1真空搬送ロボット等)の点検やメンテナンスを行うことができる。
第1トランスファーチャンバ27を支持するために2つの支持部材35,36が設けられる。第1支持部材35は、ローダーモジュール22の前面側から延びてきており、第2の支持部材36はローダーモジュール22の背面側に配置されている。図3に示すように、第1支持部材35は、ローダーモジュール22の前面側でポート21−3,21−4の間を垂直方向に床からまっすぐ上方に延びて、ローダーモジュール22の上でローダーモジュール22の凹み25aの壁を越えて折れ曲がり、折れ曲がった部分の先端部を第1トランスファーチャンバ27の辺27d付近に接続して第1トランスファーチャンバ27を吊り上げるように支持する。大気搬送室25はその容積が大きいので、運搬性、組み立て性を考慮して、長手方向の中央で(すなわち中央のポート21−3と21−4との間で)二分割される。二分割されることから中央のポート21−3と21−4との間にはデッドスペースが空く。第1支持部材35はこの分割のためのデッドスペースに配置される。図4に示すように、第2支持部材36は、左右一対の支柱36aおよびこれらの支柱36aの間に架け渡された梁36bを有する門形に形成され、第1トランスファーチャンバ27のローダーモジュール22からはみ出した部分に梁36bを当てて第1トランスファーチャンバ27を支持する。
上記のように、第1トランスファーチャンバ27は、第1ロードロックチャンバ26−1,26−2にそれぞれ接続する2つの辺27aと、第1プロセスチャンバ23−1,23−2にそれぞれ接続する2つの辺27bと、はしご33が架けられる辺27cと、第1支持部材35と接続する辺27dとを有する歪な6角形の平面形状を有している。ここで、各辺の長さは独立しており、図示の構成例では27a、27b、27c、27dの長さが異なっている。変形例として、第1トランスファーチャンバ27が他の多角形たとえば歪な8角形または正8角形の平面形状を有してもよい。
大気搬送室25内の大気搬送ロボットは、第1ロードロックチャンバ26−1,26−2に基板Wを受け渡すために、第1ロードロックチャンバ26−1,26−2の高さ以上まで、例えば2mの高さまで上昇する必要がある。入れ子式で伸縮自在にしたテレスコピック型のロボットには上昇する高さに限界があるので、大気搬送ロボットとして門型のロボットを使用するのが望ましい。門型のロボットを使用した場合、門型のフレーム(Z軸ガイド64)が大気搬送室25の凹んでいない部分25b内を長手方向にスライドする(図9参照)。大気搬送室25の外で第1の支持部材35を折り曲げ、第1支持部材35の先端部で第1トランスファーチャンバ27を吊り上げることで、第1支持部材35が門型ロボットの移動を妨げることがなくなる。また、第2支持部材36を門形に形成することで、第1トランスファーチャンバ27の下方にメンテナンススペースを空けることができる。
大気搬送室25内の大気搬送ロボットは、ポート21−1〜21−6に載置されたいずれかのカセットから未処理の基板Wを取り出し、その取り出した基板Wを第2ロードロックチャンバ29−1または29−2に搬入する。第2トランスファーチャンバ30内の第2真空搬送ロボットは、その未処理の基板Wを第2ロードロックチャンバ29−1または29−2から減圧下で第2プロセスチャンバ24−1または24−2に搬入する。第2プロセスチャンバ24−1または24−2で所定の処理が終了すると、第2トランスファーチャンバ30内の第2真空搬送ロボットが、処理済みの基板Wを第2プロセスチャンバ24−1または24−2から取り出し、その取り出した処理済みの基板Wを第2ロードロックチャンバ29−1または29−2に搬入する。大気搬送室25内の大気搬送ロボットは、大気圧下で第2ロードロックチャンバ29−1または29−2から処理済みの基板Wを取り出し、その取り出した処理済みの基板Wをポート21−1〜21−6上のいずれかのカセットに収納する。ここで、第2真空搬送ロボットによる第2ロードロックチャンバ29−1,29−2のいずれかから第2プロセスチャンバ24−1,24−2のいずれかへの基板Wの流れを第2の搬送ルートと呼ぶ。
第2プロセスチャンバ24−1,24−2は第1プロセスチャンバ23−1,23−2よりもローダーモジュール22から離れた位置に配置され、第2搬送ユニット31の奥行方向(X方向)の長さは第1搬送ユニット28の奥行方向(X方向)の長さよりも長い。このように、第1搬送ユニットおよび第2搬送ユニットは互いに形状は異なるが、第1トランスファーチャンバ27内の第1真空搬送ロボットと第2トランスファーチャンバ30内の第2真空搬送ロボットとは、ほぼ同様な搬送動作を行う。すなわち、第1および第2真空搬送ロボットのいずれも、ロードロックチャンバから未処理の基板Wを取り出してプロセスチャンバにその基板を渡し、プロセスチャンバから処理済みの基板Wを取り出してロードロックチャンバにその基板Wを渡す、という搬送動作を行う。しかも、ローダーモジュールに配置される大気搬送ロボットも、大気圧下ではあるが、カセットとロードロックチャンバとの間で真空搬送ロボットと似たような搬送動作を行っている。これは、第1および第2搬送ユニット28,31の間で、ロードロックチャンバ、トランスファーチャンバ、およびプロセスチャンバを、ほぼ同様な位置関係に配置できたから可能になったことであり、本を正せば、第1ロードロックチャンバ26−1,26−2の少なくとも一部および第1トランスファーチャンバ27の一部をローダーモジュール22に上下方向に重ねることによって可能になったことである。これによって、第2搬送ユニット31において第2ロードロックチャンバ29−1,29−2に基板を移動させる移動ステージを設ける必要がなくなったり、第1および第2トランスファーチャンバ27,30間で互換性のある真空搬送ロボットを使用できたりするという効果をもたらす。これら(第1ロードロックチャンバ26−1,26−2および第1トランスファーチャンバ27)をローダーモジュール22に重ねることの意義は大きい。
第2ロードロックチャンバ29−1,29−2は、大気搬送室25の背面側の側面と第2トランスファーチャンバ30の一辺30aとに連結される。この実施形態では、一対の第2ロードロックチャンバ29−1,29−2が上下に重なるように設けられる(図12参照)。もっとも、第2ロードロックチャンバ29−1,29−2の一方のみが設けられてもよい。
第2トランスファーチャンバ30は平面形状が多角形(好ましくは、8つの辺を有する多角形)に形成される。その多角形の一つの辺30a(ローダーモジュール22と対向する辺)にそれぞれゲートバルブを介して上下2つの第2ロードロックチャンバ29−1,29−2が連結され、他の2つの辺30b(上記辺30aと反対側でローダーモジュール22の長手方向(Y方向)に対して斜めに向いている辺)にそれぞれゲートバルブを介して2つの第2プロセスチャンバ24−1,24−2が連結される。2つの辺30bの間で上記長手方向(Y方向)と平行に延びている一辺30cには、第2プロセスチャンバ24−1,24−2や第2トランスファーチャンバ30等をメンテナンスするためのはしご37を設けることができる。はしご37は床38と第2トランスファーチャンバ30との間に架け渡される。第2トランスファーチャンバ30の上面には、開閉可能な蓋39が取り付けられる。作業員がはしご37を登り、蓋39を開けて、第2のトランスファーチャンバ30の内部(第2真空搬送ロボット等)をメンテナンスする。さらに、第1トランスファーチャンバ27をメンテナンスするときは、作業員が第2トランスファーチャンバ30上を移動し、第1トランスファーチャンバ27に架け渡されるはしご33を登る。
第2トランスファーチャンバ30および第2ロードロックチャンバ29−1,29−2は、支持部材40,41によって、支持される。第1支持部材41は、ローダーモジュール22の背面側に沿って床面から上方に延びて、第2ロードロックチャンバ29−1,29−2を支持する。第2支持部材40は、左右一対の支柱40aおよびこれらの支柱40aの間に水平に架け渡される梁40bとを有する門形に形成され、第2トランスファーチャンバ30を梁40bの上に載せて支持する。第1支持部材41をローダーモジュール22の背面側に沿わせ、第2支持部材40を門形に形成することで、第2トランスファーチャンバ30の下方にメンテナンススペースを空けることができる。
第2トランスファーチャンバ30は、第2ロードロックチャンバ29−1,29−2に接続する1つの辺30aと、第2プロセスチャンバ24−1,24−2にそれぞれ接続する2つの辺30bと、はしご37が架けられる辺30cと、梁40bと交差する2つの辺30dと、第1プロセスチャンバ23−1,23−2との干渉を避けるための2つの斜めの辺30eとを有する歪な8角形の平面形状を有している。ここで、各辺の長さは独立しており、図示の構成例では30a、30b、30c、30d、30eの長さが異なっている。
図示の構成例では、第1トランスファーチャンバ27が上記のような歪な6角形の平面形状を有し、かつ第2トランスファーチャンバ30が上記のような歪な8角形の平面形状を有することにより、装置全体のフットプリントの可及的な縮小化が図られている。なお、第1トランスファーチャンバ27の辺27bに接続される第1プロセスチャンバ23−1,23−2と第2トランスファーチャンバ30の辺30bに接続される第2プロセスチャンバ24−1,24−2との間に人間が通るのに十分な(610mm以上の)離間距離も確保することができる。
上述のように、第1搬送ユニット28は第2搬送ユニット31よりも高い位置にある。第1プロセスチャンバ23−1,23−2が据え付けられる据付面の高さが、第2プロセスチャンバ24−1,24−2が据え付けられる据付面の高さよりも高くなるように、第1プロセスチャンバ23−1,23−2は床38上に設けられた台42の上に載せられる。これにより、第1プロセスチャンバ23−1,23−2および第2プロセスチャンバ24−1,24−2に、ほぼ同一形状のプロセスチャンバを使用できる。台42の内部には、ローダーモジュール22を制御するための制御装置が収納されてもよい。
図5はこの基板処理システムの階層毎の平面図を示し、図6はこの基板処理システムの階層毎の垂直方向の断面図を示す。図5および図6において、図中(a)は基板処理システムの全体図を示し、図中(b)はローダーモジュール22に接続される上層の第1搬送ユニット28および第1プロセスチャンバ23−1,23−2を示し、図中(c)はローダーモジュール22に接続される下層の第2搬送ユニット31および第2プロセスチャンバ24−1,24−2を示す。
図5(b)に示すように、第1搬送ユニット28の第1トランスファーチャンバ27には、2つの第1プロセスチャンバ23−1,23−2が連結される。第1プロセスチャンバ23−1,23−2の各々の中心と第1トランスファーチャンバ27の中心とを結ぶ線L1は、その延長線上で、大気搬送室25の長手方向すなわち複数のポート21−1〜21−6の配列方向(Y方向)と平行な線L2に対して鋭角で交差する。線L1と大気搬送室25の長手方向(Y方向)線L2とのなす角度θは例えば40°〜50°に設定される。この線L1を第1トランスファーチャンバ27の反対側に延長した線上には、第1プロセスチャンバ23−1,23−2を背面側から点検するための点検スペース23cが設けられる。2つの第1プロセスチャンバ23−1,23−2は、大気搬送室25の長手方向(Y方向)に直交する線L3に関して左右対称に配置されるのが好ましい。そして、2つ
の第1プロセスチャンバ23−1,23−2のそれぞれの中心と第1トランスファーチャンバ27の中心とを結んだ線L1によってV字形状が形成され、第1プロセスチャンバ23−1,23−2は線L1の向きに配置される。そして、このV字ラインに沿って第1プロセスチャンバ23−1,23−2に対する基板の搬送(出し入れ)が行われる。
2つの第1プロセスチャンバ23−1,23−2の間でローダーモジュール22の長手方向(Y方向)と平行に延びる第1トランスファーチャンバ27の一辺27cには、何も連結されておらず、2つの第1プロセスチャンバ23−1,23−2の間には隙間Kが空く。この隙間Kは、図6(c)に示すように、第2搬送ユニット31を拡張するためのスペースとして利用され、またメンテナンスのための通路として利用される。大気搬送室25と第1ロードロックチャンバ26−1,26−2との間にはゲートバルブ51が設けられ、第1ロードロックチャンバ26−1,26−2と第1トランスファーチャンバ27との間にはゲートバルブ52が設けられる。第1トランスファーチャンバ27と第1プロセスチャンバ23−1,23−2との間にはゲートバルブ53が設けられる。第1トランスファーチャンバ27内には、第1真空搬送ロボット56が設けられる。第1真空搬送ロボット56は、減圧下で、第1ロードロックチャンバ26−1,26−2と第1プロセスチャンバ23−1,23−2との間で基板Wを搬送する。
図5(c)に示すように、第2搬送ユニット31の第2トランスファーチャンバ30には、2つの第2プロセスチャンバ24−1,24−2が連結される。第2プロセスチャンバ24−1,24−2の中心と第2トランスファーチャンバ30の中心とを結ぶ線L1は、その延長線上で、大気搬送室25の長手方向すなわち複数のポート21−1〜21−6の配列方向(Y方向)と平行な線L2に対して鋭角で交差する。線L1と大気搬送室25の長手方向L2とのなす角度は例えば40°〜50°に設定される。この線L1を第2トランスファーチャンバ30の反対側に延長した線上には、第2プロセスチャンバ24−1,24−2を背面側からメンテナンスするためのメンテナンススペース24cが設けられる。2つの第2プロセスチャンバ24−1,24−2は、大気搬送室25の長手方向(Y方向)に直交する線L3に関して左右対称に配置される。そして、2つの第2プロセスチャンバ24−1,24−2のそれぞれの中心と第2トランスファーチャンバ30の中心とを結んだ線L1によってV字形状が形成され、第2プロセスチャンバ24−1,24−2は線L1の向きに配置される。そして、このV字ラインに沿って第2プロセスチャンバ24−1,24−2に対する基板Wの搬送(出し入れ)が行われる。
2つの第2プロセスチャンバ24−1,24−2の間でローダーモジュール22の長手方向(Y方向)と平行に延びる第2トランスファーチャンバ30の一辺30cには、何も連結されておらず、2つの第2プロセスチャンバ24−1,24−2の間には隙間Kが空く。この隙間Kは、後述する第3搬送ユニットや検査装置等を拡張・連結するためのスペースとして利用され、またメンテナンスのための通路として利用される。大気搬送室25と第2ロードロックチャンバ29−1,29−2との間にはゲートバルブ54が設けられ、第2ロードロックチャンバ29−1,29−2と第2トランスファーチャンバ30との間にはゲートバルブ55が設けられる。第2トランスファーチャンバ30と第2プロセスチャンバ24−1,24−2との間にはゲートバルブ57が設けられる。第2トランスファーチャンバ30内には、第2真空搬送ロボット58が設けられる。第2真空搬送ロボット58は、減圧下で、第2ロードロックチャンバ29−1,29−2と第2プロセスチャンバ24−1,24−2との間で基板Wを搬送する。
本実施形態によれば、第1プロセスチャンバ23−1,23−2が大気搬送室25の長手方向(Y方向)と平行な線L2に対して鋭角(の向き)に配置され、第2プロセスチャンバ24−1,24−2が大気搬送室25の長手方向(Y方向)と平行な線L2に対して鋭角(の向き)に配置されるので、第1プロセスチャンバ23−1,23−2および第2プロセスチャンバ24−1,24−2を大気搬送室25の長手方向(Y方向)に平行に配置する場合に比べて、基板処理システムの横幅を縮めることができる。2つの第1プロセスチャンバ23−1,23−2および2つの第2プロセスチャンバ24−1,24−2をそれぞれV字形状に配置することでより、基板処理システムの横幅を縮めることができる。
段階的な投資を可能にするために、図6(b)に示す形態、または図6(c)に示す形態のみの基板搬送システムとしてもよい。第1搬送ユニット28および第2搬送ユニット31が独立しているので、どちらか一方でも基板搬送システムは成立する。そして、後から図6(b)に示す形態に図6(c)に示す形態を付加してもよいし、図6(c)に示す形態に図6(b)に示す形態を付加してもよい。この場合、ローダーモジュール22には、後から第1搬送ユニット28または第2搬送ユニット31を連結可能な連結ポートが設けられている。
図6(b)の一点鎖線L6は、第1トランスファーチャンバ27の第1真空搬送ロボット56が第1ロードロックチャンバ26−2から第1プロセスチャンバ23−2まで基板を搬送する高さを示す。第1真空搬送ロボット56は、第1ロードロックチャンバ26−2から第1プロセスチャンバ23−2まで(またはその逆方向に)ほぼ一定の高さで基板Wを搬送する。図6(c)の一点鎖線L7は第2真空搬送ロボット58が第2ロードロックチャンバ29−2から第2プロセスチャンバ24−2まで(またはその逆方向に)基板Wを搬送する高さを示す。第2真空搬送ロボット58は、第2ロードロックチャンバ29−2から第2プロセスチャンバ24−2まで(またはその逆方向に)ほぼ一定の高さで基板を搬送する。図6(b)に示す第1真空搬送ロボット56が基板Wを搬送する高さは、図6(c)に示す第2真空搬送ロボット58が基板を搬送する高さよりも高い。第1搬送ユニット28と第2搬送ユニット31の高さの違いに対応するために、第1プロセスチャンバ23−2は台42の上に載せられる。
本実施形態によれば、図6(b)に示す上の階層の第1搬送ユニット28と、図6(c)に示す下の階層の第2搬送ユニット31とが互いに干渉または依存することなく各々独立して基板Wを搬送するので、それぞれの搬送ユニット28,31に接続されている複数のプロセスチャンバ23−1,23−2,24−1,24−2内の処理の状況に応じて高い搬送効率でローダーモジュール22との間で搬送ができる。このため、従来の単一ルートしか持ちえないシステムに比較してスループット、すなわちシステム全体としての単位時間当たりの基板Wの処理枚数を高めることができる。また、第1真空搬送ロボット56と第2真空搬送ロボット58とが独立しているので、どちらか一方が故障しても、残りの一つの真空搬送ロボット56,58を作動させることができ、基板処理システム全体が停止することがない。
また、階層構造を採用し、第1および第2搬送ユニット28,31を上下の方向に重ねることで、システム全体としてのフットプリント(すなわち占有面積)の縮小を図ることができるという優れた効果を同時に達成することができる。階層構造は、上下方向に重なるロードロックチャンバ26−1,26−2,29−1,29−2およびトランスファーチャンバ27,30の上下方向の厚さを小さくする技術によってもサポートされている。ロードロックチャンバ26−1,26−2,29−1,29−2の内部に搬送ロボットを設けないことで、ロードロックチャンバ26−1,26−2,29−1,29−2の体積を最小化することや、厚さを小さくすることができ、階層構造に重ねても全体の高さを抑えることができる。さらに、ロードロックチャンバ26−1,26−2,29−1,29−2の体積を最小化することで、大気圧と真空とを切り替えるために圧力を昇降させる時間の短縮を図ることが出来る。トランスファーチャンバ27,30においては、採用する真空搬送アームの高さ方向の寸法を抑えることで、階層構造に重ねても全体の高さを抑えることが可能になる。
さらに、第1ロードロックチャンバ26−1,26−2の少なくとも一部および/または第1トランスファーチャンバ27の少なくとも一部をローダーモジュール22に上下方向に重ねることで、基板処理システムの奥行き寸法を小さくすることができ、フットプリントをより低減できる。また、第1搬送ユニット28がローダーモジュール22の上下方向に重なることで、第2搬送ユニット31をローダーモジュール22に近づけて配置することができるため、大気搬送室25の大気搬送ロボットと第2トランスファーチャンバ30内の第2真空搬送ロボット58とが直接的に第2ロードロックチャンバ29−1,29−2を介して基板Wを受け渡すことが可能となる。さらに、第2ロードロックチャンバ29−1,29−2内には後述する基板の移動機構等を設けることなく、容積を最小に保つことが可能になる。
基板Wとして半導体ウェハを処理する場合、ウェハの口径を例えば300mmから例えば450mmに拡大することが要請されている。ウェハの口径に合わせて基板処理システムの大きさ(サイズ)を単純に1.5倍にすると、設置面積は2.25倍になってしまう。大口径のウェハを処理する場合、フットプリントを低減することには大きな価値がある。
図6(a)〜(c)に採用されているプロセスチャンバ23−1,23−2,24−1,24−2は、図示されているように、プロセスを実行する真空領域を囲む真空容器v自体は縦方向に小さいが、真空容器vを囲む筐体は縦方向に長く、他のプロセスチャンバ23−1,23−2,24−1,24−2と縦方向に積み重ねることが難しい。プロセスチャンバ23−1,23−2,24−1,24−2の上部に設けることが好ましい装備としては、プラズマ生成に必要な高周波電源、マイクロ波供給装置、マッチング回路、ガス供給装置等が挙げられる。下部に設けることが好ましい装備としては、真空排気のためのターボ分子ポンプ、真空ポンプ、高周波電源等が挙げられる。これらが真空容器vを囲む筐体の上下に設けられ、縦長に空間を専有し、全体としてプロセスチャンバ23−1,23−2,24−1,24−2を形成している。このようにプロセスチャンバ23−1,23−2,24−1,24−2が上下方向の空間を専有する構成を採用することで、装備の最短な配置をとることができ、プロセスの実行に際してプロセスチャンバ23−1,23−2,24−1,24−2間の機差を少なくすることを可能としている。とりわけ、現在の要請技術である450mmウェハに対して22nmデザインルールでデバイスを形成する場合のプロセス条件を再現性良く実現するためには、係るプロセスチャンバが好ましい。
さらに、長さが短い第1搬送ユニット28を上に、長さが長い第2搬送ユニット31を下に配置することで、第1搬送ユニット28の第1トランスファーチャンバ27と第2搬送ユニット31の第2ロードロックチャンバ29−1,29−2は重なるが、第1トランスファーチャンバ27と第2トランスファーチャンバ30とは重ならないようにできる。このため、第1トランスファーチャンバ27および第2トランスファーチャンバ30のメンテナンスが容易になる。
図7は、比較例として、第1搬送ユニット28および第2搬送ユニット31の上下を反転させた例を示す。上下を反転させると、第1トランスファーチャンバ27の上方に第2ロードロックチャンバ29−1,29−2が配置されるので、第1トランスファーチャンバ27のメンテナンス用の蓋を開くことができなくなるが、第1搬送ユニット28と第2搬送ユニット31の上下を反転させて構成することも可能である。この場合でも、従来技術と比較すると、フットプリントを抑えると共に高スループットが可能である。
図8は、第1および第2搬送ユニット28,31の全体構成の概略斜視図を示す。大気搬送ロボット60はローダーモジュール22に沿って設けられたポート21上のいずれかのカセットにアクセスし、そのカセットに対して基板Wの出し入れを行えるスカラ型の搬送アームを備えている。スカラ型のアームは、図示しない移動機構によって、大気搬送室を上下方向(Z方向)および長手方向(Y方向)に移動する。第1ロードロックチャンバ26−1,26−2および第2ロードロックチャンバ29−1,29−2は、大気搬送ロボット60がアクセスできる位置に配置されている。大気搬送ロボット60は大気圧下で第1ロードロックチャンバ26−1,26−2に基板Wを搬送する。第1ロードロックチャンバ26−1,26−2に搬入された基板Wは、減圧下で第1真空搬送ロボット56によって第1プロセスチャンバ23−1,23−2のいずれかに搬送される。また、大気搬送ロボット60は、第2ロードロックチャンバ29−1,29−2にも基板Wを搬送する。第2ロードロックチャンバ29−1,29−2のいずれかに搬送された基板Wは、減圧下で第2真空搬送ロボット58によって第2のプロセスチャンバ24−1,24−2のいずれかに搬送される。
図9は、大気搬送室25および大気搬送ロボット60の斜視図を示す。図9には、スカラ型のロボットの替わりに門型のロボットを使用した例が示されている。大気搬送室25はポート21の配列方向に細長い立方体形状に形成される。大気搬送室25の上面には、第1ロードロックチャンバ26−1,26−2および第1トランスファーチャンバ27を載せるための凹み25aが形成される。大気搬送室25の上部には図示しないFFUが設置され、大気搬送室25には空気のダウンフローが形成される。
大気搬送室25には、2系統の第1搬送ユニット28および第2搬送ユニット31が連結される。大気搬送ロボット60が一台だけであると、大気搬送ロボット60の搬送処理が間に合わない場合がある。このため、大気搬送室25の中央から長手方向(Y方向)の両側に2台の大気搬送ロボット60−1,60−2を設ける。大気搬送室25の長手方向(Y方向)の中央には、基板Wのノッチ等を認識して基板Wのアライメント(位置決め)を行うオリエンタ61が設けられる。オリエンタ61の下方には、第2ロードロックチャンバ29−1,29−2に接続される連結口62が設けられる。2台の大気搬送ロボット60−1,60−2は、いずれも中央のオリエンタ61および第2ロードロックチャンバ29−1,29−2にアクセスできる。大気搬送室25の長手方向(Y方向)に分離して設けられる2つの第1ロードロックチャンバ26−1,26−2に対しては、各ロードロックチャンバに近い方の大気搬送ロボット60−1,60−2のみがそれぞれアクセスする。すなわち、ロードロックチャンバ26−1に対しては大気搬送ロボット60−1のみがアクセスし、ロードロックチャンバ26−2に対しては大気搬送ロボット60−2のみがアクセスする。
図9より、門型の大気搬送ロボット60−1,60−2は、大気搬送室25の長手方向(Y方向)と平行に延びるY軸ガイド63、および垂直方向(Z方向)に延びるZ軸ガイド64を備える。これらY軸ガイド63およびZ軸ガイド64によって、基板Wは大気搬送室25を長手方向(Y方向)および上下方向(Z方向)に自在に移動させられる。Z軸ガイド64には、スカラ型ロボットと同様の役割を行うスライド台65が取り付けられる。スライド台には、基板Wを保持する図示しないアームが取り付けられている。アームがスライド台をスライドすることによって、基板Wをポート21−1〜21−6や第1および第2ロードロックチャンバ26−1,26−2,29−1,29−2に受け渡すことができる。
2台の大気搬送ロボット60−1,60−2がそれぞれ担当するポート21−1〜21−6は予め決められている。大気搬送ロボット60−1,60−2はポート21−1〜21−6に配置されたカセットに対する基板Wの出し入れを行うとともに、基板Wをオリエンタ61に載せる。オリエンタ61でアライメントされた基板は、大気搬送ロボット60−1,60−2のいずれかによって取り出され、第1ロードロックチャンバ26−1,26−2または第2ロードロックチャンバ29−1,29−2のいずれかに搬送される。ここで、大気搬送ロボット60−1,60−2の一方が基板Wをオリエンタ61に載せた後、大気搬送ロボット60−1,60−2の他方がオリエンタ61から当該基板を取り出すようにしてもよい。
本実施形態によれば、ローダーモジュール22を構成する大気搬送室25の長手方向(Y方向)に沿って移動し、カセット21の中から未処理の基板Wを取り出して、ロードロックチャンバ26−1,26−2,29−1,29−2のいずれかに搬送する大気搬送ロボット60−1,60−2が設けられている。大気搬送室25は、複数のポート21−1〜21−6の配列方向(Y方向)に細長く延びているので、搬送の効率化とスループットの向上を図るために、大気搬送室25内の搬送エリアを長手方向(Y方向)でその中央から左右に2分割し、各々独立して基板Wを搬送できる2つの大気搬送ロボット60−1,60−2を大気搬送室25の左右両側の搬送エリアにそれぞれ設けることができる。たとえば6個のカセットがポート21−1〜21−6にそれぞれ載置される場合、左半分の3台のポート21−4〜21−6のカセットに対する基板Wの出し入れを、左側に配置した大気搬送ロボット60−2が担当して、右半分の3台のポート21−1〜21−3のカセットに対する基板Wの出し入れを、右側に配置した大気搬送ロボット60−1が担当することで、搬送効率を向上させることが可能である。さらに、中央のポート21−3,21−4上に載置される2つのカセットについては、左右の大気搬送ロボット60−1,60−2のいずれもアクセスできるようにすることで、ロードロックチャンバ26−1,26−2,29−1,29−2のいずれにも搬送することができ、また、処理済みの基板Wがロードロックチャンバ26−1,26−2,29−1,29−2のいずれを経由しても、その処理済みの基板Wをポート21−3,21−4上の共通カセットに収納することができる。さらに、上階層の搬送ユニットを経由した基板の処理と下階層の搬送ユニットを経由した基板の処理とを、左側3台のポート21−1〜21−3上のカセットと右側3台のポート21−4〜21−6上のカセットとで切り分ける選択や、ポート21−3,21−4上の共通カセットから基板Wを取り出し、処理済み基板Wを共通カセットに収納する選択等は、制御装置のソフトウェアの指示により行われる。
図10は、第1ロードロックチャンバ26−1,26−2および第1トランスファーチャンバ27の斜視図を示す。平面多角形に形成される第1トランスファーチャンバ27の二辺27aには、それぞれゲートバルブ52を介して2つの第1ロードロックチャンバ26−1,26−2が連結される。
第1ロードロックチャンバ26−1,26−2は、真空引きと大気圧復帰が繰り返し行われる小部屋からなる。第1ロードロックチャンバ26−1,26−2には、真空引きするための排気装置が接続されると共に、大気圧復帰するための窒素ガスまたは空気のパージガス供給系が接続される。圧力を制御するために、第1ロードロックチャンバ26−1,26−2内の圧力は圧力計によって測定される。真空引きと大気圧復帰を容易に行えるように、第1ロードロックチャンバ26−1,26−2の容積は必要な機能を満たした上でできるだけ小さく設定される。第1ロードロックチャンバ26−1,26−2と大気搬送室25とはそれぞれゲートバルブ51を介して連結される。
第1ロードロックチャンバ26−1,26−2には、基板Wを支持するリフターおよびこのリフターを昇降させるリフタードライブ(図示せず)が設けられる。大気搬送ロボット60−1,60−2や第1真空搬送ロボット56が第1ロードロックチャンバ26−1,26−2内に基板Wを搬入するとき、リフターは空の状態で下降している。基板Wの搬入が完了すると、リフターが上昇し、大気搬送ロボット60−1,60−2または第1真空搬送ロボット56から基板Wを受け取る。これとは逆に、大気搬送ロボット60−1,60−2または第1真空搬送ロボット56が第1ロードロックチャンバ26−1,26−2から基板Wを取り出すときは、リフターは基板Wを保持した状態で上昇している。大気搬送ロボット60−1,60−2または第1真空搬送ロボット56が第1ロードロックチャンバ26−1,26−2内にエンドエフェクタを入れた段階で、リフターを下降させ、基板Wをリフターから大気搬送ロボット60−1,60−2または第1真空搬送ロボット56に渡す。第1ロードロックチャンバ26−1,26−2、排気装置、パージガス供給系、圧力計、リフター、リフタードライブによって第1ロードロックモジュールが構成される。第1ロードロックモジュールはさらに、加熱・冷却装置を備えていてもよい。
第1トランスファーチャンバ27の内部は排気装置によって真空引きされている。第1トランスファーチャンバ27の内部を清掃したり、第1真空搬送ロボット56を点検したりするときに、蓋34(図4参照)が開けられる。第1トランスファーチャンバ27の内部には、第1真空搬送ロボット56が設けられる。第1トランスファーチャンバ27および第1真空搬送ロボット56によって第1トランスファーモジュールが構成される。
第1真空搬送ロボット56は、一対の蛙足式の搬送機構を備える。図5(a)に示すように、各蛙足式の搬送機構は、トランスファーチャンバ27の中心の回りを回転駆動される第1および第2駆動アーム143,144と、第1駆動アーム143の先端に回転可能に連結される第1リンク145と、第2駆動アーム144の先端に回転可能に連結される第2リンク146と、第1および第2リンク145,146の先端に回転可能に連結される保持プレート147と、を備える。第1および第2駆動アーム143,144、第1および第2リンク145,146並びに保持プレートによって蛙の足のようなひし形のリンク機構が構成される。一対の蛙足式の搬送機構はトランスファーチャンバ27の中心を含んだ線に関して左右対称に形成される。第1真空搬送ロボット56は、一対の蛙足式の搬送機構を昇降させるZ軸移動機構を備えてもよい。
モータによって第1および第2駆動アーム143,144を反対方向に回転させると、一方の蛙足式の搬送機構が伸び、一方の保持プレート147が放射方向に移動する。一方の保持プレート147に保持された基板は、第1トランスファーチャンバ27のスリットから飛び出し、第1ロードロックチャンバ26−1,26−2または第1トランスファーチャンバ27に入る。このとき、他方の蛙足式の搬送機構は形状を殆ど変化させることなく、保持プレート147が僅かに第1トランスファーチャンバ27の中心に接近するだけとなる。モータによって第1および第2駆動アーム143,144を同一方向に回転させると、一対の蛙足式の搬送機構が水平面内を旋回し、保持プレート147に保持された基板Wも水平面内を旋回する。第1真空搬送ロボット56には、蛙足式の搬送機構に限られることはなく、スカラ型ロボットや円筒座標系のロボットを使用してもよい。第1真空搬送ロボット56は、一対の蛙足式の搬送機構を昇降させるZ軸移動機構を備えてもよい。
第1ロードロックモジュールおよび第1トランスファーモジュールの動作は以下のとおりである。図9に示すように、大気搬送ロボット60−1または60−2が基板Wを第1ロードロックチャンバ26−1または26−2に搬入すると、第1ロードロックチャンバ26−1または26−2の大気搬送室25側のゲートバルブ51が閉じられ、第1ロードロックチャンバ26−1または26−2は真空引きされる。第1ロードロックチャンバ26−1または26−2が真空状態になると、第1トランスファーチャンバ27側のゲートバルブ52が開けられ、第1ロードロックチャンバ26−1または26−2と第1トランスファーチャンバ27とが連通する。第1真空搬送ロボット56は、減圧下で、第1ロードロックチャンバ26−1または26−2から未処理の基板Wを第1トランスファーチャンバ27内に引き入れ(搬出し)、その搬出した未処理の基板Wを第1プロセスチャンバ23−1または23−2に搬入する。
第1プロセスチャンバ23−1または23−2で所定の処理が終わると、第1真空搬送ロボット56は、第1プロセスチャンバ23−1または23−2から処理済みの基板Wを受け取り、第1トランスファーチャンバ27内に引き入れた後、次の処理を行う第1プロセスチャンバ23−1,23−2の他方または第1のロードロックチャンバ26−1,26−2のいずれかに基板Wを渡す。第1ロードロックチャンバ26−1または26−2に基板Wが渡されたら、第1トランスファーチャンバ27側のゲートバルブ52が閉じられる。しかる後、基板Wを搬入した第1のロードロックチャンバ26−1または26−2が大気圧に復帰してから、大気搬送室25側のゲートバルブ51が開けられる。大気搬送ロボット60−1または60−2は、大気圧下で、処理済みの基板Wを第1ロードロックチャンバ26−1または26−2から取り出し、取り出した基板Wをポート21−1〜21−6上のいずれかのカセットに戻す。
図11は、第2ロードロックチャンバ29−1,29−2及び第2のトランスファーチャンバ30の斜視図を示す。平面多角形に形成される第2のトランスファーチャンバ30のローダーモジュール22と対向する一辺30aには、上下に2つのゲートバルブ71,72を介して上下に2つの第2ロードロックチャンバ29−1,29−2が連結される。第2ロードロックチャンバ29−1,29−2は、真空引きと大気圧復帰が繰り返し行われる小部屋からなる。第2ロードロックチャンバ29−1,29−2には、真空引きするための排気装置が接続されると共に、大気圧復帰するための窒素ガスまたは空気のパージガス供給系が接続される。圧力を制御するために、第2ロードロックチャンバ29−1,29−2内の圧力は圧力計によって測定される。真空引きと大気圧復帰を容易に行えるように、第2ロードロックチャンバ29−1,29−2の容積は必要な機能を満たした上でできるだけ小さく設定される。図12に示すように、第2のロードロックチャンバ29−1,29−2と大気搬送室25とはゲートバルブ54−1,54−2を介して連結され、第2ロードロックチャンバ29−1,29−2と第2のトランスファーチャンバ30はゲートバルブ55−1,55−2を介して連結される。
上下2つの第2ロードロックチャンバ29−1,29−2に基板Wを搬送できるように、第2真空搬送ロボット58は、基板WをZ軸方向に昇降させるZ軸移動機構を備える。上側の第2ロードロックチャンバ29−1には、基板Wを支持する固定リフターが設けられる。下側の第2ロードロックチャンバ29−2には、基板Wを支持するリフター75およびこのリフター75を昇降させるリフタードライブ76が設けられる。大気搬送ロボット60−1,60−2または第2の真空搬送ロボット58が第2ロードロックチャンバ29−1または29−2内に基板Wを搬入するときは、リフター75は空の状態で下降している。基板Wの搬入が完了したなら、リフターが上昇し、大気搬送ロボット60−1,60−2または第2真空搬送ロボット58から基板を受け取る。
これら第2ロードロックチャンバ29−1,29−2、排気装置、パージガス供給系、圧力計、リフター74,75等によって第2ロードロックモジュールが構成される。第2ロードロックに設けるリフターは第1ロードロックと同様に固定リフターを設けるようにしてもよい。第2ロードロックモジュールはさらに、加熱・冷却装置を備えていてもよい。
第2トランスファーチャンバ30の内部は排気装置によって真空引きされている。第2トランスファーチャンバ30の内部を清掃したり、第2真空搬送ロボット58を点検したりするときに、蓋39(図4参照)が開けられる。第2トランスファーチャンバ30の内部には、第2真空搬送ロボット58が設けられる。第2トランスファーチャンバ30および第2真空搬送ロボット58によって第2トランスファーモジュールが構成される。第2真空搬送ロボット58も、一対の蛙足式の搬送機構を備える。一対の蛙足式の搬送機構の構造、動作は、図5(a)に示す蛙足式の搬送機構と同じである。
第2ロードロックモジュールおよび第2トランスファーモジュールの動作は以下のとおりである。図9に示すように、大気搬送ロボット60−1または60−2が基板Wを第2ロードロックチャンバ29−1または29−2に搬入すると、第2ロードロックチャンバ29−1または29−2の大気搬送室25側のゲートバルブ73または74が閉じられ、第2ロードロックチャンバ29−1または29−2は真空引きされる。第2ロードロックチャンバ29−1または29−2が真空状態になると、第2トランスファーチャンバ30側のゲートバルブ71または72が開けられ、第2ロードロックチャンバ29−1または29−2と第2トランスファーチャンバ30とが連通する。第2真空搬送ロボット58は、減圧下で、第2ロードロックチャンバ29−1または29−2から未処理の基板Wを第2トランスファーチャンバ30内に引き入れ(搬出し)、搬出した未処理の基板Wを第2プロセスチャンバ24−1または24−2に搬入する。
第2プロセスチャンバで所定の処理が終了すると、第2真空搬送ロボット58は、第2プロセスチャンバ24−1または24−2から処理済みの基板Wを第2トランスファーチャンバ30内に引き入れ(搬出し)、次の処理を行う第2プロセスチャンバ24−1,24−2の他方または第2ロードロックチャンバ29−1,29−2のいずれかにその処理済みの基板Wを渡す。第2ロードロックチャンバ29−1または29−2に基板Wが渡されたら、第2トランスファーチャンバ30側のゲートバルブ71または72が閉じられ、大気搬送室25側のゲートバルブ73または74が開けられる。しかる後、基板Wが搬入された第2ロードロックチャンバ29−1または29−2が大気圧に復帰してから、大気搬送ロボット60−1または60−2は、第2ロードロックチャンバ29−1または29−2から処理済みの基板Wを取り出し、その取り出した基板Wをポート21−1〜21−6上のいずれかのカセットに戻す。
上記大気搬送ロボット60−1,60−2、第1真空搬送ロボット56、第2真空搬送ロボット58等は、コンピュータ等の制御装置によって制御される。
図13は、ポート21−1〜21−6の中の1つ、たとえばポート21−1上のカセットから第1プロセスチャンバ23−1または23−2に至るまでの基板Wの流れを示す。一方の大気搬送ロボット60−1は、ポート21−1上のカセット78から未処理の基板Wを大気搬送室25に引き込む(A→B)。次に、大気搬送ロボット60−1は基板をオリエンタ61まで搬送し、基板のノッチ又はオリフラ等を検出して基板Wの向きを検出するためのオリエンタ61上に基板Wを載せる(B→C)。次に、大気搬送ロボット60−1はオリエンタ61でアライメントされた基板Wを取り出し、一方の第1ロードロックチャンバ26−1に搬入する(C→D)。ここで、一方の大気搬送ロボット60−1がオリエンタ61まで基板Wを搬送した後、他方の大気搬送ロボット60−2がオリエンタ61からその基板Wを取り出して、他方の第2ロードロックチャンバ29−2にその基板Wを搬入するようにしてもよい。第1ロードロックチャンバ26−1が真空引きされたら、第1トランスファーチャンバ27の第1真空搬送ロボット56が、第1ロードロックチャンバ26−1から基板Wを引き出し、第1トランスファーチャンバ27を経由した後、一方の第1プロセスチャンバ23−1に基板Wを渡す(D→E→F)。
第1プロセスチャンバ23−1で処理された基板Wは、第1真空搬送ロボット56によって他方の第1プロセスチャンバ23−2に搬送されるか、または第1ロードロックチャンバ26−1に戻される(基板は2つの第1プロセスチャンバ23−1,23−2を渡り歩くこともあるし、渡り歩かずに第1ロードロックチャンバ26−1に戻されることもある)。ロードロックチャンバ26−1に戻された基板Wは、大気搬送ロボット60−1によって、ポート21−1上のカセット78に戻される。
オリエンタ61から第1搬送ユニット28を経由して第1プロセスチャンバ23−1,23−2に至る基板の搬送経路長さは、2つの第1ロードロックチャンバ26−1,26−2のいずれを経由しても同じ長さになるように設定されることが好ましい。この場合、2台の大気搬送ロボット60−1,60−2のどちらを使用しても、オリエンタ61から第1プロセスチャンバ23−1,23−2まで基板Wを搬送する時間をほぼ等しくすることができ、プロセス処理前後の搬送時間を同一として、プロセス処理における互換性を高めることが出来る。
図14は、ポート21−1〜21−6の中の1つ、たとえばポート21−1上のカセット78から第2プロセスチャンバ24−1に至るまでの基板Wの流れを示す。一方の大気搬送ロボット60−1は、ポート21−1上のカセット78から未処理の基板Wを大気搬送室25内に引き込む(A→B)。次に、大気搬送ロボット60−1は基板Wをオリエンタ61まで搬送し、オリエンタ61上に基板Wを載せる(B→C)。次に、大気搬送ロボット60−1はオリエンタ61でアライメントされた基板を取り出し、一方の第2ロードロックチャンバ29−1に搬入する(C→D)。ここで、一方の大気搬送ロボット60−1がオリエンタ61まで基板Wを搬送した後、他方の大気搬送ロボット60−2がオリエンタ61からその基板Wを取り出して、他方の第2ロードロックチャンバ29−2にその基板Wを搬入するようにしてもよい。第2ロードロックチャンバ29−1が真空引きされたら、第2トランスファーチャンバ30の第2真空搬送ロボット58が、第2ロードロックチャンバ29−1から基板Wを引き出して、第2トランスファーチャンバ30を経由した後、第2プロセスチャンバ24−1に基板Wを渡す(D→E→F)。
第2プロセスチャンバ24−1で処理された基板Wは、第2真空搬送ロボット58によって他方の第2プロセスチャンバ24−2に搬送されるか、または第2ロードロックチャンバ29−1に戻される(基板Wは二つの第2のプロセスチャンバ24−1,24−2を渡り歩くこともあるし、渡り歩かずに第2のロードロックチャンバ29−1に戻されることもある)。第2ロードロックチャンバ29−1に戻された基板Wは、大気搬送ロボット60−1によって、ポート21−1上のカセット78に戻される。オリエンタ61から第2搬送ユニット31を経由して第2プロセスチャンバ24−1,24−2に至る基板Wの搬送経路長さは、2つの第2ロードロックチャンバ291,29−2のいずれを経由しても実質的に同じ長さになるように設定されることが好ましい。この場合、二台の大気搬送ロボット60−1,60−2のどちらを使用しても、オリエンタ61から第2プロセスチャンバ24−1,24−2まで基板Wを搬送する時間をほぼ等しくすることができ、プロセス処理前後の搬送時間を同一として、プロセス処理における互換性を高めることが出来る。
また、図13および図14における基板Wの流れを比較すると、第1ロードロックチャンバー26−1または26−2、第1トランスファーチャンバ27を経由して第1プロセスチャンバ23−1または23−2へ基板Wを搬送する第1の搬送工程と、第2ロードロックチャンバ29−1または29−2、第2トランスファーチャンバ30を経由して第2プロセスチャンバ24−1または24−2へと基板Wを搬送する第2の搬送工程とは、ローダーモジュール22から各プロセスチャンバまでの距離は異なるものの、同一の受け渡し工程数で行われるため、第1の搬送工程と第2の搬送工程でのプロセスの互換性を高めることが可能である。
図15は、2つの第1のプロセスチャンバ23−1,23−2および2つの第2のプロセスチャンバ24−1,24−2に加えて、さらに2つの第3プロセスチャンバ80−1,80−2を平面的に展開した例の斜視図を示す。2つの第3プロセスチャンバ80−1,80−2は、第1プロセスチャンバ23−1,23−2および第2プロセスチャンバ24−1,24−2よりもローダーモジュール22から遠い位置に配置される。第3プロセスチャンバ80−1,80−2は、第1プロセスチャンバ23−1,23−2および第2プロセスチャンバ24−1,24−2のいずれに対しても上下方向に重なることなく、平面的に離れた位置に配置される。
2つの第3プロセスチャンバ80−1,80−2は、第3トランスファーチャンバ82と第3ロードロックチャンバ81(図16参照)を介してローダーモジュール22に連結される。ここで、第3ロードロックチャンバ81および第3トランスファーチャンバ82を第3搬送ユニット83と呼ぶ。第3搬送ユニット83は、第1搬送ユニット28および第2搬送ユニット31に対して独立して基板Wを第3プロセスチャンバ80−1,80−2に搬送する。第3搬送ユニット83は、ローダーモジュール22の長手方向(Y方向)と直交する方向(X方向)に延びる。第3搬送ユニット83は、2つの第1プロセスチャンバ23−1,23−2の間、および2つの第2のプロセスチャンバ24−1,24−2の間を通過する。第3搬送ユニット83の奥行き方向(X方向)の長さは第2搬送ユニット31の長さよりも長い。第3搬送ユニット83は第2搬送ユニット31よりも下方に配置される。ローダーモジュール22から第3プロセスチャンバ80−1,80−2に到る経路の途中までは、第1、第2および第3搬送ユニット28,31,83の少なくとも一部が重なっていて、途中から第2および第3搬送ユニット31,83のみが重なるようになり、最終的には第3搬送ユニット83のみが単独で存在するようになる。
図16に示すように、第3搬送ユニット83は、大気搬送室25内の大気搬送ロボット60−1,60−2によって基板Wが搬送される第3ロードロックチャンバ81、および第3ロードロックチャンバ81に搬送された基板Wを第3プロセスチャンバ80−1,80−2に搬送する第3真空搬送ロボット84が設けられる第3トランスファーチャンバ82を備える。第3ロードロックチャンバ81と第3トランスファーチャンバ82とはゲートバルブ85を介して連結される。第3ロードロックチャンバ81と大気搬送室25とはゲートバルブ86を介して連結される。第3ロードロックチャンバ81から第3プロセスチャンバ80−1,80−2への基板の流れを第3の搬送ルートと呼ぶ。
第3トランスファーチャンバ82は平面多角形に形成され、その一辺(ローダーモジュール22と対向する辺)82aにゲートバルブ85を介して第3ロードロックチャンバ81が連結される。第3トランスファーチャンバ82の他の二辺((上記辺82aと反対側でローダーモジュール22の長手方向(Y方向)に対して斜めに向いている辺)82bには、2つの第3プロセスチャンバ80−1,80−2が連結される。2つの第3プロセスチャンバ80−1,80−2は第3トランスファーチャンバ82に対してV字形状をなすように配置される。そして、このV字ラインに沿って第3プロセスチャンバ80−1,80−2に対する基板Wの搬送(出し入れ)が行われる。2つの第3プロセスチャンバ80−1,80−2の配置角度は、2つの第2プロセスチャンバ24−1,24−2の配置角度、および2つの第1プロセスチャンバ23−1,23−2の配置角度に等しい。第3トランスファーチャンバ82に配置される第3真空搬送ロボット84は、第1および第2の真空搬送ロボット56,58と同様に、一対の蛙足式の搬送機構を備える。
第3ロードロックチャンバ81は、ローダーモジュール22から第3トランスファーチャンバ82に向かって細長く延びる。第3ロードロックチャンバ81には、基板Wをその長手方向(X方向)に直線運動させる移動機構90が設けられる。移動機構90は、ステージ91と、このステージ91を移動させるブラシレスリニアモータ92とを備える。ステージ91には、基板Wを支持するリフター93aおよびこのリフター93aを昇降させるリフタードライブ93が設けられる。第3トランスファーチャンバ82は第2トランスファーチャンバ30よりもローダーモジュール22から離れた位置に配置され、大気搬送ロボット60−1,60−2と第3真空搬送ロボット84との間で直接的に基板Wの受け渡しをするのが困難になる。このため、第3ロードロックチャンバ81に移動機構90を設けて、基板Wの受け渡しが行われるようにする。
第3ロードロックモジュールおよび第3トランスファーモジュールの動作は以下のとおりである。大気搬送ロボット60−1または60−2が基板Wを第3ロードロックチャンバ81のステージ91上に搬入すると、第3ロードロックチャンバ81の大気搬送室25側のゲートバルブ86が閉じられ、第3ロードロックチャンバ81は真空引きされる。第3ロードロックチャンバ81の真空引きを行っている間に、移動機構90は基板Wが載せられたステージ91を第3トランスファーチャンバ82に向かって移動させる。真空引きとステージ91の移動を同時に行うことで、ステージ91の移動に要する時間はスループットの低下を招くことはない。第3ロードロックチャンバ81が真空状態になると、第3トランスファーチャンバ82側のゲートバルブ85が開けられ、第3ロードロックチャンバ81と第3トランスファーチャンバ82とが連通する。第3真空搬送ロボット84は、移動機構90によって移送されたステージ91上の基板を受け取り、第3プロセスチャンバ80−1または80−2に渡す。
図17は、基板処理システムの空きスペースを利用して、小型のプロセスチャンバ94およびストレージ95を取り付けた例を示す。この例では、V字形状に配置された一対の第1プロセスチャンバ23−1(図示省略),23−2の間の空きスペースを利用して、第1トランスファーチャンバ27に小型のプロセスチャンバ94を連結する。また、V字形状に配置された一対の第2プロセスチャンバ24−1(図示省略),24−2間の空きスペースを利用して、第2トランスファーチャンバ30に小型のプロセスチャンバ94を連結する。ストレージ95は大気搬送室25の長手方向(Y方向)に対して鋭角(の向き)に配置された第1プロセスチャンバ23−1,23−2と大気搬送室25との間の空きスペースを利用して、大気搬送室25に連結される。小型のプロセスチャンバ94は、たとえば、プラズマ処理後の基板をアニールし、安定化させるための後処理チャンバや、減圧下にて基板Wを昇温し、脱ガス処理を行うためのデポガスチャンバである。ストレージ95はたとえば、ダミー基板が収納されるダミーストレージや基板Wに残留するガスをパージするパージストレージである。ダミー基板は処理が安定するまで第1および第2プロセスチャンバ23−1,23−2,24−1,24−2に流される。また、基板裏面を洗浄するモジュールを大気搬送室25に連結されるように設けることもできる。小型のプロセスチャンバ94およびストレージ95はフットプリントを変えることなく設けることができる。
図17の実施の形態において、半導体デバイスにおける多層配線構造の配線形成工程を例に挙げると、たとえば、第1プロセスチャンバ23−1をバリア膜スパッタ装置、もう一方の第1プロセスチャンバ23−2をCu(銅)のスパッタ装置、小型のプロセスチャンバ94をアニール装置とする。半導体ウェハ上に多層配線構造を形成する工程において、層間絶縁膜に配線溝を形成されたウェハは、まず小型のプロセスチャンバ94に送られPVDによるプレキュアが行われる。次いで、ウェハは第1プロセスチャンバ23−1に送られ、その層間絶縁膜上にTiN等のバリア膜がスパッタ装置により形成される。ウェハは第1プロセスチャンバ23−1から第1プロセスチャンバ23−2へと送られ、バリア膜上にCu(銅)がスパッタ装置により成膜される。これら一連の工程は、第1トランスファーチャンバ27を介して減圧環境で連続して行われる。
図18および図19は、従来のクラスタ型の基板搬送装置(図中(a))と本発明の第1の実施形態の基板処理システム(図中(b))とでフットプリントを比較した平面図である。図18はプロセスチャンバの数が4つの場合であり、図19はプロセスチャンバの数が6つの場合である。図18および図19において、プロセスチャンバの大きさは450mmのウェハを処理できる大きさに設定されている。図18に示すように、プロセスチャンバの数が4つの場合、本実施形態のように構成することで、幅寸法がA→A´に低減し、奥行寸法がB→B´に低減した。全体の面積は31.1m2から26.5m2に低減した。図19に示すように、プロセスチャンバの数が6つの場合、奥行き寸法がB→B´に増加したが、幅寸法がA→A´に大幅に低減した。全体の面積は38.6m2から36.6m2に低減した。
図20に示すように、本実施形態においては、フットプリントが低減するだけでなく、第1および第2プロセスチャンバ23−1,23−2,24−1,24−2の周囲に黒く塗った領域に空き領域が存在する。この空き領域を利用することで、第1および第2プロセスチャンバ23−1,23−2,24−1,24−2の周囲に点検スペースを確保できる。また、この空き領域を利用することで、第1および第2プロセスチャンバ23−1,23−2,24−1,24−2の形状を、図21(a)に示す形状から図21(b)に示す形状に設計変更することができ、第1および第2プロセスチャンバ23−1,23−2,24−1,24−2の設計の自由度を上げることができる。
図22および図23は、従来のクラスタ型の基板処理システムと本発明の第1の実施形態の基板処理システムとでスループットを比較したタイムチャートである。図22は、従来のクラスタ型の基板処理システムのタイムチャートを示す。図22に示すように、中央の一つのトランスファーチャンバに4つのプロセスチャンバが連結されると仮定している。トランスファーチャンバの真空搬送がアームを伸縮させて基板Wの受け渡しを行うのに7sかかり、アームを旋回させて次の位置に移動させるのに2sかかると仮定している。ゲートの開閉はそれらに比較して短時間に行われるため省略する。
トランスファーチャンバの真空搬送ロボットがプロセスチャンバPM1(以下、単にPM1等という)に未処理の基板Wを渡すところからのスループットを考える。まず、真空搬送ロボットがPM1にアクセスし、基板Wを渡して元の位置に戻るのに7sかかる。基板Wが渡されたPM1では、プロセスを開始する。次に、真空搬送ロボットはPM2に未処理の基板Wを渡す動作を開始する。真空搬送ロボットは、未処理の基板Wを受け取るために、ロードロックチャンバに向かって旋回し(2s)、ロードロックチャンバにアクセスし、基板Wを受け取り(7s)、PM2に向かって旋回する(2s)。そして、真空搬送ロボットは、PM2にアクセスし、未処理の基板Wを渡す(7s)。未処理の基板Wが渡されたPM2は、プロセスを開始する。同様に、真空搬送ロボットはPM3およびPM4に未処理の基板Wを渡す。PM4に未処理の基板Wを渡したら、真空搬送ロボットはロードロックチャンバの方向を向き(2s)、ロードロックチャンバにアクセスし、ロードロックチャンバから未処理の基板Wを受け取り(7s)、PM1に向かって旋回する(2s)。この段階で、真空搬送ロボットが4つのプロセスチャンバに未処理の基板Wを渡す1サイクルが終了する。太線の矢印は1サイクルが終わったタイミングを表す。この例では、1サイクルが終わるまでに72sかかる。この後、真空搬送ロボットはPM1にアクセスし、PM1から処理済みの基板Wを受け取り、PM1に未処理の基板Wを渡す。
楕円の部分はPM1の待ち時間を表す。PM1の処理時間が65s未満であると、PM1はプロセスを終了していても、真空搬送ロボットによって新しい基板Wが渡されるまで待っていなければならない。PM1の処理時間が短ければ短いほど待ち時間が増える。
図23は、本発明の第1の実施形態の基板処理システムのタイムチャートを示す。本発明の第1の実施形態の基板処理システムでは、真空搬送ロボットが2台設けられ、各真空搬送ロボットが2つのプロセスチャンバを受け持っている。スループットの計算にあたり、ゲートの開閉時間、真空搬送ロボットのアームの伸縮時間、アームの旋回時間を従来の基板処理システムと合わせている。
まず、第1真空搬送ロボットがPM1にアクセスし、基板を渡すのに7sかかる。基板が渡されたPM1では、プロセスを開始する。次に、第1真空搬送ロボットはPM2に未処理の基板Wを渡すために、ロードロックチャンバに向かって旋回し(2s)、ロードロックチャンバにアクセスし、基板Wを受け取り(7s)、PM2に向かって旋回する(2s)。そして、真空搬送ロボットは、PM2にアクセスし、未処理の基板Wを渡す(7s)。PM2に未処理の基板Wを渡したら、真空搬送ロボットはロードロックチャンバの方向を向き(2s)、ロードロックチャンバにアクセスし、ロードロックチャンバから未処理の基板Wを受け取り(7s)、PM1に向かって旋回する(2s)。第1真空搬送ロボットは2つのプロセスチャンバしか受け持たないので、これで1サイクルが終了する。1サイクルは36sなので、プロセス処理時間が29sを上回るプロセスではPM1での待ち時間は発生せず、プロセス処理時間が29sを下回るような短時間プロセスを行う場合には、図22に示す従来のクラスタ型の基板処理システムに比べてスループットが2倍になる。
第2の真空搬送ロボットがPM3およびPM4にアクセスするときも同様に1サイクルが36sになり、プロセス処理時間が29sを下回るような短時間プロセスを行う場合には、スループットが倍になる。真空搬送ロボットは2台設けられているので、前記のような短時間プロセスを行う場合にはトータルで4倍のスループットになることがわかる。
[実施形態2]
図24は、本発明の第2の実施形態における基板処理システムを示す。この実施形態では、第2トランスファーチャンバ30は、第2ロードロックチャンバ29−1,29−2を介してローダーモジュール22に連結される替わりに、連結チャンバ96を介して第1トランスファーチャンバ27に連結される。第1トランスファーチャンバ27と第2トランスファーチャンバ30とは高さが異なるので、連結チャンバ96には基板Wを昇降させるための昇降機構(エレベータ)が設けられる。
ローダーモジュール22、第1ロードロックチャンバ26−1,26−2、第1トランスファーチャンバ27、第1プロセスチャンバ23−1,23−2、および第2プロセスチャンバ24−1,24−2の構成は、第1の実施形態の基板処理システムと同一であるので、同一の符号を付してその説明を省略する。
第2の実施形態における基板処理システムによれば、第1トランスファーチャンバ27と第2トランスファーチャンバ30とを、連結チャンバ96を介して連結するので、従来の平面的に展開したマルチチャンバー式の基板処理システムに比較して、フットプリントが低減する。また、第1プロセスチャンバ23−1,23−2と第2プロセスチャンバ24−1,24−2との間で基板を渡り歩かせること(真空中での連続的な処理)が可能になる。さらに、連結チャンバ96は、第2ロードロックチャンバに連結するように設けられていてもよく、この場合、搬送の自由度が向上するという効果が期待できる。連結チャンバ96と第1トランスファーチャンバ27との間、第2トランスファーチャンバ30との間、または第2ロードロックチャンバとの間には、それぞれゲートバルブを備えてもよい。その場合、各チャンバ間の独立性が担保され、メンテナンスの自由度が向上する。
第2の実施形態において、半導体デバイスのFEOL工程におけるコンタクト形成を例に挙げると、たとえば、第1プロセスチャンバ23−1をTiを成膜するためのプラズマCVD装置、もう一方の第1プロセスチャンバ23−2をTiNを成膜するための熱CVD装置とし、第1トランスファーチャンバ27に連結チャンバ96を介して連結された第2トランスファーチャンバ30に接続される第2プロセスチャンバ24−1,24−2を、たとえば、Wプラグを形成するため熱CVD装置とする。これらを用いてソース・ドレイン上に形成されたホールにコンタクトプラグを形成する。まずコンタクトホールの形成されたウェハは第1プロセスチャンバ23−1に送られ、プラズマCVDによりホール内を覆うようにTi層が成膜される。次に、第1プロセスチャンバ23−1から第1プロセスチャンバ23−2に送られ、Ti層の上に熱CVDによりTi層が成膜される。更にウェハは、連結チャンバ96を介して第2トランスファーチャンバ30に送られ、第2プロセスチャンバ24−1または24−2に搬送されて、熱CVD装置によってWプラグが形成される。これら一連の工程は連結チャンバ96を介することで、真空環境で連続して行うことが出来る。連結チャンバ96を介さず、Ti/TiNの成膜工程とWプラグ形成工程とを独立して並行して行うことも可能である。各搬送ユニットや連結チャンバ96を介して、ウェハをプロセスチャンバ間で渡り歩かせる真空連続処理方法や、単独処理を並行して実行する処理方法は、基板搬送処理システムのソフトウェアにより、処理内容に応じて適宜選択可能である。
上記第1および第2の実施形態においては、本発明を第1および第2プロセスチャンバさらには第3プロセスチャンバを備えた基板処理システムとして構成した例について説明した。しかし、本発明は、第1および第2または第3プロセスチャンバを備えた基板処理システムに限られることはなく、第1および第2のプロセスチャンバに基板Wを搬送する搬送モジュールとしても構成することができる。搬送モジュールの実施形態は、上記基板処理システムの実施形態から第1および第2または第3のプロセスチャンバを取り外したものと等しい。
図25は、第2の実施形態における基板処理システムにオプションとして検査装置97およびダミーストレージ98を付加した例を示す。図4に示すように、第2トランスファーチャンバ30の、2つの第2プロセスチャンバ24−1,24−2が連結される二辺30b(ローダーモジュール22とは反対側でローダーモジュール22の長手方向(Y方向)に対して斜めに向いている辺)の間の一辺30cに対応する部分には、スペースが空いている。このスペースを利用して、第2トランスファーチャンバ30の一辺30cにロードロックチャンバ101を介して大気搬送室99を連結している。大気搬送室99には、ロードロックチャンバ101から基板Wを受け取り、検査装置97やダミーストレージ98に基板Wを搬送する大気搬送ロボット100が設けられる。大気搬送ロボット100の替わりに真空搬送ロボットを用いて、真空中で基板を検査装置97に搬送したり、真空中のダミーストレージ98から基板を取り出すようにしてもよい。
通常の基板処理システムでは、検査装置97はローダーモジュール22に連結される。この例のように、検査装置97を第2トランスファーチャンバ30に連結することで、インライン的な検査、すなわち第2プロセスチャンバ24−1,24−2で処理が終了した直後の基板Wを検査し、検査した結果の基板Wをもう一度第2プロセスチャンバ24−1,24−2に戻して再度処理することが可能になる。この検査装置97およびダミーストレージ98は、第1の実施形態における基板処理システムの第2トランスファーチャンバ30に連結されてもよい。また、検査装置97およびダミーストレージ98は、第1の実施形態における基板処理システムの第1トランスファーチャンバ27および第2トランスファーチャンバ30のそれぞれに連結されてもよい。また、大気搬送室99の側面に処理済みの基板を収納するカセットを搭載するためのポートを備えていても良い。この場合、処理される基板Wの入口と出口が異なるインライン型の搬送処理システム装置を構成することができ、基板Wの搬送ルートおよび装置レイアウトの幅が広がる。
[実施形態3]
図26は、本発明の第3の実施形態における基板処理システムの平面図を示す。この実施形態では、第1搬送ユニット28の第1ロードロックチャンバ26−1,26−2および第1トランスファーチャンバ27がローダーモジュール22に上下方向に重なっていない点が、上記第1の実施形態における基板処理システムと異なる。第1および第2搬送ユニット28,31の基本構成、たとえば第1搬送ユニット28が第1ロードロックチャンバ26−1,26−2および第1トランスファーチャンバ27を備える点、第2搬送ユニット31が第2ロードロックチャンバ29−1,29−2および第2トランスファーチャンバ30を備える点、並びに第1搬送ユニット28の一部と第2搬送ユニット31の一部とが上下方向に重なっている点は、上記第1の実施形態における基板処理システムと同一であるので、同一の符号を附してその説明を省略する。
この実施形態においては、第1ロードロックチャンバ26−1,26−2および第1トランスファーチャンバ27がローダーモジュール22に上下方向に重なっていないので、第1トランスファーチャンバ27はローダーモジュール22から離れた位置に配置される。第2トランスファーチャンバ30はローダーモジュール22からより離れた位置に配置される。このため、第2搬送ユニット31の第2のロードロックチャンバ29−1,29−2には、図16に示す第3ロードロックチャンバ81と同様に、基板Wを一方向に移動させるステージが設けられる。
この第3の実施形態における基板処理システムによれば、フットプリントは従来のクラスタ型の基板処理システムとほぼ同一であるが、第1の実施形態における基板処理システムと同様にスループットを改善することができる。従来のクラスタ型の基板処理システムに比較して4倍のスループットが得られる。
[実施形態4]
図27は、本発明の第4の実施形態における基板処理システムを示す。この例の基板処理システムは、第1の実施形態における基板処理システムの上側の階層の搬送ユニット28(図5(a)参照)のみを備え、下側の階層の搬送ユニット31(図5(b)参照)を備えていない点で第1の実施形態における基板処理システムと異なる。搬送ユニット28の構成は、第1の実施形態の基板処理システムの上側の階層の搬送ユニット28と同一である。すなわち、搬送ユニット28は、ロードロックチャンバ26−1,26−2およびトランスファーチャンバ27を備える。ロードロックチャンバ26−1,26−2の少なくとも一部、およびトランスファーチャンバ27の一部はローダーモジュール22に上下方向に重なっている。
第4の実施形態における基板処理システムによれば、スループットは従来のクラスタ型の基板処理システムとあまり変わらないが、ロードロックチャンバ26−1,26−2の少なくとも一部、およびトランスファーチャンバ27の一部の分だけ、フットプリントが減少する。
図28および図29は、上記第4の実施形態における基板処理システムの変形例を示す。この変形例では、第4の実施形態における基板処理システムと同様に、ロードロックチャンバ26−1,26−2の少なくとも一部、およびトランスファーチャンバ27の一部がローダーモジュール22に上下方向に重なっている。トランスファーチャンバ27には、二つの連結チャンバ141,142が直列的に接続される。各連結チャンバ141,142には、真空搬送ロボット153,154が設けられる。各連結チャンバ141,142には、少なくとも一つの、たとえば2つのプロセスチャンバ24−1, 24−2または80−1, 80−2が連結される。各連結チャンバ141,142に接続される2つのプロセスチャンバ24−1, 24−2または80−1, 80−2は、図5(a)に示される第1の実施形態における基板処理システムと同様に、V字状にレイアウトされる。すなわち、両プロセスチャンバ24−1, 24−2のそれぞれの中心と連結チャンバ141の中心とを結ぶ線によってV字形状が形成され、かつこのV字ラインに沿ってプロセスチャンバ24−1, 24−2に対する基板Wの搬送(出し入れ)が行われる。また、両プロセスチャンバ80−1,80−2のそれぞれの中心と連結チャンバ142の中心とを結ぶ線によってV字形状が形成され、かつこのV字ラインに沿ってプロセスチャンバ80−1,80−2に対する基板Wの搬送(出し入れ)が行われる。
プロセスチャンバ23−1,23−2に基板を搬送するときは、トランスファーチャンバ27を経由して基板が搬送される。プロセスチャンバ24−1,24−2に基板Wを搬送するときは、第1トランスファーチャンバ27および連結チャンバ141を経由して基板Wが搬送される。プロセスチャンバ80−1または80−2に基板Wを搬送するときは、第1トランスファーチャンバ27,連結チャンバ141および連結チャンバ142を経由して基板Wが搬送される。第1トランスファーチャンバ27と連結チャンバ141の接続部、および連結チャンバ141と連結チャンバ142の接続部には、図示しない基板受け渡し機構が設けられ、たとえば、基板Wを支持するリフターが設けられる。第1トランスファーチャンバ27から連結チャンバ142に基板を搬送するとき、基板は第1トランスファーチャンバ27内の真空搬送ロボット56により接続部のリフター上に一旦保持される。リフター上に保持された基板Wは連結チャンバ141内の真空搬送ロボット143により、連結チャンバ141を介して連結チャンバ141に接続される2つのプロセスチャンバ24−1, 24−2または連結チャンバ142との接続部に設けられた同様のリフター上に搬送される。リフター上の基板Wは、さらに連結チャンバ142を介して真空搬送ロボット144により連結チャンバ142に接続されるプロセスチャンバ80−1または80−2へ搬送される。リフターには基板Wを昇降させるためのリフタードライブを設けてもよく、また、基板受け渡し機構は、複数の基板Wを収容可能なカセットとしてもよい。接続部には各チャンバを隔てるゲートバルブを設けてもよい。
ローダーモジュール22の凹部25aにトランスファーチャンバ27が接続されているので、基板Wの搬送高さは通常よりも高い。他のシステムで用いられているプロセスチャンバを用いることができるよう、プロセスチャンバの設計変更は行わず、台155上に設置することで対応する。
第4の実施形態における基板処理システムによれば、ロードロックチャンバ26−1,26−2の少なくとも一部、およびトランスファーチャンバ27の一部の分だけ、フットプリントが減少する。
[実施形態5]
図30は、本発明の第5の実施形態における基板処理システムの平面図を示す。この実施形態でも、第1搬送ユニット28と第2搬送ユニット31を備える。第1トランスファーチャンバ27には少なくとも一つの第1プロセスチャンバ23−1,23−2が連結され、第2トランスファーチャンバ30には少なくとも一つの第2のプロセスチャンバ24−1,24−2が連結される。第1搬送ユニット28の第1トランスファーチャンバ27と第2搬送ユニット31の第2ロードロックチャンバ29−1とは上下方向に重なっている。
この例では、ローダーモジュールの上に2つ、ローダーモジュールの側面に2つの合計4つの第1ロードロックチャンバ26−1,26−2,150−1,150−2が設けられている。ローダーモジュール22の上の第1のロードロックチャンバ26−1,26−2はローダーモジュール22に上下方向に重なっていて、ローダーモジュール22の側面の第1ロードロックチャンバ150−1,150−2はローダーモジュール22に上下方向に重なっていない。側面の2つの第1ロードロックチャンバ150−1,150−2は、たとえばパージストレージの機能をさらに有してもよい。パージストレージは、複数の基板Wを保持し、基板上の残留ガスを除去できるように構成されている。
第5の実施形態における基板処理システムによれば、第1ロードロックチャンバ26−1,26−2およびトランスファーチャンバ27がローダーモジュール22に上下方向に重なり、第1搬送ユニット28および第2搬送ユニット31も互いに重なっているので、フットプリントを低減できる。
なお、ローダーモジュール22の大気搬送ロボットがカセットごとロードロックチャンバ150−1,150−2に搬送するようにしてもよい。そして、第1トランスファーチャンバ27に設けられた真空搬送ロボット56がロードロックチャンバ150−1,150−2内のカセットから一枚ずつ基板Wを取り出し、プロセスチャンバ23−1,23−2に搬送するようにしてもよい。
[実施形態6]
図31および図32は、本発明の第6の実施形態における基板処理システムを示す。図31は基板処理システムの平面図を示し、図32は垂直方向の断面図を示す。この実施形態の基板処理システムでは、2系統の真空搬送ユニットを上下方向に重ねるのではなく、2系統の大気搬送ユニットを上下方向に重ねている。ローダーモジュール102には、複数のカセットを横一列に配置可能な複数のポート103が設けられる。大気搬送室104は複数のポート103の配列方向(Y方向)に細長く延びる。大気搬送室104には、ポート103にセットされたカセット内の基板Wを取り出す大気搬送ロボット106が設けられる。大気搬送ロボット106はZ軸を備える。大気搬送ロボット106は大気搬送室25の中心から長手方向(Y方向)の両側に2台設けられる。
ローダーモジュール102には、ローダーモジュール102から処理を行うための少なくとも一つ、たとえば2つの第1プロセスチャンバユニット112に至る第1大気搬送ユニット107が連結される。第1大気搬送ユニット107は、ローダーモジュール102の長手方向(Y方向)に対して直交する方向(X方向)に延びる。第1大気搬送ユニット107には、ローダーモジュール102内の大気搬送ロボット106によって搬送される基板Wを第1プロセスチャンバユニット112に搬送する第1大気搬送ロボット121が設けられる。第1大気搬送ユニット107およびローダーモジュール102はいずれも基板Wを大気搬送するため、これらの連結部にはゲートバルブが設けられない。ローダーモジュール102の長手方向(Y方向)の中央部には基板のノッチ等を認識して基板のアライメント(位置決め)を行うオリエンタ105が設けられる。
第1大気搬送ユニット107には、第1プロセスチャンバユニット112が連結される。各第1プロセスチャンバユニット112は、第1大気搬送ロボット121によって基板Wが搬送される第1ロードロックチャンバ110、および基板Wに処理を行うための第1プロセスチャンバ111を備える。第1ロードロックチャンバ110は、真空引きと大気圧復帰が繰り返し行われる小部屋からなる。第1ロードロックチャンバ110と第1大気搬送ユニット107はゲートバルブ123を介して連結される。第1ロードロックチャンバ110には、第1真空搬送ロボット124が設けられる。
第1ロードロックチャンバ110には、ゲートバルブ125を介して第1プロセスチャンバ111が連結される。第1プロセスチャンバ111は、成膜、エッチング、アッシング、酸化、窒化、ドーピング、拡散等の群から選ばれた少なくとも一つのプロセス、またはこれらの群から選ばれて組み合わされた複数のプロセスを実行するように構成される。第1ロードロックチャンバ110の中心と第1プロセスチャンバ111の中心とを結んだ線は、ローダーモジュール102の長手方向(Y方向)に対して鋭角で交差する。2つの第1プロセスチャンバユニット112はV字形状をなすように第1の大気搬送ユニット107に連結される。そして、このV字ラインに沿って第1プロセスチャンバ111に対する基板の搬送(出し入れ)が行われる。
第1大気搬送ロボット121および第1真空搬送ロボット124の動作は以下のとおりである。ローダーモジュール102の大気搬送ロボット106が未処理の基板をポート103の上のカセット109から取り出しオリエンタ105に載せる。オリエンタでアライメントされた基板は、再び大気搬送ロボット106により第1大気搬送ユニット107のローダーモジュール102に近い部分に設けられた図示しない第1基板受け渡し機構へ搬送される。第1大気搬送ロボット121が第1基板受け渡し機構から基板を受け取り、第1ロードロックチャンバ110に搬送する。その後、第1ロードロックチャンバ110の第1大気搬送ユニット107側のゲートバルブ123が閉じられ、第1ロードロックチャンバ110が真空引きされる。第1ロードロックチャンバ110が真空状態になると、第1のプロセスチャンバ111側のゲートバルブ125が開けられ、第1ロードロックチャンバ110と第1プロセスチャンバ111とが連通する。第1真空搬送ロボット124は、第1ロードロックチャンバ110から未処理の基板を取り出して第1プロセスチャンバ111に搬入する。
第1プロセスチャンバ111で所定の処理が終わると、第1真空搬送ロボット124は、第1プロセスチャンバ111から処理済みの基板Wを取り出し、取り出した基板Wを第1ロードロックチャンバ110に搬入する。直後に、第1プロセスチャンバ111側のゲートバルブ125が閉じられ、第1ロードロックチャンバ124が大気圧に復帰され、第1大気搬送ユニット107側のゲートバルブ123が開けられる。第1大気搬送ロボット121は、処理済みの基板を第1ロードロックチャンバ110から取り出して、第1基板受け渡し機構を介して大気搬送ロボット106に渡す。大気搬送ロボット106はその処理済みの基板Wをポート103の上のカセット109に戻す。
第1大気搬送ユニット107の下方には、ローダーモジュール102から処理を行うための少なくとも一つ、たとえば2つの第2プロセスチャンバユニット115に至る第2大気搬送ユニット108が設けられる。第2大気搬送ユニット108はローダーモジュール102に連結され、ローダーモジュール102の長手方向(Y方向)に対して直交する方向(X方向)に延びる。第1大気搬送ユニット107と第2大気搬送ユニット108とは上下方向に一部が重なる。第2大気搬送ユニット108の長さは第1大気搬送ユニット107の長さよりも長い。第2大気搬送ユニット108には、大気搬送ロボット106から受け取った基板Wを第2プロセスチャンバユニット115に搬送し、第2プロセスチャンバユニット115から搬出した基板Wを大気搬送ロボット106へ受け渡す第2の大気搬送ロボット131が設けられる。第2大気搬送ユニット108およびローダーモジュール102はいずれも基板Wを大気搬送するため、これらの連結部にはゲートバルブが設けられない。
第2大気搬送ユニット108には、第2プロセスチャンバユニット115が連結される。第2プロセスチャンバユニット115は、第2大気搬送ロボット131によって基板が搬送される第2ロードロックチャンバ113、および基板Wに処理を施すための第2プロセスチャンバ114を備える。第2ロードロックチャンバ113は、真空引きと大気圧復帰が繰り返し行われる小部屋からなる。第2ロードロックチャンバ113と第2大気搬送ユニット108とはゲートバルブ132を介して連結される。第2ロードロックチャンバ113には、第2真空搬送ロボット134が設けられる。
第2ロードロックチャンバ113には、ゲートバルブ133を介して第2プロセスチャンバ114が連結される。第2プロセスチャンバ114は、成膜、エッチング、アッシング、酸化、窒化、ドーピング、拡散等の群から選ばれた少なくとも一つのプロセス、またはこれらの群から選ばれて組み合わされた複数のプロセスを実行するように構成される。第2ロードロックチャンバ113の中心と第2プロセスチャンバ114の中心とを結んだ線は、ローダーモジュール102の長手方向(Y方向)に対して鋭角で交差する。2つの第2プロセスチャンバユニット115はV字形状をなすように第2大気搬送ユニットに連結される。そして、このV字ラインに沿って第2プロセスチャンバ114に対する基板の搬送(出し入れ)が行われる。
第1プロセスチャンバユニット112と第2プロセスチャンバユニット115とは、上下方向に重なることなく、平面的に見たときに離れた位置に配置される。図32に示すように、第1プロセスチャンバ111は第2プロセスチャンバ114よりも高い位置にあり、第1プロセスチャンバ111は台128の上に載せられる。
第2大気搬送ロボット131および第2真空搬送ロボット134の動作は以下のとおりである。大気搬送ロボット106が未処理の基板を図示しない第2基板受け渡し機構を介して第2大気搬送ユニット108内に設けられた図示しない第2基板受け渡し機構に搬送すると、第2大気搬送ロボット131が基板を受け取り、第2ロードロックチャンバ113に搬送する。その後、第2ロードロックチャンバ113の第2大気搬送ユニット108側のゲートバルブ132が閉じられ、第2ロードロックチャンバ113は真空引きされる。第2ロードロックチャンバ113が真空状態になると、第2プロセスチャンバ114側のゲートバルブ133が開けられ、第2ロードロックチャンバ113と第2プロセスチャンバ114とが連通する。第2真空搬送ロボット134は、第2ロードロックチャンバ113から基板を取り出して第2プロセスチャンバ114に搬送する。
第2プロセスチャンバ114で所定の処理が終わると、第2真空搬送ロボット134は、第2プロセスチャンバ114から処理済みの基板Wを取り出し、取り出した基板Wを第2ロードロックチャンバ113に搬入する。直後に、第2プロセスチャンバ114側のゲートバルブ133が閉じられ、第2ロードロックチャンバ113が大気圧に復帰され、第2大気搬送ユニット108側のゲートバルブ132が開けられる。第2大気搬送ロボット131は、第2ロードロックチャンバ113から処理済みの基板を取り出し、その取り出した基板Wを第2の基板受け渡し機構を介して大気搬送ロボット106に渡す。大気搬送ロボット106はその処理済みの基板をポート103の上のカセット109に戻す。
第6の実施形態における基板処理システムによれば、第1大気搬送ユニット107および第2大気搬送ユニット108を階層構造にすることで、従来の平面的に展開したマルチチャンバー式の基板処理システムに比較して、基板処理システム全体のフットプリントが低減する。また、ローダーモジュールに連結される複数の大気搬送ユニット107,108を設けることで、スループット(単位時間当たりの処理枚数)の向上が図れる。
[実施形態7]
図33は、第7の実施形態における基板処理システムを示す。この例では、第1プロセスチャンバユニット112の第1ロードロックチャンバ110の一部がローダーモジュール102に上下方向に重なっている。第1ロードロックチャンバ110および第1プロセスチャンバユニット112の構造は第6の実施形態における基板処理システムとほぼ同一であるから同一の符号を附してその説明を省略する。
大気搬送ロボット106は、基板を直接第1ロードロックチャンバ110に搬送する。その後、第1ロードロックチャンバ110の第1大気搬送ユニット107側のゲートバルブ123が閉じられ、第1ロードロックチャンバ110が真空引きされる。第1ロードロックチャンバ110が真空状態になると、第1プロセスチャンバ111側のゲートバルブが開けられ、第1ロードロックチャンバ110と第1プロセスチャンバ111とが連通する。第1ロードロックチャンバ110内の第1真空搬送ロボット124は、第1ロードロックチャンバ110から未処理の基板Wを取り出し、その取り出した未処理の基板を第1プロセスチャンバ111に搬送する。
ローダーモジュール102には、処理を行うための第2プロセスチャンバユニット115に至る第2大気搬送ユニット108が設けられる。第2大気搬送ユニット108には、第2プロセスチャンバユニット115が連結される。第2プロセスチャンバユニット115は、第2ロードロックチャンバ113および第2プロセスチャンバ114を備える。これら第2大気搬送ユニット108、第2ロードロックチャンバ113および第2プロセスチャンバ114の構成は上記第6の実施形態と同様なので、同一の符号を附してその説明を省略する。
この実施形態によれば、第1プロセスチャンバユニット112の第1ロードロックチャンバ110の一部がローダーモジュール102に上下方向に重なっているので、第1プロセスチャンバ111をローダーモジュール102に近づけて配置でき、それにより第2プロセスチャンバ114もローダーモジュール102に近づけて配置できる。したがって、前記第6の実施形態に比して、フットプリントを低減することができる。
なお、本発明は上述した実施形態に限られることはなく、本発明の要旨を変更しない範囲で様々な実施形態に具現化できる。たとえば、基板処理システムは、半導体デバイスの製造装置に限られることなく、FPD、有機EL、太陽電池の製造装置に適用することもできる。
上記実施形態では、ローダーモジュールに第1ロードロックチャンバの全体および第1トランスファーチャンバの一部が上下方向に重ねているが、第1ロードロックチャンバの少なくとも一部および/または第2トランスファーチャンバの少なくとも一部がローダーモジュールに上下方向に重なっていればよい。
上記実施形態では、ローダーモジュールは床面上に配置されているが、天井面近くに配置されてもよい。この場合、ローダーモジュールの下方を、プロセスチャンバを配置するスペースとして利用してもよい。また、ローダーモジュールが工場の上の階に設置され、第1および第2搬送ユニット、並びに第1および第2プロセスチャンバが工場の下の階に配置されてもよい。
連結によるプロセスチャンバの数は制限がなく、任意の数のプロセスチャンバをローダーモジュールに連結することができる。
21−1〜21−6…ポート
22…ローダーモジュール
23−1,23−2…第1のプロセスチャンバ
24−1,24−2…第2のプロセスチャンバ
25…大気搬送室
26−1,26−2…第1のロードロックチャンバ
27…第1のトランスファーチャンバ
28…第1の搬送ユニット
29−1,29−2…第2のロードロックチャンバ
30…第2のトランスファーチャンバ
31…第2の搬送ユニット
42…台
56…第1の真空搬送ロボット
58…第2の真空搬送ロボット
60−1,60−2…大気搬送ロボット
61…オリエンタ
78…カセット
80−1,80−2…第3のプロセスチャンバ
81…第3のロードロックチャンバ
82…第3のトランスファーチャンバ
83…第3の搬送ユニット
84…第3の真空搬送ロボット
95…ダミーストレージ
96…連結チャンバ
97…検査装置
98…ダミーストレージ
本発明の第5の態様は、複数のカセットを配置可能な複数のポートが設けられると共に、ポートに配置されたカセット内の基板を搬送する大気搬送ロボットが設けられるローダーモジュールと、前記ローダーモジュールに連結され、前記大気搬送ロボットによって基板が搬送されるロードロックチャンバと、前記ロードロックチャンバに搬送された基板を、処理を行うための少なくとも一つのプロセスチャンバに搬送する真空搬送ロボットが設けられるトランスファーチャンバとを備え、前記ロードロックチャンバまたは前記トランスファーチャンバの少なくとも一部が、前記ローダーモジュールに上下方向に重なり、前記少なくとも一つのプロセスチャンバが、少なくとも一つの第1のプロセスチャンバであり、前記ロードロックチャンバが、第1のロードロックチャンバであり、前記真空搬送ロボットが、第1の真空搬送ロボットであり、前記トランスファーチャンバが、第1のトランスファーチャンバであり、前記基板処理システムは、前記ローダーモジュールと基板を処理するための前記少なくとも一つの第1のプロセスチャンバとの間で基板を搬送するための第1の搬送ユニットと、前記第1の搬送ユニットとは高さの異なる位置に設けられ、前記ローダーモジュールと基板を処理するための少なくとも一つの第2のプロセスチャンバとの間で基板を搬送するための第2の搬送ユニットとを備え、前記第1の搬送ユニットは、前記大気搬送ロボットによって基板が搬送される前記第1のロードロックチャンバ、および前記第1のロードロックチャンバに搬送された基板を前記少なくとも一つの第1のプロセスチャンバに搬送する前記第1の真空搬送ロボットが設けられる前記第1のトランスファーチャンバを含み、前記第2の搬送ユニットは、前記大気搬送ロボットによって基板が搬送される第2のロードロックチャンバ、および前記第2のロードロックチャンバに搬送された基板を前記少なくとも一つの第2のプロセスチャンバに搬送する第2の真空搬送ロボットが設けられる第2のトランスファーチャンバを含み、前記少なくとも一つの第1のプロセスチャンバと前記少なくとも一つの第2のプロセスチャンバとは、上下方向に重なることなく、前記第1の搬送ユニットの少なくとも一部と前記第2の搬送ユニットの少なくとも一部とが、上下方向に重なる基板処理システムである。
本発明の第6の態様は、複数のカセットを配置可能な複数のポートが設けられると共に、ポートに配置されたカセット内の基板を搬送する大気搬送ロボットが設けられるローダーモジュールと、前記ローダーモジュールに連結され、前記大気搬送ロボットによって基板が搬送されるロードロックチャンバと、前記ロードロックチャンバに搬送された基板を、処理を行うための少なくとも一つのプロセスチャンバに搬送する真空搬送ロボットが設けられるトランスファーチャンバとを備え、前記ロードロックチャンバまたは前記トランスファーチャンバの少なくとも一部が、前記ローダーモジュールに上下方向に重なり、前記少なくとも一つのプロセスチャンバが、少なくとも一つの第1のプロセスチャンバであり、前記真空搬送ロボットが、第1の真空搬送ロボットであり、前記トランスファーチャンバが、第1のトランスファーチャンバであり、前記基板処理システムは、前記ロードロックチャンバに搬送された基板を、処理を行うための前記少なくとも一つの第1のプロセスチャンバに搬送する前記第1の真空搬送ロボットが設けられる前記第1のトランスファーチャンバと、前記第1のトランスファーチャンバに連結される真空の連結チャンバと、前記連結チャンバに連結され、前記第1の真空搬送ロボットによって前記連結チャンバに搬送される基板を、処理を行うための少なくとも一つの第2のプロセスチャンバに搬送する第2の真空搬送ロボットが設けられる第2のトランスファーチャンバとを備え、前記第1のトランスファーチャンバと前記第2のトランスファーチャンバは上下方向に高さの異なる位置に設けられ、連結チャンバ内に設けられた基板昇降機構により基板を相互に受け渡し可能とし、前記少なくとも一つの第1のプロセスチャンバと前記少なくとも一つの第2のプロセスチャンバとは、上下方向に重なることがない基板処理システムである。
本発明の第7の態様は、複数のカセットを配置可能な複数のポートが設けられると共に、ポートに配置されたカセット内の被処理体を搬送する大気搬送ロボットが設けられるローダーモジュールと、前記ローダーモジュールと被処理体を処理するための少なくとも一つの第1のプロセスチャンバとの間で被処理体を搬送するための第1の搬送ユニットと、前記第1の搬送ユニットとは高さの異なる位置に設けられ、前記ローダーモジュールと被処理体を処理するための少なくとも一つの第2のプロセスチャンバとの間で被処理体を搬送するための第2の搬送ユニットとを備え、前記少なくとも一つの第1のプロセスチャンバと前記少なくとも一つの第2のプロセスチャンバとは、上下方向に重なることがない被処理体処理システムである。
本発明の第8の態様は、複数のカセットを配置可能な複数のポートが設けられると共に、ポートに配置されたカセット内の被処理体を搬送する大気搬送ロボットが設けられるローダーモジュールと、前記ローダーモジュールと被処理体を処理するための少なくとも一つの第1のプロセスチャンバとの間で被処理体を搬送するための第1の搬送ユニットと、前記第1の搬送ユニットとは高さの異なる位置に設けられ、前記ローダーモジュールと被処理体を処理するための少なくとも一つの第2のプロセスチャンバとの間で被処理体を搬送するための第2の搬送ユニットとを備え、前記第1の搬送ユニットの少なくとも一部と前記第2の搬送ユニットの少なくとも一部とが、上下方向に重なっている被処理体処理システムである。
本発明の第9の態様は、複数のカセットを配置可能な複数のポートが設けられると共に、ポートに配置されたカセット内の被処理体を搬送する大気搬送ロボットが設けられるローダーモジュールと、前記ローダーモジュールと被処理体を処理するための少なくとも一つの第1のプロセスチャンバとの間で被処理体を搬送するための第1の搬送ユニットと、前記第1の搬送ユニットとは高さの異なる位置に設けられ、前記ローダーモジュールと被処理体を処理するための少なくとも一つの第2のプロセスチャンバとの間で被処理体を搬送するための第2の搬送ユニットとを備え、前記少なくとも一つの第1のプロセスチャンバと前記少なくとも一つの第2のプロセスチャンバとは、上下方向に重なることなく、前記第1の搬送ユニットの少なくとも一部と前記第2の搬送ユニットの少なくとも一部とが、上下方向に重なる被処理体処理システムである。
本発明の第10の態様は、複数のカセットを配置可能な複数のポートが設けられると共に、ポートに配置されたカセット内の基板を搬送する大気搬送ロボットが設けられるローダーモジュールと、前記ローダーモジュールに連結され、前記大気搬送ロボットによって基板が搬送される第1のロードロックチャンバ、および前記第1のロードロックチャンバに連結され、前記第1のロードロックチャンバに搬送された基板を搬送する第1の真空搬送ロボットが設けられる第1のトランスファーチャンバを含む第1の搬送ユニットと、前記ローダーモジュールに連結され、前記大気搬送ロボットによって基板が搬送される第2のロードロックチャンバ、および前記第2のロードロックチャンバに連結され、前記第2のロードロックチャンバに搬送された基板を搬送する第2の真空搬送ロボットが設けられる第2のトランスファーチャンバを含む第2の搬送ユニットとを備え、前記第1の搬送ユニット及び前記第2の搬送ユニットは、互いに高さの異なる位置に設けられ、前記第1の搬送ユニットの少なくとも一部と前記第2の搬送ユニットの少なくとも一部が上下方向に重なり、前記第2のトランスファーチャンバが、前記第1のトランスファーチャンバよりも前記ローダーモジュールから離れた位置に配置される搬送モジュールである。
本発明の第11の態様は、複数のカセットを配置可能な複数のポートが設けられると共に、ポートに配置されたカセット内の基板を搬送する大気搬送ロボットが設けられるローダーモジュールと、前記ローダーモジュールに連結され、前記大気搬送ロボットによって基板が搬送される第1のロードロックチャンバ、および前記第1のロードロックチャンバに連結され、前記第1のロードロックチャンバに搬送された基板を搬送する第1の真空搬送ロボットが設けられる第1のトランスファーチャンバを含む第1の搬送ユニットとを備え、前記ローダーモジュールには、前記第1の搬送ユニットとは高さの異なる位置に、基板を搬送するための第2の搬送ユニットを連結可能な連結ポートが設けられ、前記第2の搬送ユニットは、前記大気搬送ロボットによって基板が搬送される第2のロードロックチャンバ、および前記第2のロードロックチャンバに連結され、前記第2のロードロックチャンバに搬送された基板を搬送する第2の真空搬送ロボットが設けられる第2のトランスファーチャンバを含み、前記第1の搬送ユニットの少なくとも一部と前記第2の搬送ユニットの少なくとも一部が上下方向に重なることが可能であり、前記第2のトランスファーチャンバは、前記第1のトランスファーチャンバよりも前記ローダーモジュールから離れた位置に配置可能である搬送モジュールである。
本発明の第12の態様は複数のカセットを配置可能な複数のポートが設けられると共に、ポートに配置されたカセット内の基板を搬送する大気搬送ロボットが設けられるローダーモジュールと、前記ローダーモジュールに連結され、前記大気搬送ロボットによって基板が搬送される第1のロードロックチャンバ、および前記第1のロードロックチャンバに連結され、前記第1のロードロックチャンバに搬送された基板を搬送する第1の真空搬送ロボットが設けられる第1のトランスファーチャンバを含む第1の搬送ユニットと、前記ローダーモジュールに連結され、前記大気搬送ロボットによって基板が搬送される第2のロードロックチャンバ、および前記第2のロードロックチャンバに連結され、前記第2のロードロックチャンバに搬送された基板を搬送する第2の真空搬送ロボットが設けられる第2のトランスファーチャンバを含む第2の搬送ユニットとを備え、前記第1の搬送ユニットおよび前記第2の搬送ユニットは、互いに高さの異なる位置に設けられ、前記第1の搬送ユニットの前記第1のトランスファーチャンバの少なくとも一部と前記第2の搬送ユニットの前記第2のロードロックチャンバの少なくとも一部が上下方向に重なり、前記第2の搬送ユニットの前記第2のトランスファーチャンバは、前記第2の搬送ユニットの前記第1のトランスファーチャンバよりも前記ローダーモジュールから離れた位置に配置される搬送モジュールである。
本発明の第13の態様は、複数のカセットを配置可能な複数のポートが設けられると共に、ポートに配置されたカセット内の基板を搬送する大気搬送ロボットが設けられるローダーモジュールと、前記ローダーモジュールに連結され、前記大気搬送ロボットによって基板が搬送される第1のロードロックチャンバ、及び前記第1のロードロックチャンバに搬送された基板を搬送する第1の真空搬送ロボットが設けられる第1のトランスファーチャンバを含む第1の搬送ユニットとを備え、前記第1のロードロックチャンバまたは前記第1のトランスファーチャンバの少なくとも一部が、前記ローダーモジュールに上下方向に重なり、前記ローダーモジュールには、前記第1の搬送ユニットとは高さの異なる位置に、基板を搬送するための第2の搬送ユニットを連結可能な連結ポートが設けられ、前記第2の搬送ユニットは、前記大気搬送ロボットによって基板が搬送される第2のロードロックチャンバ、および前記第2のロードロックチャンバに連結され、前記第2のロードロックチャンバに搬送された基板を真空中で搬送する第2の真空搬送ロボットが設けられる第2のトランスファーチャンバを含み、前記第1の搬送ユニットの少なくとも一部と前記第2の搬送ユニットの少なくとも一部が上下方向に重なることが可能であり、前記第2の搬送ユニットの前記第2のトランスファーチャンバは、前記第1の搬送ユニットの前記第1のトランスファーチャンバよりも前記ローダーモジュールから離れた位置に配置可能である 搬送モジュールである。
本発明の第14の態様は、複数のカセットを配置可能な複数のポートが設けられると共に、ポートに配置されたカセット内の基板を搬送する大気搬送ロボットが設けられるローダーモジュールであって、前記ローダーモジュールと基板を処理するための少なくとも一つの第1のプロセスチャンバとの間で基板を搬送するための第1の搬送ユニットと連結する可能な第1の連結ポートと、前記第1の搬送ユニットとは高さの異なる位置に設けられ、前記ローダーモジュールと基板を処理するための少なくとも一つの第2のプロセスチャンバとの間で基板を搬送するための第2の搬送ユニットと連結可能な第2の連結ポートとを有し、前記第1の搬送ユニットの少なくとも一部と前記第2の搬送ユニットの少なくとも一部とが上下方向に重なるように、前記第1および第2の搬送ユニットが前記第1および第2の連結ポートにそれぞれ連結されるローダーモジュールである。
本発明の第15の態様は、ローダーモジュール内の大気搬送ロボットが、ポートに配置されたカセット内の基板を第1のロードロックチャンバおよび第2のロードロックチャンバに搬送する大気搬送工程と、前記第1のロードロックチャンバに連結される第1のトランスファーチャンバ内の第1の真空搬送ロボットが、前記第1のロードロックチャンバに搬送される基板を少なくとも一つの第1のプロセスチャンバに搬送する第1の真空搬送工程と、前記第1の真空搬送工程と並行して行われ、前記第2のロードロックチャンバに連結される第2のトランスファーチャンバ内の第2の真空搬送ロボットが、前記第2のロードロックチャンバに搬送される基板を少なくとも一つの第2のプロセスチャンバに搬送する第2の真空搬送工程とを有し、前記第1の真空搬送ロボットが前記第1のロードロックチャンバから前記第1のプロセスチャンバまで基板を搬送する第1の搬送ルート、および前記第2の真空搬送ロボットが前記第2のロードロックチャンバから前記第2のプロセスチャンバまで基板を搬送する第2の搬送ルートが、互いに高さの異なる位置に設けられ、前記第1の搬送ルートの少なくとも一部と前記第2の搬送ルートの少なくとも一部とが、上下方向に重なり、前記第2の搬送ルートは前記第1の搬送ルートよりも前記ローダーモジュールから離れた位置まで基板を搬送する基板処理方法である。
本発明の第16の態様は、複数のカセットを配置可能な複数のポートが設けられると共に、ポートに配置されたカセット内の基板を搬送する大気搬送ロボットが設けられるローダーモジュールと、前記ローダーモジュールと基板を処理するための少なくとも一つの第1のプロセスチャンバとの間で基板を搬送するための第1の搬送ユニットと、前記第1の搬送ユニットとは高さの異なる位置に設けられ、前記ローダーモジュールと基板を処理するための少なくとも一つの第2のプロセスチャンバとの間で基板を搬送するための第2の搬送ユニットとを備え、前記第1の搬送ユニットは、前記大気搬送ロボットによって基板が搬送される第1のロードロックチャンバ、および前記第1のロードロックチャンバに搬送された基板を前記少なくとも一つの第1のプロセスチャンバに搬送する第1の真空搬送ロボットが設けられる第1のトランスファーチャンバを含み、前記第2の搬送ユニットは、前記大気搬送ロボットによって基板が搬送される第2のロードロックチャンバ、及び前記第2のロードロックチャンバに搬送された基板を前記少なくとも一つの第2のプロセスチャンバに搬送する第2の真空搬送ロボットが設けられる第2のトランスファーチャンバを含み、前記少なくとも一つの第1のプロセスチャンバと前記少なくとも一つの第2のプロセスチャンバとは、上下方向に重なることなく、前記第1の搬送ユニットの少なくとも一部と前記第2の搬送ユニットの少なくとも一部とが、上下方向に重なる基板処理システムを用いた半導体素子の製造方法である。

Claims (42)

  1. 複数のカセットを配置可能な複数のポートが設けられると共に、ポートに配置されたカセット内の基板を搬送する大気搬送ロボットが設けられるローダーモジュールと、
    前記ローダーモジュールと基板を処理するための少なくとも一つの第1のプロセスチャンバとの間で基板を搬送するための第1の搬送ユニットと、
    前記第1の搬送ユニットとは高さの異なる位置に設けられ、前記ローダーモジュールと基板を処理するための少なくとも一つの第2のプロセスチャンバと、
    の間で基板を搬送するための第2の搬送ユニットと、
    を備え、
    前記第1の搬送ユニットは、前記大気搬送ロボットによって基板が搬送される第1のロードロックチャンバ、及び前記第1のロードロックチャンバに搬送された基板を前記少なくとも一つの第1のプロセスチャンバに搬送する第1の真空搬送ロボットが設けられる第1のトランスファーチャンバを含み、
    前記第2の搬送ユニットは、前記大気搬送ロボットによって基板が搬送される第2のロードロックチャンバ、及び前記第2のロードロックチャンバに搬送された基板を前記少なくとも一つの第2のプロセスチャンバに搬送する第2の真空搬送ロボットが設けられる第2のトランスファーチャンバを含み、
    前記少なくとも一つの第1のプロセスチャンバと前記少なくとも一つの第2のプロセスチャンバとは、上下方向に重なることなく、
    前記第1の搬送ユニットの少なくとも一部と前記第2の搬送ユニットの少なくとも一部とが、上下方向に重なる、
    基板処理システム。
  2. 前記第1のロードロックチャンバの少なくとも一部が、前記ローダーモジュールに上下方向に重なる、請求項1に記載の基板処理システム。
  3. 前記第1のロードロックチャンバの少なくとも一部及び前記第1のトランスファーチャンバの一部が、前記ローダーモジュールに上下方向に重なる、請求2に記載の基板処理システム。
  4. 前記第1の搬送ユニットの前記第1のトランスファーチャンバと前記第2の搬送ユニットの前記第2のロードロックチャンバとが上下方向に重なる、請求項3に記載の基板処理システム。
  5. 前記トランスファーチャンバの一部が前記ローダーモジュールの上に配置されたとき、前記トランスファーチャンバの重量が前記ローダーモジュールにかからないように、前記トランスファーチャンバを支持する支持部材が設けられる、請求項3に記載の基板処理システム。
  6. 前記ローダーモジュールは、平面的に見たとき、横一列に配置される前記複数のポートの配列方向に細長く延びると共に、前記大気搬送ロボットが設けられる大気搬送室を備え、
    平面的に見たとき、前記少なくとも一つの第1のプロセスチャンバの中心と前記第1のトランスファーチャンバの中心とを結ぶ線は、その延長上で、前記複数のポートの配列方向に鋭角で交差し、
    前記少なくとも一つの第2のプロセスチャンバの中心と前記第2のトランスファーチャンバの中心とを結ぶ線は、その延長上で、前記複数のポートの配列方向に鋭角で交差する、
    請求項1に記載の基板処理システム。
  7. 前記第1のトランスファーチャンバには、2つの第1のプロセスチャンバが連結され、
    前記第2のトランスファーチャンバには、2つの第2のプロセスチャンバが連結され、
    平面的に見たとき、前記2つの第1のプロセスチャンバの中心と前記第1のトランスファーチャンバの中心とを結んだ線によってV字形状が形成され、
    前記2つの第2のプロセスチャンバの中心と前記第2のトランスファーチャンバの中心とを結んだ線によってV字形状が形成される、
    請求項6に記載の基板処理システム。
  8. 前記2つの第1のプロセスチャンバは、それらの中心と前記第1のトランスファーチャンバの中心とを結ぶ線の向きに配置され、
    前記2つの第2のプロセスチャンバは、それらの中心と前記第2のトランスファーチャンバの中心とを結ぶ線の向きに配置される、
    請求項7に記載の基板処理システム。
  9. 前記第1のプロセスチャンバの中心と前記第1のトランスファーチャンバの中心とを結ぶ線に沿って前記第1のプロセスチャンバに対する基板の出し入れが行われ、
    前記第2のプロセスチャンバの中心と前記第2のトランスファーチャンバの中心とを結ぶ線に沿って前記第2のプロセスチャンバに対する基板の出し入れが行われる、
    請求項8に記載の基板処理システム
  10. 前記2つの第1のプロセスチャンバは、前記2つの第2のプロセスチャンバよりも前記ローダーモジュールの近い方に配置され、
    前記第2の搬送ユニットは、前記2つの第1のプロセスチャンバの間のスペースを通って配置される、
    請求項7に記載の基板処理システム。
  11. 前記ローダーモジュールには、前記大気搬送ロボットが2台設けられる、請求項1に記載の基板処理システム。
  12. 前記ローダーモジュールは、平面的に見たとき、横一列に配置される前記複数のポートの配列方向に細長く延びる大気搬送室を備え、
    前記大気搬送室の長手方向の中央には、基板のアライメントを行うオリエンタが設けられ、
    前記大気搬送室の長手方向で中央の両側には、前記2台の大気搬送ロボットが配置され、
    前記2台の大気搬送ロボットのそれぞれは、前記オリエンタ、前記第1のロードロックチャンバおよび前記第2のロードロックチャンバにアクセスできる、
    請求項11に記載の基板処理システム。
  13. 第1のロードロックチャンバおよび第2のロードロックチャンバは、それぞれ2つ設けられる、請求項12に記載の基板処理システム。
  14. 前記オリエンタから前記第1の搬送ユニットを経由して前記少なくとも一つの第1のプロセスチャンバに至る基板の搬送経路長さが、前記2つの第1のロードロックチャンバのいずれを経由しても同じ長さになり、
    前記オリエンタから前記第2の搬送ユニットを経由して前記少なくとも一つの第2のプロセスチャンバに至る基板の搬送経路長さが、前記2つの第2のロードロックチャンバのいずれを経由しても実質的に同じ長さになる、
    請求項13に記載の基板処理システム。
  15. 前記第1のトランスファーチャンバおよび前記第2のトランスファーチャンバの少なくとも一方には、基板を検査する検査装置および基板を収容するストレージの少なくとも一方が連結される、請求項1に記載の基板処理システム。
  16. 前記少なくとも一つの第1のプロセスチャンバは、前記少なくとも一つの第2のプロセスチャンバよりも前記ローダーモジュールに近い位置に配置され、
    前記第1の搬送ユニットは、前記第2の搬送ユニットよりも上方に配置される、
    請求項1に記載の基板処理システム。
  17. 前記少なくとも一つの第1のプロセスチャンバが据え付けられる据付面の高さが、前記少なくとも一つの第2のプロセスチャンバが据え付けられる据付面の高さよりも高くなるように、前記少なくとも一つの第1のプロセスチャンバが台上に据え付けられる、請求項16に記載の基板処理システム。
  18. 前記少なくとも一つの第1のプロセスチャンバおよび前記少なくとも一つの第2のプロセスチャンバの少なくとも一方の上部には、ガス供給系および高周波電力供給手段の少なくとも一方が設けられ、
    その下部には、チャンバ内を真空排気する排気手段が設けられる、
    請求項1に記載の基板処理システム。
  19. 前記ローダーモジュールは、平面的に見たとき、横一列に配置される前記複数のポートの配列方向に細長く延びると共に、前記大気搬送ロボットが設けられる大気搬送室を備え、
    前記第1および前記第2の搬送ユニットは、前記複数のポートの配列方向に細長く延びる前記大気搬送室に連結される、
    請求項1に記載の基板処理システム。
  20. 前記ローダーモジュールは、長手方向の中央部で2分割可能に構成される、請求項19に記載の基板処理システム。
  21. 前記第1および前記第2の搬送ユニットとは高さの異なる位置に設けられ、前記ローダーモジュールから処理を行うための第3のプロセスチャンバに至り、基板を搬送するための少なくとも一つの第3の搬送ユニットを更に備え、
    前記第3の搬送ユニットは、前記大気搬送ロボットによって基板が搬送される第3のロードロックチャンバ、および前記第3のロードロックチャンバに搬送された基板を前記少なくとも一つの第3のプロセスチャンバに搬送する第3の真空搬送ロボットが設けられる第3のトランスファーチャンバを含み、
    前記少なくとも一つの第3のプロセスチャンバは、前記少なくとも一つの第1のプロセスチャンバおよび前記少なくとも一つの第2のプロセスチャンバに対して、上下方向に重なることなく、
    前記第1の搬送ユニットの少なくとも一部、前記第2の搬送ユニットの少なくとも一部、および前記第3の搬送ユニットの少なくとも一部が、上下方向に重なる、
    請求項1に記載の基板処理システム。
  22. 前記少なくとも一つの第3のプロセスチャンバは、前記少なくとも一つの第1のプロセスチャンバおよび前記少なくとも一つの第2のプロセスチャンバよりも前記ローダーモジュールから遠い方に配置され、
    前記第3の搬送ユニットの前記第3のロードロックチャンバは、前記ローダーモジュールから前記第3のトランスファーチャンバに向かって細長く延び、
    前記第3のロードロックチャンバには、基板をその長手方向に直線運動させる移動機構が設けられる、
    請求項20に記載の基板処理システム。
  23. 複数のカセットを配置可能な複数のポートが設けられると共に、ポートに配置されたカセット内の基板を搬送する大気搬送ロボットが設けられるローダーモジュールと、
    前記ローダーモジュールと基板を処理するための少なくとも一つの第1のプロセスチャンバとの間で基板を搬送するための第1の搬送ユニットと、
    を備え、
    前記第1の搬送ユニットは、前記大気搬送ロボットによって基板が搬送される第1のロードロックチャンバ、および前記第1のロードロックチャンバに搬送された基板を前記少なくとも一つの第1のプロセスチャンバに搬送する第1の真空搬送ロボットが設けられる第1のトランスファーチャンバを含み、
    前記ローダーモジュールには、前記第1の搬送ユニットとは高さの異なる位置に、前記ローダーモジュールと基板を処理するための少なくとも一つの第2のプロセスチャンバとの間で基板を搬送するための第2の搬送ユニットを連結可能な連結ポートが設けられ、
    前記第2の搬送ユニットは、前記大気搬送ロボットによって基板が搬送される第2のロードロックチャンバ、および第2のロードロックチャンバに搬送された基板を前記少なくとも一つの第2のプロセスチャンバに搬送する第2の真空搬送ロボットが設けられる第2のトランスファーチャンバを含み、
    前記第1の搬送ユニットの少なくとも一部と前記第2の搬送ユニットの少なくとも一部とが、上下方向に重なることが可能であり、
    少なくとも一つの前記第1のプロセスチャンバと少なくとも一つの前記第2のプロセスチャンバとは、上下方向に重ならないように配置可能である、
    基板処理システム。
  24. 複数のカセットを配置可能な複数のポートが設けられると共に、ポートに配置されたカセット内の基板を搬送する大気搬送ロボットが設けられるローダーモジュールと、
    前記ローダーモジュールと基板を処理するための少なくとも一つの第1のプロセスチャンバとの間で基板を搬送するための第1の搬送ユニットと、
    前記第1の搬送ユニットとは高さの異なる位置に設けられ、前記ローダーモジュールと基板を処理するための少なくとも一つの第2のプロセスチャンバとの間で基板を搬送するための第2の搬送ユニットと、
    を備え、
    前記第1の搬送ユニットは、連通可能に設けられた第1のロードロックチャンバおよび第1のトランスファーチャンバを含み、
    前記第2の搬送ユニットは、連通可能に設けられた第2のロードロックチャンバおよび第2のトランスファーチャンバを含み、
    前記少なくとも一つの第1のプロセスチャンバと前記少なくとも一つの第2のプロセスチャンバとは、上下方向に重なることなく、
    前記第1の搬送ユニットの少なくとも一部と前記第2の搬送ユニットの少なくとも一部とが、上下方向に重なる、
    基板処理システム。
  25. 複数のカセットを配置可能な複数のポートが設けられると共に、ポートに配置されたカセット内の基板を搬送する大気搬送ロボットが設けられるローダーモジュールと、
    前記ローダーモジュールに連結され、前記大気搬送ロボットによって基板が搬送されるロードロックチャンバと、
    前記ロードロックチャンバに搬送された基板を、処理を行うための少なくとも一つの第1のプロセスチャンバに搬送する第1の真空搬送ロボットが設けられる第1のトランスファーチャンバと、
    前記第1のトランスファーチャンバに連結される真空の連結チャンバと、
    前記連結チャンバに連結され、前記第1の真空搬送ロボットによって前記連結チャンバに搬送される基板を、処理を行うための少なくとも一つの第2のプロセスチャンバに搬送する第2の真空搬送ロボットが設けられる第2のトランスファーチャンバと、
    を備え、
    前記第1のトランスファーチャンバと前記第2のトランスファーチャンバは上下方向に高さの異なる位置に設けられ、連結チャンバ内に設けられた基板昇降機構により基板を相互に受け渡し可能とし、
    前記少なくとも一つの第1のプロセスチャンバと前記少なくとも一つの第2のプロセスチャンバとは、上下方向に重なることがない、
    基板処理システム。
  26. 前記第1のトランスファーチャンバおよび前記第2のトランスファーチャンバの少なくとも一方には、基板を検査する検査装置およびダミー基板を収容するダミーストレージの少なくとも一方が連結される、請求項25に記載の基板処理システム。
  27. 複数のカセットを配置可能な複数のポートが設けられると共に、ポートに配置されたカセット内の基板を搬送する大気搬送ロボットが設けられるローダーモジュールと、
    前記ローダーモジュールに連結され、前記大気搬送ロボットによって基板が搬送されるロードロックチャンバと、
    前記ロードロックチャンバに搬送された基板を、処理を行うための少なくとも一つのプロセスチャンバに搬送する真空搬送ロボットが設けられるトランスファーチャンバと、
    を備え、
    前記ロードロックチャンバまたは前記トランスファーチャンバの少なくとも一部が、前記ローダーモジュールに上下方向に重なる、
    基板処理システム。
  28. 前記少なくとも一つのプロセスチャンバが、少なくとも一つの第1のプロセスチャンバであり、
    前記ロードロックチャンバが、第1のロードロックチャンバであり、
    前記真空搬送ロボットが、第1の真空搬送ロボットであり、
    前記トランスファーチャンバが、第1のトランスファーチャンバであり、
    前記基板処理システムは、
    前記ローダーモジュールと基板を処理するための前記少なくとも一つの第1のプロセスチャンバとの間で基板を搬送するための第1の搬送ユニットと、
    前記第1の搬送ユニットとは高さの異なる位置に設けられ、前記ローダーモジュールと基板を処理するための少なくとも一つの第2のプロセスチャンバと、
    の間で基板を搬送するための第2の搬送ユニットと、
    を備え、
    前記第1の搬送ユニットは、前記大気搬送ロボットによって基板が搬送される前記第1のロードロックチャンバ、および前記第1のロードロックチャンバに搬送された基板を前記少なくとも一つの第1のプロセスチャンバに搬送する前記第1の真空搬送ロボットが設けられる前記第1のトランスファーチャンバを含み、
    前記第2の搬送ユニットは、前記大気搬送ロボットによって基板が搬送される第2のロードロックチャンバ、および前記第2のロードロックチャンバに搬送された基板を前記少なくとも一つの第2のプロセスチャンバに搬送する第2の真空搬送ロボットが設けられる第2のトランスファーチャンバを含み、
    前記少なくとも一つの第1のプロセスチャンバと前記少なくとも一つの第2のプロセスチャンバとは、上下方向に重なることなく、
    前記第1の搬送ユニットの少なくとも一部と前記第2の搬送ユニットの少なくとも一部とが、上下方向に重なる、
    請求項27に記載の基板処理システム。
  29. 前記少なくとも一つのプロセスチャンバが、少なくとも一つの第1のプロセスチャンバであり、
    前記真空搬送ロボットが、第1の真空搬送ロボットであり、
    前記トランスファーチャンバが、第1のトランスファーチャンバであり、
    前記基板処理システムは、
    前記ロードロックチャンバに搬送された基板を、処理を行うための前記少なくとも一つの第1のプロセスチャンバに搬送する前記第1の真空搬送ロボットが設けられる前記第1のトランスファーチャンバと、
    前記第1のトランスファーチャンバに連結される真空の連結チャンバと、
    前記連結チャンバに連結され、前記第1の真空搬送ロボットによって前記連結チャンバに搬送される基板を、処理を行うための少なくとも一つの第2のプロセスチャンバに搬送する第2の真空搬送ロボットが設けられる第2のトランスファーチャンバと、
    を備え、
    前記第1のトランスファーチャンバと前記第2のトランスファーチャンバは上下方向に高さの異なる位置に設けられ、連結チャンバ内に設けられた基板昇降機構により基板を相互に受け渡し可能とし、
    前記少なくとも一つの第1のプロセスチャンバと前記少なくとも一つの第2のプロセスチャンバとは、上下方向に重なることがない、
    請求項27に記載の基板処理システム。
  30. 複数のカセットを配置可能な複数のポートが設けられると共に、ポートに配置されたカセット内の基板を搬送する大気搬送ロボットが設けられるローダーモジュールと、
    前記ローダーモジュールに連結され、前記大気搬送ロボットによって基板が搬送される第1のロードロックチャンバ、および前記第1のロードロックチャンバに連結され、前記第1のロードロックチャンバに搬送された基板を搬送する第1の真空搬送ロボットが設けられる第1のトランスファーチャンバを含む第1の搬送ユニットと、
    前記ローダーモジュールに連結され、前記大気搬送ロボットによって基板が搬送される第2のロードロックチャンバ、および前記第2のロードロックチャンバに連結され、前記第2のロードロックチャンバに搬送された基板を搬送する第2の真空搬送ロボットが設けられる第2のトランスファーチャンバを含む第2の搬送ユニットと、
    を備え、
    前記第1の搬送ユニット及び前記第2の搬送ユニットは、互いに高さの異なる位置に設けられ、
    前記第1の搬送ユニットの少なくとも一部と前記第2の搬送ユニットの少なくとも一部が上下方向に重なり、
    前記第2のトランスファーチャンバが、前記第1のトランスファーチャンバよりも前記ローダーモジュールから離れた位置に配置される、
    搬送モジュール。
  31. 前記第1のロードロックチャンバの少なくとも一部が、前記ローダーモジュールに上下方向に重なる、請求項30に記載の搬送モジュール。
  32. 前記第1の搬送ユニットの前記第1のトランスファーチャンバと前記第2の搬送ユニットの前記第2のロードロックチャンバとが上下方向に重なる、請求項31に記載の搬送モジュール。
  33. 前記ローダーモジュールには、前記大気搬送ロボットが2台設けられる、請求項30に記載の搬送モジュール。
  34. 前記ローダーモジュールは、平面的に見たとき、横一列に配置される前記複数のポートの配列方向に細長く延びると共に、前記大気搬送ロボットが設けられる大気搬送室を備え、
    前記第1および前記第2の搬送ユニットは、前記複数のポートの配列方向に細長く延びる前記大気搬送室に連結される、
    請求項30に記載の搬送モジュール。
  35. 前記搬送モジュールはさらに、前記ローダーモジュールに連結され、前記大気搬送ロボットによって基板が搬送される第3のロードロックチャンバ、および前記第3のロードロックチャンバに連結され、前記第3のロードロックチャンバに搬送された基板を搬送する第3の真空搬送ロボットが設けられる第3のトランスファーチャンバを含む第3の搬送ユニットを備え、
    前記第3の搬送ユニットは、前記第1の搬送ユニットおよび前記第2の搬送ユニットとは高さの異なる位置に設けられ、
    前記第1の搬送ユニットの少なくとも一部、前記第2の搬送ユニットの少なくとも一部、および前記第3の搬送ユニットの少なくとも一部が、上下方向に重なり、
    前記第3の搬送ユニットの前記第3のトランスファーチャンバが、前記第1の搬送ユニットの前記第1のトランスファーチャンバおよび前記第2の搬送ユニットの前記第2のトランスファーチャンバよりも前記ローダーモジュールから離れた位置に配置される、
    請求項30に記載の搬送モジュール。
  36. 複数のカセットを配置可能な複数のポートが設けられると共に、ポートに配置されたカセット内の基板を搬送する大気搬送ロボットが設けられるローダーモジュールと、
    前記ローダーモジュールに連結され、前記大気搬送ロボットによって基板が搬送される第1のロードロックチャンバ、および前記第1のロードロックチャンバに連結され、前記第1のロードロックチャンバに搬送された基板を搬送する第1の真空搬送ロボットが設けられる第1のトランスファーチャンバを含む第1の搬送ユニットと、
    を備え、
    前記ローダーモジュールには、前記第1の搬送ユニットとは高さの異なる位置に、基板を搬送するための第2の搬送ユニットを連結可能な連結ポートが設けられ、
    前記第2の搬送ユニットは、前記大気搬送ロボットによって基板が搬送される第2のロードロックチャンバ、および前記第2のロードロックチャンバに連結され、前記第2のロードロックチャンバに搬送された基板を搬送する第2の真空搬送ロボットが設けられる第2のトランスファーチャンバを含み、
    前記第1の搬送ユニットの少なくとも一部と前記第2の搬送ユニットの少なくとも一部が上下方向に重なることが可能であり、
    前記第2のトランスファーチャンバは、前記第1のトランスファーチャンバよりも前記ローダーモジュールから離れた位置に配置可能である、
    搬送モジュール。
  37. 複数のカセットを配置可能な複数のポートが設けられると共に、ポートに配置されたカセット内の基板を搬送する大気搬送ロボットが設けられるローダーモジュールと、
    前記ローダーモジュールに連結され、前記大気搬送ロボットによって基板が搬送されるロードロックチャンバと、
    前記ロードロックチャンバに搬送された基板を搬送する真空搬送ロボットが設けられるトランスファーチャンバと、
    を備え、
    前記ロードロックチャンバまたは前記トランスファーチャンバの少なくとも一部が、前記ローダーモジュールに上下方向に重なる、
    搬送モジュール。
  38. 複数のカセットを配置可能な複数のポートが設けられると共に、ポートに配置されたカセット内の基板を搬送する大気搬送ロボットが設けられるローダーモジュールと、
    前記ローダーモジュールに連結され、前記大気搬送ロボットによって基板が搬送される第1のロードロックチャンバ、および前記第1のロードロックチャンバに連結され、前記第1のロードロックチャンバに搬送された基板を搬送する第1の真空搬送ロボットが設けられる第1のトランスファーチャンバを含む第1の搬送ユニットと、
    前記ローダーモジュールに連結され、前記大気搬送ロボットによって基板が搬送される第2のロードロックチャンバ、および前記第2のロードロックチャンバに連結され、前記第2のロードロックチャンバに搬送された基板を搬送する第2の真空搬送ロボットが設けられる第2のトランスファーチャンバを含む第2の搬送ユニットと、
    を備え、
    前記第1の搬送ユニットおよび前記第2の搬送ユニットは、互いに高さの異なる位置に設けられ、
    前記第1の搬送ユニットの前記第1のトランスファーチャンバの少なくとも一部と前記第2の搬送ユニットの前記第2のロードロックチャンバの少なくとも一部が上下方向に重なり、
    前記第2の搬送ユニットの前記第2のトランスファーチャンバは、前記第2の搬送ユニットの前記第1のトランスファーチャンバよりも前記ローダーモジュールから離れた位置に配置される、
    搬送モジュール。
  39. 複数のカセットを配置可能な複数のポートが設けられると共に、ポートに配置されたカセット内の基板を搬送する大気搬送ロボットが設けられるローダーモジュールと、
    前記ローダーモジュールに連結され、前記大気搬送ロボットによって基板が搬送される第1のロードロックチャンバ、及び前記第1のロードロックチャンバに搬送された基板を搬送する第1の真空搬送ロボットが設けられる第1のトランスファーチャンバを含む第1の搬送ユニットと、
    を備え、
    前記第1のロードロックチャンバまたは前記第1のトランスファーチャンバの少なくとも一部が、前記ローダーモジュールに上下方向に重なり、
    前記ローダーモジュールには、前記第1の搬送ユニットとは高さの異なる位置に、基板を搬送するための第2の搬送ユニットを連結可能な連結ポートが設けられる
    搬送モジュール。
  40. 前記第2の搬送ユニットは、前記大気搬送ロボットによって基板が搬送される第2のロードロックチャンバ、および前記第2のロードロックチャンバに連結され、前記第2のロードロックチャンバに搬送された基板を真空中で搬送する第2の真空搬送ロボットが設けられる第2のトランスファーチャンバを含み、
    前記第1の搬送ユニットの少なくとも一部と前記第2の搬送ユニットの少なくとも一部が上下方向に重なることが可能であり、
    前記第2の搬送ユニットの前記第2のトランスファーチャンバは、前記第1の搬送ユニットの前記第1のトランスファーチャンバよりも前記ローダーモジュールから離れた位置に配置可能である、
    請求項39に記載の搬送モジュール。
  41. ローダーモジュール内の大気搬送ロボットが、ポートに配置されたカセット内の基板を第1のロードロックチャンバおよび第2のロードロックチャンバに搬送する大気搬送工程と、
    前記第1のロードロックチャンバに連結される第1のトランスファーチャンバ内の第1の真空搬送ロボットが、前記第1のロードロックチャンバに搬送される基板を少なくとも一つの第1のプロセスチャンバに搬送する第1の真空搬送工程と、
    前記第1の真空搬送工程と並行して行われ、前記第2のロードロックチャンバに連結される第2のトランスファーチャンバ内の第2の真空搬送ロボットが、前記第2のロードロックチャンバに搬送される基板を少なくとも一つの第2のプロセスチャンバに搬送する第2の真空搬送工程と、
    を有し、
    前記第1の真空搬送ロボットが前記第1のロードロックチャンバから前記第1のプロセスチャンバまで基板を搬送する第1の搬送ルート、および前記第2の真空搬送ロボットが前記第2のロードロックチャンバから前記第2のプロセスチャンバまで基板を搬送する第2の搬送ルートが、互いに高さの異なる位置に設けられ、
    前記第1の搬送ルートの少なくとも一部と前記第2の搬送ルートの少なくとも一部とが、上下方向に重なり、
    前記第2の搬送ルートは前記第1の搬送ルートよりも前記ローダーモジュールから離れた位置まで基板を搬送する、
    基板処理方法。
  42. 複数のカセットを配置可能な複数のポートが設けられると共に、ポートに配置されたカセット内の基板を搬送する大気搬送ロボットが設けられるローダーモジュールと、
    前記ローダーモジュールと基板を処理するための少なくとも一つの第1のプロセスチャンバとの間で基板を搬送するための第1の搬送ユニットと、
    前記第1の搬送ユニットとは高さの異なる位置に設けられ、前記ローダーモジュールと基板を処理するための少なくとも一つの第2のプロセスチャンバとの間で基板を搬送するための第2の搬送ユニットと、
    を備え、
    前記第1の搬送ユニットは、前記大気搬送ロボットによって基板が搬送される第1のロードロックチャンバ、および前記第1のロードロックチャンバに搬送された基板を前記少なくとも一つの第1のプロセスチャンバに搬送する第1の真空搬送ロボットが設けられる第1のトランスファーチャンバを含み、
    前記第2の搬送ユニットは、前記大気搬送ロボットによって基板が搬送される第2のロードロックチャンバ、及び前記第2のロードロックチャンバに搬送された基板を前記少なくとも一つの第2のプロセスチャンバに搬送する第2の真空搬送ロボットが設けられる第2のトランスファーチャンバを含み、
    前記少なくとも一つの第1のプロセスチャンバと前記少なくとも一つの第2のプロセスチャンバとは、上下方向に重なることなく、
    前記第1の搬送ユニットの少なくとも一部と前記第2の搬送ユニットの少なくとも一部とが、上下方向に重なる基板処理システム、
    を用いた半導体素子の製造方法。
JP2012527598A 2010-08-06 2011-08-03 基板処理システム、搬送モジュール、基板処理方法及び半導体素子の製造方法 Active JP5503006B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2012527598A JP5503006B2 (ja) 2010-08-06 2011-08-03 基板処理システム、搬送モジュール、基板処理方法及び半導体素子の製造方法

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2010178165 2010-08-06
JP2010178165 2010-08-06
JP2012527598A JP5503006B2 (ja) 2010-08-06 2011-08-03 基板処理システム、搬送モジュール、基板処理方法及び半導体素子の製造方法
PCT/JP2011/004401 WO2012017653A1 (ja) 2010-08-06 2011-08-03 基板処理システム、搬送モジュール、基板処理方法及び半導体素子の製造方法

Publications (2)

Publication Number Publication Date
JPWO2012017653A1 true JPWO2012017653A1 (ja) 2013-10-03
JP5503006B2 JP5503006B2 (ja) 2014-05-28

Family

ID=45559174

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012527598A Active JP5503006B2 (ja) 2010-08-06 2011-08-03 基板処理システム、搬送モジュール、基板処理方法及び半導体素子の製造方法

Country Status (6)

Country Link
US (1) US9312153B2 (ja)
JP (1) JP5503006B2 (ja)
KR (1) KR101331288B1 (ja)
CN (1) CN103155133A (ja)
TW (1) TWI524458B (ja)
WO (1) WO2012017653A1 (ja)

Families Citing this family (262)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5996857B2 (ja) 2011-09-30 2016-09-21 東京エレクトロン株式会社 駆動装置及び基板処理システム
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR102374689B1 (ko) * 2012-08-08 2022-03-14 어플라이드 머티어리얼스, 인코포레이티드 링크된 진공 프로세싱 툴들 및 그 사용 방법들
JP6002532B2 (ja) * 2012-10-10 2016-10-05 株式会社日立ハイテクノロジーズ 真空処理装置及び真空処理方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6377918B2 (ja) * 2014-03-06 2018-08-22 株式会社ダイヘン 基板損傷検出装置、その基板損傷検出装置を備えた基板搬送ロボット及び基板損傷検出方法
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10014196B2 (en) * 2015-10-20 2018-07-03 Lam Research Corporation Wafer transport assembly with integrated buffers
US9502275B1 (en) * 2015-10-20 2016-11-22 Lam Research Corporation Service tunnel for use on capital equipment in semiconductor manufacturing and research fabs
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US20170352562A1 (en) * 2016-06-02 2017-12-07 Applied Materials, Inc. Dodecadon transfer chamber and processing system having the same
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10438828B2 (en) * 2016-10-03 2019-10-08 Applied Materials, Inc. Methods and apparatus to prevent interference between processing chambers
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR101754589B1 (ko) * 2016-11-21 2017-07-10 피에스케이 주식회사 기판 처리 장치 및 기판 처리 방법
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
JP6972852B2 (ja) * 2017-05-23 2021-11-24 東京エレクトロン株式会社 真空搬送モジュール及び基板処理装置
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10378279B2 (en) * 2017-07-12 2019-08-13 Lam Research Corporation Step ladder with component rack system for fabrication facility
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
CN108054116A (zh) * 2017-11-29 2018-05-18 德淮半导体有限公司 工艺设备及其工作方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI758595B (zh) * 2018-03-31 2022-03-21 日商平田機工股份有限公司 腔室構造
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP7210960B2 (ja) * 2018-09-21 2023-01-24 東京エレクトロン株式会社 真空処理装置及び基板搬送方法
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
JP7175201B2 (ja) * 2019-01-10 2022-11-18 東京エレクトロン株式会社 処理装置
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11721564B2 (en) * 2019-04-08 2023-08-08 Tokyo Electron Limited Substrate processing system and substrate transfer apparatus and method
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR102186268B1 (ko) * 2019-05-27 2020-12-03 현대중공업지주 주식회사 기판 이송장치용 보수장치
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220009216A (ko) * 2020-07-15 2022-01-24 삼성전자주식회사 발광 소자, 발광 소자의 제조 방법, 및 발광 소자를 포함하는 디스플레이 장치
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
US11581203B2 (en) * 2020-09-02 2023-02-14 Applied Materials, Inc. Systems for integrating load locks into a factory interface footprint space
US20220081757A1 (en) * 2020-09-11 2022-03-17 Tokyo Electron Limited Film forming apparatus, film forming system, and film forming method
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
TWI809981B (zh) * 2022-07-15 2023-07-21 堤維西交通工業股份有限公司 光學透鏡及透鏡裝置
CN115806187B (zh) * 2022-11-23 2024-04-23 成都芯锐科技有限公司 一种用于ai芯片的智能转运装置及其转运方法

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04240721A (ja) * 1991-01-25 1992-08-28 Sony Corp マルチチャンバプロセス装置
JPH1012695A (ja) * 1996-06-25 1998-01-16 Tokyo Electron Ltd 処理装置
JPH10107124A (ja) * 1996-08-05 1998-04-24 Kokusai Electric Co Ltd 基板処理装置
JP2000100922A (ja) * 1998-09-21 2000-04-07 Nissin Electric Co Ltd 真空処理装置
JP2002343848A (ja) * 2001-05-09 2002-11-29 Samsung Electronics Co Ltd 半導体装置製造設備
JP2004006665A (ja) * 2002-02-20 2004-01-08 Tokyo Electron Ltd 真空処理装置
JP2004140406A (ja) * 2004-01-08 2004-05-13 Sumitomo Precision Prod Co Ltd 基板処理システム
JP2010103486A (ja) * 2008-09-26 2010-05-06 Hitachi Kokusai Electric Inc 基板処理装置のセットアップ方法。

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3732250B2 (ja) * 1995-03-30 2006-01-05 キヤノンアネルバ株式会社 インライン式成膜装置
US6176667B1 (en) * 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
JP3947761B2 (ja) * 1996-09-26 2007-07-25 株式会社日立国際電気 基板処理装置、基板搬送機および基板処理方法
US6270306B1 (en) * 1998-01-14 2001-08-07 Applied Materials, Inc. Wafer aligner in center of front end frame of vacuum system
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
JP2000195925A (ja) 1998-12-28 2000-07-14 Anelva Corp 基板処理装置
JP4330703B2 (ja) * 1999-06-18 2009-09-16 東京エレクトロン株式会社 搬送モジュール及びクラスターシステム
WO2001010756A1 (en) * 1999-08-11 2001-02-15 Multilevel Metals, Inc. Load lock system for foups
JP4021125B2 (ja) * 2000-06-02 2007-12-12 東京エレクトロン株式会社 ウェハ移載装置の装置ユニット接続時に用いられるレールの真直性保持装置
JP2002151568A (ja) 2000-11-07 2002-05-24 Tokyo Electron Ltd 被処理体の処理システム及び搬送方法
US7204669B2 (en) * 2002-07-17 2007-04-17 Applied Materials, Inc. Semiconductor substrate damage protection system
AU2003277790A1 (en) * 2002-11-15 2004-06-15 Unaxis Balzers Ag Apparatus for vacuum treating two dimensionally extended substrates and method for manufacturing such substrates
US20040141832A1 (en) * 2003-01-10 2004-07-22 Jang Geun-Ha Cluster device having dual structure
US7010388B2 (en) * 2003-05-22 2006-03-07 Axcelis Technologies, Inc. Work-piece treatment system having load lock and buffer
US20070269297A1 (en) * 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US7246985B2 (en) * 2004-04-16 2007-07-24 Axcelis Technologies, Inc. Work-piece processing system
WO2006004718A1 (en) * 2004-06-28 2006-01-12 Brooks Automation, Inc. Non productive wafer buffer module for substrate processing apparatus
JP4566035B2 (ja) * 2005-03-11 2010-10-20 東京エレクトロン株式会社 塗布、現像装置及びその方法
JP4860167B2 (ja) * 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
US7949425B2 (en) * 2006-12-06 2011-05-24 Axcelis Technologies, Inc. High throughput wafer notch aligner
JP2009010009A (ja) * 2007-06-26 2009-01-15 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
JP5084420B2 (ja) 2007-09-21 2012-11-28 東京エレクトロン株式会社 ロードロック装置および真空処理システム
US20090162170A1 (en) * 2007-12-19 2009-06-25 Asm Japan K.K. Tandem type semiconductor-processing apparatus

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04240721A (ja) * 1991-01-25 1992-08-28 Sony Corp マルチチャンバプロセス装置
JPH1012695A (ja) * 1996-06-25 1998-01-16 Tokyo Electron Ltd 処理装置
JPH10107124A (ja) * 1996-08-05 1998-04-24 Kokusai Electric Co Ltd 基板処理装置
JP2000100922A (ja) * 1998-09-21 2000-04-07 Nissin Electric Co Ltd 真空処理装置
JP2002343848A (ja) * 2001-05-09 2002-11-29 Samsung Electronics Co Ltd 半導体装置製造設備
JP2004006665A (ja) * 2002-02-20 2004-01-08 Tokyo Electron Ltd 真空処理装置
JP2004140406A (ja) * 2004-01-08 2004-05-13 Sumitomo Precision Prod Co Ltd 基板処理システム
JP2010103486A (ja) * 2008-09-26 2010-05-06 Hitachi Kokusai Electric Inc 基板処理装置のセットアップ方法。

Also Published As

Publication number Publication date
WO2012017653A1 (ja) 2012-02-09
KR101331288B1 (ko) 2013-11-20
KR20130041308A (ko) 2013-04-24
US20130202387A1 (en) 2013-08-08
TWI524458B (zh) 2016-03-01
US9312153B2 (en) 2016-04-12
CN103155133A (zh) 2013-06-12
TW201225201A (en) 2012-06-16
JP5503006B2 (ja) 2014-05-28

Similar Documents

Publication Publication Date Title
JP5503006B2 (ja) 基板処理システム、搬送モジュール、基板処理方法及び半導体素子の製造方法
US10679879B2 (en) Substrate processing apparatus
US9472432B1 (en) Dedicated hot and cold end effectors for improved throughput
JP4816545B2 (ja) 基板処理装置、基板処理方法及び記憶媒体
JP2011071293A (ja) プロセスモジュール、基板処理装置、および基板搬送方法
KR102244352B1 (ko) 기판 반송 기구, 기판 처리 장치 및 기판 반송 방법
KR101453189B1 (ko) 반송 장치
JP2011124565A (ja) 半導体被処理基板の真空処理システム及び半導体被処理基板の真空処理方法
JP2017163103A (ja) 基板処理装置
JP6747136B2 (ja) 基板処理装置
TWI662645B (zh) Wafer transfer system
JP2013074183A (ja) サイド用ロードポート、efem
US20220068677A1 (en) Systems and methods for integrating load locks into a factory interface footprint space
KR101336420B1 (ko) 진공 처리 장치
JP2018170347A (ja) ウェハー搬送装置及びウェハー搬送方法
JP2018098387A (ja) 基板処理装置
CN113195170A (zh) 基板传送装置及基板传送系统
US9962840B2 (en) Substrate conveyance apparatus
JP6296164B2 (ja) ロボットシステムおよび搬送方法
JP2013055363A (ja) プロセスモジュール、基板処理装置、および基板搬送方法
TW202312233A (zh) 具有冗餘度的工廠介面
JP2023040996A (ja) Efemを含むウェーハ処理装置及びウェーハ処理方法
KR20230111142A (ko) 기판 반송 방법 및 기판 처리 시스템
JP2009049233A (ja) 基板処理装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130719

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130924

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131122

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140218

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140313

R150 Certificate of patent or registration of utility model

Ref document number: 5503006

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250