JPH0855840A - アーキング阻止手段を有する半導体ウエハ処理装置のためのガス分散板 - Google Patents

アーキング阻止手段を有する半導体ウエハ処理装置のためのガス分散板

Info

Publication number
JPH0855840A
JPH0855840A JP7065917A JP6591795A JPH0855840A JP H0855840 A JPH0855840 A JP H0855840A JP 7065917 A JP7065917 A JP 7065917A JP 6591795 A JP6591795 A JP 6591795A JP H0855840 A JPH0855840 A JP H0855840A
Authority
JP
Japan
Prior art keywords
gas
circular
plate
gas distribution
distribution plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP7065917A
Other languages
English (en)
Inventor
Yuh-Jia Su
スー ユー−ジア
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH0855840A publication Critical patent/JPH0855840A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Abstract

(57)【要約】 (修正有) 【目的】プラズマ処理に十分な量のガスをチャンバ内に
導入することができ、閉塞することのない開口を有し、
しかもチャンバ内で発生したプラズマの種類に関係なく
アーキングを抑制できるガス分散板を提供する。 【構成】半導体ウエハプロセスチャンバに使用するガス
分散板10は、ガスを通過させるために形成された対称
パターンに配列された非円形開口14を有する。その非
円形開口の短軸の長さは、少なくとも約127μm(5
mils)、最適には約254μm(10mils)よ
り長く、約762μm(30mils)、最適には約6
35μm(25mils)より短い。長軸の長さは、短
軸より長く、最適には約635μm(25mils)よ
り長く、一番最適な長さは約762μm(30mil
s)である。非円形開口の少なくとも幾つかの壁は、ガ
ス分散板の面に対して垂直でないことが望ましい。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、ガスを半導体プロセス
チャンバに導入するために使用する改良したガス分散板
を有する半導体ウエハ処理装置に関するものである。よ
り詳細には、本発明は、ガスを半導体ウエハプロセスチ
ャンバに導入するガス分散板に関するものである。この
ガス分散板は、プラズマをプロセスチャンバ内で生成す
る場合の電極として利用され、ガス分散板表面でのアー
キング(arcing)を阻止する手段と、ガス分散板
を介してプロセスチャンバへ流入するガスの停滞を防止
する手段とを有するものである。
【0002】
【従来の技術】半導体ウエハの処理過程で、例えば、ウ
エハのエッチングやウエハ表面に材料を積層する工程に
おいて、処理中のウエハと向き合っているガス分散板に
形成された開口を介して、一種類以上のガスをプロセス
チャンバ内に導入する。そのようなエッチングや積層を
容易にするために、例えば、ウエハを処理している間、
そのウエハを保持するウエハ保持台と共にチャンバの金
属壁を接地する一方、ガス分散板をRF電源に電気的に接
続することにより、プラズマをチャンバ内のガス分散板
とウエハ間でときどき放電させる。
【0003】処理用ガスがチャンバに流入するときに通
過するガス分散板の開口部は、ガスのチャンバ内への均
一な流入と分散を確実なものにするために、従来はほぼ
全てが同径の円形孔が対称的パターンに配列されてい
る。図1に、このような円形開口4を対称的に配列した
従来のガス分散板2を示す。
【0004】
【発明が解決しようとする課題】このような同一の円形
開口の対称パターンは、チャンバ内に流入したガスを均
一に分散するために通常とられるものであるが、このよ
うな円形開口には問題がある。例えば、円形開口の直径
は、ある範囲内に維持しなくてはならないが、その範囲
は、チャンバ内に流入する所望のガスの量に関して常に
都合がよいわけではない。円形開口の直径が約508μ
m(20mils)または0.020インチより小さい
ときには、ウエハとチャンバ壁の双方あるいはいずれか
一方とハロゲン含有プラズマとの反応による副生成物
が、少なくとも数個の孔の閉塞をしばしばもたらす。そ
してそれはガスの流量の低下や、不均一なガス分散をも
たらし、ひいては処理結果にも影響を与える。
【0005】一方、その閉塞問題の明白な解決法、例え
ば円形孔の直径を約762μm(30mils)以上の
大きさにするという解決法を用いると、分散板の面に沿
って流れたり、分散板とチャンバの溝または天井との間
のすき間に入り込む不適当なプラズマにより、ガス分散
板上にアーキングが生じてしまう。これは、口径につい
て約254μm(10mils)以上の余裕を残すもの
であり、もし開口が小さすぎると開口の閉塞の可能性が
あり、開口が大きすぎるとアーキングが発生する可能性
がある。
【0006】それゆえ、プラズマ処理に用いることに適
した半導体処理装置を提供することが望まれた。特に、
閉塞されることなく、ガスがそこを通過してチャンバに
流入できるような十分な大きさと形状を持つ開口の配列
を有するガス分散板を提供するものであり、チャンバで
発生したプラズマの種類に関係なく、開口の大きさに関
する比較的大きな寸法余裕を持ちながら、ガス分散板の
表面でのプラズマのアーキングを抑制できるガス分散板
を提供する半導体処理装置が望まれていた。
【0007】
【課題を解決するための手段】本発明は、ガスが通過す
るための非円形状の開口が対称パターンに配列されたガ
ス分散板を有する半導体プロセスチャンバに関するもの
である。その非円形開口の短軸の長さは、少なくとも約
127μm(5mils)以上、最適には254μm
(10mils)以上であることが望ましいが、約76
2μm(30mils)より短く、最適には635μm
(25mils)より短いことが望ましい。長軸は短軸
より長く、少なくとも約635μm(25mils)以
上、最適には762μm(30mils)以上であるこ
とが望ましい。最適な実施例においては、非円形開口の
壁の少なくともいくつかは、ガス分散板の面に対して垂
直でなく、ウエハに面している円形のガス分散板の外面
の中心又は軸のほうに向かって、少なくとも30度から
90度未満の角度でやや傾斜している。
【0008】他の最適実施例においては、ガス分散板の
表面に生じた不適当なプラズマの逃げ道を与えるための
周辺導電手段を、プロセスチャンバの接地部分と電気的
に接続したガス分散板の面に配することにより、ガス分
散板の周囲のアーキングを防いでいる。
【0009】
【実施例】図2及び図3は、改良した本発明のガス分散
板の一実施例を示している。本実施例において、一連の
長尺スロット14、すなわち非円形開口が、ガス分散板
10の中心または軸に対し、各スロットの長軸が星状パ
ターンをとるように円形ガス分散板10上に形成されて
いる。図3で見るとよくわかるように、各スロットは長
軸Yと短軸Xとからなる。各スロット14の長さYは最
も短くてもスロット14の幅Xの最大の長さより長くな
ければならず、少なくとも約635μm(25mil
s)、最適には約762μm(30mils)の長さが
良い。スロット14の最大長Yは板10の大きさ(直
径)によってのみ支配される。すなわち、スロット14
の最大長Yはガス分散板10の半径より短くなければな
らない。
【0010】スロット14の幅Xは最も短くても少なく
とも約127μm(5mils)の長さを有し、分散板
を通過するガスの停滞を防ぐためには少なくとも約25
4μm(10mils)であることが望ましい。しか
し、スロット14の幅Xは最も長くても762μm(3
0mils)より短くなくてはならないので、アーキン
グを阻止するためには約635μm(25mils)よ
り短いことが望ましい。
【0011】直径762μm(30mils)を超す円
形スロットで実験した結果から、このような長尺非円形
スロット14は、開口が閉塞せず、大きすぎる開口によ
るアーキングも起こらずにガスの通過に適した開口であ
ることがわかる。
【0012】このように、本発明によると、対称パター
ンに配列した円形孔においてはアーキングが生じてしま
うような最大径よりも大きい第1寸法と、対称パターン
に配列した円形孔においてはハロゲン含有プラズマがふ
さいでしまうような最小径よりも小さい第2寸法とを有
する非円形開口がガス分散板に形成されているが、アー
キングと孔の閉塞の両方を防ぐことができる。
【0013】図4は、本発明の他の実施例を示してお
り、円形ガス分散板20に、さまざまな半径を持つ弧ま
たはアーチ型のスロット形状の非円形開口を板20の中
心21を同軸として配列したものである。図示した実施
例において、それぞれのアーチ型スロットがちょうど1
20度より小さい角度で(つまりスロット同士が離れる
ように)、3個のアーチ型スロット22a,22b,2
2cが板20の中心を同軸として最も内側に円形配列さ
れている。3個のアーチ型スロット24a,24b,2
4cは、アーチ型スロット22a,22b,22cより
大きな半径の第二同軸円上に同様に配列され、さらに、
3個のアーチ型スロット26a,26b,26cが3つ
の円のうち最も大きな円を形成するものとして同軸上に
形成されている。もちろん、アーチ型スロットを介して
プロセスチャンバに十分なそして均一なガスを分散する
ために必要であるなら、アーチ型スロットでできた円を
3つより多く(または少なく)形成してもよい。さら
に、3個より多いアーチ型スロットを、そのスロットを
通してチャンバ内に均一なガス分散が行われるように、
対称形にそれぞれの円上に形成してもよいことを記して
おく。
【0014】さらに、図4に示すように、本実施例では
最小円上の3個のアーチ型スロット間の3個の間隙のそ
れぞれが、最大円上の3個のアーチ型スロット間の3個
の間隙のそれぞれと一直線上になるように揃えるのが望
ましく、間に存在する円のアーチ型スロット間の3個の
間隙のそれぞれは、最小または最大円上の各スロットの
間隙に対して60度回転している。本実施例の最適配置
として、点線28a,28b,28cで示したように、
最小円または最大円の3個のアーチ型スロットの各間隙
の中心は、間に存在する円に沿ったアーチ型スロットの
中間点を通る半径上に位置する。
【0015】前述の実施例に示したように、各アーチ型
スロットの幅は、そのスロットを通過するガスの停滞を
防ぐために、最小幅で127μm(5mils)、最適
には254μm(10mils)以上、アーキングを防
ぐためには、最大762μm(30mils)、最適に
は635μm(25mils)以下の範囲内でなくては
ならない。
【0016】アーチ型スロットの周方向の長さの範囲
は、もちろん個々のアーチ型スロットが配置される3個
の円に依存するものである。しかしながら、全スロット
において、そのスロットがどの円上にあるのかにかかわ
らず、最短でもスロットの幅より長く、約635μm
(25mils)以上、最適には約762μm(30m
ils)以上の長さを有する。
【0017】各円上の3個のアーチ型スロットのそれぞ
れの周方向の最大長さはその円の直径のπ/3の長さよ
り短い。従って、例えば、3個の円それぞれが3個のア
ーチ型スロットを有すると、円形ガス分散板の外周端と
中心とからそれぞれ等距離におかれた各スロットの幅の
真ん中をとると、図4で示すように、Dをガス分散板の
直径とすると、内側のまたは最小の円の3個のアーチ型
スロット(スロット22a,22b,22c)のそれぞ
れの最大周方向長はπ/3(D/4)よりも短く、真ん
中の円の3個のアーチ型スロット(スロット24a,2
4b,24c)のそれぞれの最大周方向長はπ/3(D
/2)よりも短く、そして、最も外側の円の3個のアー
チ型スロット(スロット26a,26b,26c)のそ
れぞれの最大周方向長はπ/3(3D/4)よりも短く
なる。たとえば、もし4個のアーチ型スロットを3個の
円のうちの最も内側の円に形成するならば、各アーチ型
スロットの最大長は、π/4(D/4)より短くなる。
【0018】図5は、図4の実施例におけるアーチ型ス
ロットと同様の幾つかの特徴を有し、更に図2および図
3の実施例の特徴も有する他の実施例を示している。す
なわち、図5のガス分散板30は、図2および図3のス
ロット14と同じ寸法を持つ放射状スロット34と、図
4の実施例のアーチ型スロット24a,24b,24c
と同じ幅を有し、それぞれπ/4(D/2)より短い長
さを持ち、本実施例における最適配置として同軸円上に
配列したアーチ型スロット44a,44b,44c,4
4dとを有する。ここで、X値は同軸円上に配置したア
ーチ型スロット44a−44dによって決まる円の直径
に依存し、Dはガス分散板30の直径である。図5に示
すように、4個のアーチ型スロット44a−44dのそ
れぞれの最大長はπ/4(D/2)より短いことが望ま
しい。すなわち、アーチ型スロット44a−44dによ
って決まる円の直径は、アーチ型スロット44a−44
dが板30の中心と最外周とから等距離に位置するよう
に、ガス分散板30の直径Dの半分の長さが望ましい。
【0019】図6は本発明のさらに別の実施例を示すも
のであり、ガス分散板50は、十字型スロット52と6
2を、その各十字型スロットの交点をガス分散板50の
中心の周りに小さな又は大きな円を形成するように配置
したものである。内側の円に沿った交点を有する4個の
十字型スロット52のそれぞれは水平スロット54、垂
直スロット56、交点58で構成され、一方、外側の円
に沿った交点を有する8個の十字型スロット62のそれ
ぞれは水平スロット64、垂直スロット66、交点68
で構成される。
【0020】図2及び図3における実施例で示したよう
に、スロット54、56、64、66の各幅は、約12
7μm(5mils)以上でなくてはならず、スロット
を通過するガスが停滞してしまうのを防ぐためには約2
54μm(10mils)以上であることが望ましい。
しかし、一方では、スロットの最大幅は762μm(3
0mils)より狭く、アーキングを防ぐためには約6
35μm(25mils)より狭いことが望ましい。
【0021】スロット54、56、64、66のそれぞ
れの長さは少なくともスロットの最大幅より長く約63
5μm(25mils)以上であるべきであり、約76
2μm(30mils)以上であることが望ましい。ま
た、スロット54、56、64、66の最大長は板50
自体の直径に基づくが、それぞれガス分散板50の半径
の約1/4を超えないことが望ましい。
【0022】対称形を保つために、そして、結果として
ガスをその開口を介してチャンバ内に均等に誘導するた
めには、スロット全部が同じ長さ及び幅を有することが
望ましいが、チャンバ内への所望する均一なガスの供給
が行えるような対称的なパターンを構成する長さ及び幅
のスロットであれば、その長さ及び幅は同一である必要
はない。
【0023】図6に図示した本実施例の構成のように、
十字型スロットを配置した2つの円を用いると、板50
上の各十字型スロット間に最適な対称間隔を与えるため
には、内側の十字型スロット52の交点58は、板50
の直径の約1/3の直径を有する円に沿わせなくてはな
らない。一方、外側の十字型スロット62の交点68
は、板50の直径の約2/3の直径を有する円に沿わせ
なくてはならない。均一なガス分散をそのスロットを介
してプロセスチャンバに行えるような対称配列ができる
のであれば、そのような十字型スロットを2つ以上の円
上に配列してもよい。
【0024】図7に示した他の実施例は、図4及び図5
の実施例の改良したものを示しており、アーチ型スロッ
トすなわちガス分散板の半径に垂直に交差するスロット
のガス分散板の面に垂直な側壁を斜めにまたは角度を有
するようにしたものである。このような角度をつけた側
壁を設けること、すなわちスロットの幅または短い寸法
部分を斜めに形成することにより、横幅(図7における
A) が前述のスロットの最大幅の値、すなわち、762
μm(30mils)未満、最適には、約635μm
(25mils)未満という値を超えない限り、アーキ
ングが生じてしまう危険を増加させることなしに、アー
チ型スロットの幅の合計(図7においてはA+B)を垂
直なスロットの最大許容幅より広くすることができる。
【0025】このように、図7は、ガス分散板20´の
表面に対し角度αに傾斜した側壁を有するアーチ型スロ
ット22a´,22b´が、ガス分散板20´に形成さ
れたものが示されており、このときの、角度αは30゜
以上90゜未満で、約45゜から約75゜の範囲内であ
ることが望ましい。アーチ型スロット22a´,22b
´の側壁は、ガス分散板20´のガスを誘導する側すな
わち、ウエハを処理する側に向いている面の中心軸21
´方向に傾斜している。
【0026】このように、アーチ型スロットが傾斜した
側壁を有するように形成されていると、スロット22a
´(又はスロット22b´)の全幅はA+Bとなるが、
放射方向の幅はAとなる。従って、上述の放射方向の幅
Aの最大値が、(アーキングを防ぐために)傾斜したス
ロット22a´,22b´において維持されるときに
は、幅の全長A+Bがこの値よりも長くなるため、不必
要なアーキングの危険を増加させることなく、このスロ
ットを通過するガスの流量が全体として増加する。な
お、分散板20´はスロットの放射方向の全幅がBの値
となるように十分な厚さとすることができるので、Aの
最小値というのはないことを記しておく。
【0027】図8及び図9は本発明のさらに他の実施例
が示されている。この実施例は、前述の幾つかの実施例
の補足としてガス分散板の形の中に付け加えることがで
きるもの、あるいは、ガス分散板の取付および使用の際
に独立して用いることができるもの、すなわち、従来の
ガス分散板に組み合わせることができるものを示してい
る。
【0028】本実施例において、図2の実施例で示した
ものと同じスロット74を任意に有するガス分散板70
は、取り付けボルト80を挿入するための開口又は孔7
2を有し、これにより、取り外し可能な蓋又はカバーを
構成するプロセスチャンバの上板100に、板70が固
定される。図9で見るとよくわかるように、小さな突出
孔(counterbore)78が、ガス分散板70
にさらに形成されており、この孔78は、取り付けボル
ト80の頭部82を受け入れる肩76を形成するために
孔72を横切っている。上板100のねじ穴102は、
このプロセスチャンバの上板100にガス分散板70を
固定するための取り付けボルト80を受け入れる孔72
及び孔78と同軸に位置決めされる。
【0029】ここに、上述の取り付け構造は、それ自体
新しいものでなく、従来技術のガス分散板の構造に実際
に付加されているものである。しかし、従来技術の構造
においては、導電体、例えばステンレス板、孔72の取
り付けボルト、あるいは孔72の露出した側壁や底部等
を介して、プロセスチャンバの接地壁にプラズマが移動
するのを防ぐために、各孔72は絶縁プラグを受け入れ
ていた。なぜなら、アルミニウム分散板70は、通常、
分散板表面に絶縁被膜を施すための陽極処理がなされて
いるからである。
【0030】しかしながら、本発明によると、取り付け
ボルト80の挿入および固定が行われた後、各孔72
に、取り付けボルト80の頭部82又は孔72の側壁の
いずれか一方、又はその両方と電気的に接続している導
電プラグ90を取り付けることにより、ガス分散板70
の表面に沿って流れている散在したプラズマ電流のグラ
ウンドまたは中立点への電流路を供給する。
【0031】導電プラグ90はプロセスチャンバ内で行
われている工程、すなわち、エッチングや堆積の工程に
無反応であるならどのような種類の導電材料で形成して
もよい。最適には、無反応性導電材料は、半導体プロセ
スチャンバで使用するガスや他の材料とプラグ90の導
電材料とが反応する可能性の少ない非金属材料が良い。
そのような無反応性の非金属である導電材料としては、
例えば、窒化ケイ素、炭化ケイ素、ケイ素(不純物添加
又は無添加)、グラファイト、ガラス化した炭素が挙げ
られる。
【0032】本発明を更に説明すると、図4に示したも
のと同様に、本発明によれば、非円形スロットパターン
を開口として有する直径200mmのガス分散板を作る
ことができるが、ガス分散板の外面の中心に向かって約
45゜の角度αに傾斜した側壁を有する4個のアーチ型
スロットでそれぞれが構成された5個の円を有するもの
でもよい。スロットを有する5個の円の幅の真ん中をと
ると、それぞれ、直径25.4mm(1”),50.8
mm(2”),76.2mm(3”),101.6mm
(4”),127mm(5”)である。アーチ型スロッ
トのそれぞれの幅(図7における長さA+B)は、約4
0milである。このガス分散板を半導体プロセスチャ
ンバに設置し、約24時間にわたる全処理工程(約75
0ー100枚のウエハを処理する時間に相当)において
ハロゲン含有プラズマを使用してダミーのウエハにエッ
チングを施してみた。その後、ガス分散板を取り出して
検査した。その結果、孔の閉塞もアーキングも認められ
なかった。
【0033】従って、本発明は、開口の閉塞やガス分散
板のアーキングを防ぐ一方で、ガスの流通のための非円
形開口を対称パターンに形成したガス分散板を提供する
ことができる。最適な実施例においては、非円形開口の
少なくとも数個の壁がガス分散板の面にたいして垂直で
なく、ウエハ側の円形ガス分散板の外表面の中心または
軸方向に向かって、30゜から90゜の角度に傾斜して
おり、また他の最適な実施例においては、プロセスチャ
ンバの接地面または中立点とガス分散板上の周辺導電手
段を電気的に接続して、ガス分散板表面の不必要なプラ
ズマに対して電気的な中立状態または接地経路を作るこ
とにより、ガス分散板の面上のアーキングを防いでい
る。
【0034】
【発明の効果】以上説明したように、本発明のガス分散
板によれば、開口として非円形開口を用いることによ
り、プラズマ処理に十分な量のガスをチャンバ内に導入
することができ、閉塞することもなく、しかもチャンバ
内で発生したプラズマの種類に関係なくアーキングを抑
制できる。
【図面の簡単な説明】
【図1】従来のガス分散板における、対称パターンに配
列した円形開口のパターンを示した平面図。
【図2】本発明の一実施例であるガス分散板上に形成さ
れた非円形開口の対称パターンを示した平面図。
【図3】図2で示したガス分散板の非円形開口の一部分
の幅および長さを示す部分拡大平面図。
【図4】本発明における他の実施例であるガス分散板に
形成された非円形開口の対称パターンを示した平面図。
【図5】本発明におけるさらに別の実施例であるガス分
散板に形成された非円形開口の対称パターンを示した平
面図。
【図6】本発明におけるさらに別の実施例であるガス分
散板に形成された非円形開口の対称パターンを示した平
面図。
【図7】図4および図5で示した実施例と同様のガス分
散板の一部分の拡大縦断面図であり、非円形開口の壁の
いくつかがガス分散板の面と垂直とならないように形成
されている本発明の他の実施例を示している。
【図8】ガス分散板の面に形成された開口の周辺に導電
部材を設置した第2の本発明を示した平面図であり、プ
ロセスチャンバの蓋もしくは上部にガス分散板を固定す
るための取り付けボルトを挿入するようにしている。
【図9】図8のガス分散板の構造の一部を示した拡大縦
断面図であり、プロセスチャンバの蓋又は上部にガス分
散板を固定するための取り付けボルト挿入穴に取り付け
られた導電部材の一つを示している。
【符号の説明】
10、20、30、50…ガス分散板、14…長尺スロ
ット、22a,22b,22c,24a,24b,24
c,26a,26b,26c…アーチ型スロット、34
…長尺スロット、44a,44b,44c,44d…ア
ーチ型スロット、52,62…星状スロット。

Claims (26)

    【特許請求の範囲】
  1. 【請求項1】 プラズマ処理中にガス分散板の近傍に発
    生するアーキングを阻止する手段を有する半導体処理装
    置のためのガス分散板。
  2. 【請求項2】 プラズマ処理中に前記ガス分散板の近傍
    に発生するアーキングを阻止する前記手段は、更に、前
    記ガス分散板に対称的パターンに配置された非円形開口
    を含み、この非円形開口を介してガスが前記処理装置内
    に流入する請求項1に記載のガス分散板。
  3. 【請求項3】 前記ガス分散板上の各非円形開口は、対
    称的パターンに配列された円形孔であればアーキングが
    発生する最大径よりも小さな第一寸法と、この第一寸法
    より長い第二寸法とを有する請求項2に記載のガス分散
    板。
  4. 【請求項4】 前記ガス分散板上の前記各非円形開口
    は、762μm(30mils)より短い幅と、それよ
    り長い長さとを有する請求項2に記載のガス分散板。
  5. 【請求項5】 前記ガス分散板上の前記各非円形開口
    は、635μm(25mils)より短い幅と、それよ
    り長い長さとを有する請求項2に記載のガス分散板。
  6. 【請求項6】 プラズマ処理中にガス分散板の近傍に発
    生するアーキングを阻止する手段を有する半導体処理装
    置のための略円形平面ガス分散板であって、このガス分
    散板上に対称的パターンに配置された非円形開口を有
    し、ガスが前記非円形開口を介して処理装置内に流入す
    るものである略円形平面ガス分散板。
  7. 【請求項7】 前記非円形開口の少なくとも一部分が、
    前記円形板の中心を通る長軸を有する長尺スロットであ
    る請求項6に記載のガス分散板。
  8. 【請求項8】 前記非円形開口の少なくとも一部分が、
    前記円形板の中心を通る線に対して略垂直な長軸を有す
    る長尺スロットである請求項6に記載のガス分散板。
  9. 【請求項9】 前記長尺スロットはアーチ型スロットを
    含み、前記長軸と平行な前記長尺アーチ型スロットの側
    壁は、ガス分散板の表面の中心に向かって傾斜している
    請求項8に記載のガス分散板。
  10. 【請求項10】 前記長尺アーチ型スロットの前記側壁
    は、前記ガス分散板の面に対して約30゜から90゜の
    範囲の所定角度で前記中心に向かって傾斜している請求
    項9に記載のガス分散板。
  11. 【請求項11】 前記円形板の中心を通る線に対して垂
    直な長軸を有する長尺スロットは、前記中心と同軸を有
    する一つ以上の円に沿って配列されたアーチ型スロット
    である請求項8に記載のガス分散板。
  12. 【請求項12】 前記非円形開口に一部は、前記円形板
    の中心を通る長軸を有する第一の長尺スロットを有する
    と共に、前記円形板の前記中心を通る線に対して略垂直
    な長軸を有する第二の長尺スロットを有し、前記第一の
    長尺スロットのそれぞれは前記第二の長尺スロットのう
    ちの少なくとも一つと交っている請求項6に記載のガス
    分散板。
  13. 【請求項13】 前記第二の長尺スロットは前記ガス分
    散板の前記中心と同軸を有する円に沿って配列されたア
    ーチ型スロットを有する請求項12に記載のガス分散
    板。
  14. 【請求項14】 前記第二のスロットの前記長軸と平行
    な前記第二の長尺アーチ型スロットの側壁は、前記ガス
    分散板の面の中心に向かって傾斜している請求項13に
    記載のガス分散板。
  15. 【請求項15】 前記ガス分散板の前記面上に発生する
    アーキングを阻止するための導電手段を前記ガス分散板
    の面に更に備えている請求項6に記載のガス分散板。
  16. 【請求項16】 前記導電手段は前記円形板の周辺近傍
    に略対称的に配列された非金属手段である請求項15に
    記載のガス分散板。
  17. 【請求項17】 プラズマ処理中にガス分散板の近傍で
    生じるアーキングを阻止する手段を有する半導体処理装
    置のための略円形ガス分散板であって、このガス分散板
    上に対称パターンに配列された非円形開口を有し、ガス
    が前記非円形開口を介して処理装置内に流入するもので
    あり、各非円形開口は、アーキングを阻止するための約
    762μm(30mils)より短い第1寸法と、前記
    第1寸法よりも長い第2寸法とを有する略円形ガス分散
    板。
  18. 【請求項18】 前記非円形開口は、各スロットの前記
    第2寸法が762μm(30mils)より長い複数の
    長尺スロットを有する請求項17に記載のガス分散板。
  19. 【請求項19】 前記非円形開口は、前記円形ガス分散
    板の中心を通る線上に沿って配列された複数の長尺スロ
    ットを有する請求項17に記載のガス分散板。
  20. 【請求項20】 前記非円形開口は、前記円形ガス分散
    板の中心と同軸の一つ以上の円に沿って配列された複数
    のアーチ型スロットを有する請求項17に記載のガス分
    散板。
  21. 【請求項21】 前記アーチ型スロットの長軸に平行な
    前記アーチ型スロットの側壁は、前記ガス分散板の面の
    中心に向かって傾斜している請求項20に記載のガス分
    散板。
  22. 【請求項22】 前記非円形開口が、 a) 前記円形ガス分散板の中心を通る線上に沿って配列
    された複数の長尺スロットと、 b) 前記円形ガス分散板の中心と同軸の一つ以上の円に
    沿って配列された複数のアーチ型スロットとを備え、前
    記長尺スロットのそれぞれは、少なくとも一つの前記ア
    ーチ型スロットと交わっている請求項17に記載のガス
    分散板。
  23. 【請求項23】 前記非円形開口は複数の十字型の長尺
    スロットである請求項17に記載のガス分散板。
  24. 【請求項24】 プラズマ処理中にガス分散板近傍で生
    じるアーキングを阻止する手段を有する半導体処理装置
    のための略円形ガス分散板であって、このガス分散板上
    に対称パターンに配列された非円形開口を有し、ガスは
    前記非円形開口を介して前記処理装置に流入するもので
    あり、前記ガス分散板の各非円形開口は、対称パターン
    に配列された円形開口においてアーキングが生じるであ
    ろう最大径よりも長い第1寸法と、対称パターンに配列
    された円形開口においてハロゲン含有プラズマにより閉
    塞するであろう最小径よりも小さい第2寸法とを有する
    略円形ガス分散板。
  25. 【請求項25】 前記非円形開口の少なくとも一部分が
    前記ガス分散板の面の中心の周りに同軸で配列されてい
    るアーチ型スロットである請求項24に記載のガス分散
    板。
  26. 【請求項26】 プラズマ処理中にガス分散板の近傍で
    生じるアーキングを阻止する手段を有する半導体処理装
    置のための略円形平面ガス分散板であって、前記円形ガ
    ス分散板上の周辺部近傍に対称的に配列された非金属導
    電部材を有し、各導電部材は前記ガス分散板の金属部分
    と電気的に接続している略円形ガス分散板。
JP7065917A 1994-03-24 1995-03-24 アーキング阻止手段を有する半導体ウエハ処理装置のためのガス分散板 Withdrawn JPH0855840A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/217,467 US5589002A (en) 1994-03-24 1994-03-24 Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US08/217467 1994-03-24

Publications (1)

Publication Number Publication Date
JPH0855840A true JPH0855840A (ja) 1996-02-27

Family

ID=22811207

Family Applications (1)

Application Number Title Priority Date Filing Date
JP7065917A Withdrawn JPH0855840A (ja) 1994-03-24 1995-03-24 アーキング阻止手段を有する半導体ウエハ処理装置のためのガス分散板

Country Status (4)

Country Link
US (1) US5589002A (ja)
EP (1) EP0674336A1 (ja)
JP (1) JPH0855840A (ja)
KR (1) KR950034539A (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08330235A (ja) * 1995-05-30 1996-12-13 Mitsubishi Heavy Ind Ltd プラズマcvd装置
JP2014078755A (ja) * 2014-01-09 2014-05-01 Tokyo Electron Ltd インジェクターブロック
US8920596B2 (en) 2009-09-02 2014-12-30 Tokyo Electron Limited Plasma processing apparatus
JP2021082798A (ja) * 2019-11-14 2021-05-27 ピーエスケー インコーポレイテッド バッフルユニット、これを含む基板処理装置

Families Citing this family (423)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5792269A (en) * 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
TW347460B (en) * 1995-11-29 1998-12-11 Applied Materials Inc Flat bottom components and flat bottom architecture for fluid and gas systems
US6159297A (en) 1996-04-25 2000-12-12 Applied Materials, Inc. Semiconductor process chamber and processing method
EP0958401B1 (en) 1996-06-28 2004-09-08 Lam Research Corporation Apparatus and method for high density plasma chemical vapor deposition or etching
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US6033585A (en) * 1996-12-20 2000-03-07 Lam Research Corporation Method and apparatus for preventing lightup of gas distribution holes
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US6042687A (en) * 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
JP4217299B2 (ja) * 1998-03-06 2009-01-28 東京エレクトロン株式会社 処理装置
US6229155B1 (en) 1998-05-29 2001-05-08 International Business Machines Corporation Semiconductor and method of fabricating
US6639783B1 (en) 1998-09-08 2003-10-28 Applied Materials, Inc. Multi-layer ceramic electrostatic chuck with integrated channel
US6572814B2 (en) 1998-09-08 2003-06-03 Applied Materials Inc. Method of fabricating a semiconductor wafer support chuck apparatus having small diameter gas distribution ports for distributing a heat transfer gas
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6173673B1 (en) * 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6444040B1 (en) * 2000-05-05 2002-09-03 Applied Materials Inc. Gas distribution plate
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US20040060514A1 (en) * 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US6838114B2 (en) 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US7118783B2 (en) * 2002-06-26 2006-10-10 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
US6821347B2 (en) 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
WO2004015165A1 (en) * 2002-08-08 2004-02-19 Trikon Technologies Limited Improvements to showerheads
US20040031565A1 (en) * 2002-08-13 2004-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution plate for processing chamber
US6884296B2 (en) * 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7141483B2 (en) 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US7431967B2 (en) 2002-09-19 2008-10-07 Applied Materials, Inc. Limited thermal budget formation of PMD layers
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US7335396B2 (en) 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US20040261712A1 (en) * 2003-04-25 2004-12-30 Daisuke Hayashi Plasma processing apparatus
US6829056B1 (en) 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US7344755B2 (en) 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7235138B2 (en) 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7422635B2 (en) 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7097714B2 (en) * 2003-09-17 2006-08-29 Intersil Americas Inc. Particulate removal from an electrostatic chuck
US7056806B2 (en) 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7282239B2 (en) 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US7323231B2 (en) 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7581511B2 (en) 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
KR100578136B1 (ko) * 2004-01-27 2006-05-10 삼성전자주식회사 플라즈마로 강화된 반도체 증착 장비
US7906393B2 (en) * 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US7584942B2 (en) * 2004-03-31 2009-09-08 Micron Technology, Inc. Ampoules for producing a reaction gas and systems for depositing materials onto microfeature workpieces in reaction chambers
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US7642171B2 (en) 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
JP4572127B2 (ja) * 2005-03-02 2010-10-27 東京エレクトロン株式会社 ガス供給部材及びプラズマ処理装置
US7416635B2 (en) * 2005-03-02 2008-08-26 Tokyo Electron Limited Gas supply member and plasma processing apparatus
JP4628900B2 (ja) * 2005-08-24 2011-02-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
CN102751159A (zh) * 2005-09-01 2012-10-24 松下电器产业株式会社 等离子体处理设备
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
KR101019293B1 (ko) * 2005-11-04 2011-03-07 어플라이드 머티어리얼스, 인코포레이티드 플라즈마-강화 원자층 증착 장치 및 방법
US20080078746A1 (en) 2006-08-15 2008-04-03 Noriiki Masuda Substrate processing system, gas supply unit, method of substrate processing, computer program, and storage medium
US20080095953A1 (en) * 2006-10-24 2008-04-24 Samsung Electronics Co., Ltd. Apparatus for depositing thin film and method of depositing the same
US7776178B2 (en) 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
US20080166880A1 (en) * 2007-01-08 2008-07-10 Levy David H Delivery device for deposition
JP2008205219A (ja) * 2007-02-20 2008-09-04 Masato Toshima シャワーヘッドおよびこれを用いたcvd装置
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
KR100963297B1 (ko) * 2007-09-04 2010-06-11 주식회사 유진테크 샤워헤드 및 이를 포함하는 기판처리장치, 샤워헤드를이용하여 플라스마를 공급하는 방법
US8211231B2 (en) * 2007-09-26 2012-07-03 Eastman Kodak Company Delivery device for deposition
US8398770B2 (en) * 2007-09-26 2013-03-19 Eastman Kodak Company Deposition system for thin film formation
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
JP5192214B2 (ja) * 2007-11-02 2013-05-08 東京エレクトロン株式会社 ガス供給装置、基板処理装置および基板処理方法
JP5150217B2 (ja) * 2007-11-08 2013-02-20 東京エレクトロン株式会社 シャワープレート及び基板処理装置
JP2009239082A (ja) * 2008-03-27 2009-10-15 Tokyo Electron Ltd ガス供給装置、処理装置及び処理方法
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8986558B2 (en) * 2008-09-01 2015-03-24 Japan Science And Technology Agency Plasma etching method, plasma etching device, and method for producing photonic crystal
US9493875B2 (en) * 2008-09-30 2016-11-15 Eugene Technology Co., Ltd. Shower head unit and chemical vapor deposition apparatus
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8747963B2 (en) * 2009-01-23 2014-06-10 Lockheed Martin Corporation Apparatus and method for diamond film growth
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
SG169960A1 (en) 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
JP3160877U (ja) 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極
JP5721132B2 (ja) 2009-12-10 2015-05-20 オルボテック エルティ ソラー,エルエルシー 真空処理装置用シャワーヘッド・アセンブリ及び真空処理装置用シャワーヘッド・アセンブリを真空処理チャンバに締結する方法
JP2013541641A (ja) * 2010-09-03 2013-11-14 テーエーエル・ソーラー・アーゲー 真空プロセス機器用のガス供給装置
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8845806B2 (en) * 2010-10-22 2014-09-30 Asm Japan K.K. Shower plate having different aperture dimensions and/or distributions
US8470127B2 (en) 2011-01-06 2013-06-25 Lam Research Corporation Cam-locked showerhead electrode and assembly
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
TWM478028U (zh) * 2013-07-29 2014-05-11 Applied Materials Inc 用於一沉積腔體之擴散件
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
CN105448633B (zh) * 2014-08-22 2018-05-29 中微半导体设备(上海)有限公司 等离子体处理装置
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
CN105986245A (zh) * 2015-02-16 2016-10-05 中微半导体设备(上海)有限公司 改善mocvd反应工艺的部件及改善方法
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
CN113467198B (zh) * 2020-03-31 2023-04-18 长鑫存储技术有限公司 半导体设备及半导体结构的制备方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4612432A (en) * 1984-09-14 1986-09-16 Monolithic Memories, Inc. Etching plasma generator diffusor and cap
JPS61104625A (ja) * 1984-10-29 1986-05-22 Hitachi Ltd プラズマ処理装置
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
JPS61208222A (ja) * 1985-03-13 1986-09-16 Hitachi Ltd プラズマ処理方法及び装置
JPS6213573A (ja) * 1985-07-10 1987-01-22 Fujitsu Ltd Cvd装置
US4612077A (en) * 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
JPS6260875A (ja) * 1985-09-10 1987-03-17 Matsushita Electric Ind Co Ltd プラズマcvd装置
US4780169A (en) * 1987-05-11 1988-10-25 Tegal Corporation Non-uniform gas inlet for dry etching apparatus
JPS6449964A (en) * 1987-08-20 1989-02-27 Nippon Tectron Kk Temperature control structure for reaction table
JPH0741153Y2 (ja) * 1987-10-26 1995-09-20 東京応化工業株式会社 試料処理用電極
KR0170391B1 (ko) * 1989-06-16 1999-03-30 다카시마 히로시 피처리체 처리장치 및 처리방법
US5180467A (en) * 1990-08-08 1993-01-19 Vlsi Technology, Inc. Etching system having simplified diffuser element removal
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
JPH05166728A (ja) * 1991-12-11 1993-07-02 Toshiba Corp プラズマcvd装置
US5248371A (en) * 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
US5449410A (en) * 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08330235A (ja) * 1995-05-30 1996-12-13 Mitsubishi Heavy Ind Ltd プラズマcvd装置
US8920596B2 (en) 2009-09-02 2014-12-30 Tokyo Electron Limited Plasma processing apparatus
US10062547B2 (en) 2009-09-02 2018-08-28 Tokyo Electron Limited Plasma processing apparatus
JP2014078755A (ja) * 2014-01-09 2014-05-01 Tokyo Electron Ltd インジェクターブロック
JP2021082798A (ja) * 2019-11-14 2021-05-27 ピーエスケー インコーポレイテッド バッフルユニット、これを含む基板処理装置
JP2021180318A (ja) * 2019-11-14 2021-11-18 ピーエスケー インコーポレイテッド バッフルユニット、これを含む基板処理装置

Also Published As

Publication number Publication date
US5589002A (en) 1996-12-31
KR950034539A (ko) 1995-12-28
EP0674336A1 (en) 1995-09-27

Similar Documents

Publication Publication Date Title
JPH0855840A (ja) アーキング阻止手段を有する半導体ウエハ処理装置のためのガス分散板
JP3114739U (ja) プラズマ反応器のガス分配板電極
TWI720010B (zh) 利用二次電漿佈植的電漿蝕刻系統及方法
CN108962714B (zh) 用于经改善的前驱物流的半导体处理腔室
CN108962715B (zh) 用于多前体流的半导体处理腔室
US6415736B1 (en) Gas distribution apparatus for semiconductor processing
US5472565A (en) Topology induced plasma enhancement for etched uniformity improvement
US7922862B2 (en) Plasma processing apparatus, electrode plate for plasma processing apparatus, and electrode plate manufacturing method
TWI463522B (zh) An antenna, a dielectric window, a plasma processing device, and a plasma processing method
KR101783886B1 (ko) 플라즈마 에칭용의 전극판 및 플라즈마 에칭 처리 장치
US6537418B1 (en) Spatially uniform gas supply and pump configuration for large wafer diameters
US4612077A (en) Electrode for plasma etching system
EP0200133A2 (en) Plasma etching reactor
US6344420B1 (en) Plasma processing method and plasma processing apparatus
CN101499407A (zh) 一种气体分配装置及应用该分配装置的半导体处理设备
CN111785604A (zh) 气体喷淋头、制作方法及包括气体喷淋头的等离子体装置
JP2000058294A (ja) プラズマ処理装置
JP2023529498A (ja) 半導体処理ツール用の角度付ガス分配通路を伴うシャワーヘッドフェースプレート
KR100667676B1 (ko) 플라즈마 처리 장치의 가스분사장치
CN117599531B (zh) 离子过滤装置及半导体加工设备
CN215815786U (zh) 气体喷淋头及等离子体处理装置
TW486919B (en) Gas distribution plate for plasma treatment
JPS61174721A (ja) 平行平板形ドライエツチング装置
JPH06326057A (ja) エッチング装置
JPS6251223A (ja) ドライエツチング装置

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20020604