JPH07335885A - 低抵抗ゲート電極を有する半導体素子の製造方法 - Google Patents

低抵抗ゲート電極を有する半導体素子の製造方法

Info

Publication number
JPH07335885A
JPH07335885A JP7161482A JP16148295A JPH07335885A JP H07335885 A JPH07335885 A JP H07335885A JP 7161482 A JP7161482 A JP 7161482A JP 16148295 A JP16148295 A JP 16148295A JP H07335885 A JPH07335885 A JP H07335885A
Authority
JP
Japan
Prior art keywords
layer
forming
gate
polysilicon
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP7161482A
Other languages
English (en)
Other versions
JP3544750B2 (ja
Inventor
Young-Hun Park
永薫 朴
Young-Woo Seo
永雨 徐
Yong-Hee Lee
容煕 李
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of JPH07335885A publication Critical patent/JPH07335885A/ja
Application granted granted Critical
Publication of JP3544750B2 publication Critical patent/JP3544750B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28061Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a metal or metal silicide formed by deposition, e.g. sputter deposition, i.e. without a silicidation reaction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28247Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon passivation or protection of the electrode, e.g. using re-oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】 【目的】 シリサイド層の酸化を防止しポリシリコン
層の側壁部のみを酸化させて、ゲート電極の縁で発生す
るフリンジ電界を最小化させ得る低抵抗ゲート電極を有
する半導体素子の製造方法を提供すること。 【構成】 半導体基板上にゲート絶縁膜を形成し、そ
の上にポリシリコン層、シリサイド層を順に形成する。
写真蝕刻工程によりシリサイド層をエッチングし、更に
シリサイド層をオーバエッチングしてポリシリコン層に
段差部を形成する。シリサイド層及びポリシリコン層の
段差部の側壁に酸化防止スペーサを形成する。酸化防止
スペーサをエッチングマスクとして使用してポリシリコ
ン層をエッチングすることにより、シリサイド層及びポ
リシリコン層とからなるゲート電極を形成する。ゲート
絶縁膜及びポリシリコン層の露出部分を熱的に酸化させ
た後、ソースとドレインを形成するための第1不純物イ
オンを注入する。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、半導体素子の製造方法
に係り、特に低抵抗ゲート電極を有する半導体素子の製
造方法に関する。
【0002】
【従来の技術】VLSIの初期に低電圧駆動デバイスと
して採用されたポリシリコンゲート構造は、電気的な特
性、信頼性及び集積度などの側面において優れており、
産業用を中心とするマイコン用LSIや、高密度メモリ
用のデバイスとして大きく成長し、現在様々な分野で用
いられている。ポリシリコンは高融点物質であるため、
ゲート電極形成時にソースとドレイン部分の拡散層を共
に形成するセルフアライン方式が可能であるだけでな
く、ポリシリコンをゲート電極にパターニングした後、
熱的に酸化させることが可能である。従って、ゲート電
極の周縁部分で反応性イオンエッチングにより発生した
損傷を補償すると同時に、ゲート電極への電圧印加時に
周縁部分に発生する大きなフリンジ電界を緩和してデバ
イスの信頼性を増加させることができる。
【0003】しかしながら、前記ポリシリコンゲート構
造では、デザイン規格が1μm以下の微細素子では高集
積化によるデバイス動作速度向上効果が消え、微細化に
よる配線抵抗の増大や、配線ピッチの縮小によるキャパ
シタンスの増大に伴う信号伝達の遅延が問題となってく
る。また、前記ポリシリコンゲート構造は他の導電物質
に比べて相対的に大きな抵抗を持っているため、デバイ
スの周波数特性も低下しがちである。
【0004】従って、最近はゲート電極の材料としてポ
リシリコンと同程度の特性を持ちながらポリシリコンよ
り抵抗が一桁以上低い高融点のシリサイドが使用されて
いる。その代表的なものとして“タングステンシリサイ
ド/n+ポリシリコン”がある。
【0005】図1A〜図1Cは、“タングステンシリサ
イド/n+ポリシリコン”技術による半導体素子の製造
方法を説明するための断面図である。
【0006】まず、図1Aを参照されたい。熱酸化工程
により半導体基板1上にゲート絶縁膜2を形成した後、
前記ゲート絶縁膜2上にn+不純物のドーピングされた
ポリシリコン層3を500〜5000Åの厚さで形成す
る。次いで、前記ポリシリコン層3上にタングステンシ
リサイド層4を形成した後、続いてその上に酸化膜5を
形成する。
【0007】図1Bは、酸化膜5上にゲートパターンを
画定するための、写真蝕刻(フォトリソグラフィ)によ
るフォトレジストパターン6の形成を示している。
【0008】さらに、図1Cを参照されたい。前記フォ
トレジストパターン6をエッチングマスクとして使用し
て、通常の反応性イオンエッチング法により、酸化膜
5、タングステンシリサイド層4、及びポリシリコン層
3を順にエッチングする。次いで、前記フォトレジスト
パターン6を除去することにより、タングステンシリサ
イド層4とn+ポリシリコン層3からなるゲート電極を
形成する。
【0009】前述した従来の方法は、ポリシリコンゲー
ト構造に比べて追加される工程が簡単であると同時に、
低抵抗ゲート電極が形成できるという利点を有する。し
かし、通常の反応性イオンエッチングの後に、エッチン
グによる損傷を補償するための熱酸化工程が施すことが
できないという短所を有している。すなわち、タングス
テンシリサイド層の露出部分に熱酸化工程を施すと、図
2の走査電子顕微鏡(Scanning Electron Microscope:
SEM)写真に示されているように、タングステンシリ
サイド層の体積膨張により前記タングステンシリサイド
層が破壊されることがある。このような問題を避けるた
めに熱酸化工程を施さないと、ゲート電極をパターニン
グするための反応性イオンエッチング工程後のエッチン
グによる損傷がそのままとなりゲート絶縁膜の特性が劣
化する。
【0010】また、ゲート電極への電圧印加時、ゲート
電極の周縁部分で発生する大きなフリンジ電界によりゲ
ート絶縁膜の耐圧特性が劣化して、デバイスの誤動作を
もたらすこともある。
【0011】
【発明が解決しようとする課題】本発明の目的は、前述
した従来の問題点を解決しながら低抵抗ゲート電極を有
する半導体素子の製造方法を提供することにある。
【0012】
【課題を解決するための手段】前記目的を達成するため
に本発明は、半導体基板上にゲート絶縁膜を形成する過
程と、前記ゲート絶縁膜上にポリシリコン層を形成する
過程と、前記ポリシリコン層上にシリサイド層を形成す
る過程と、写真蝕刻工程により前記シリサイド層をエッ
チングする過程と、前記シリサイド層をオーバエッチン
グして前記ポリシリコン層に段差部を形成する過程と、
前記シリサイド層の側壁と前記ポリシリコン層の段差部
の側壁とに酸化防止スペーサを形成する過程と、前記酸
化防止スペーサをエッチングマスクとして使用して前記
ポリシリコン層をエッチングすることにより、前記シリ
サイド層及びポリシリコン層からなるゲート電極を形成
する過程と、前記ゲート絶縁膜及びポリシリコン層の露
出部分を熱的に酸化させる過程と、これまでの過程の結
果形成された形成物にソースとドレインを形成するため
の第1不純物イオンを注入する過程とを備えることを特
徴とする半導体素子の製造方法を提供する。
【0013】本発明の望ましい実施例によると、前記シ
リサイド層を形成する過程後、前記シリサイド層上に酸
化防止膜または絶縁層を形成することもできる。
【0014】また、前記第1不純物イオンを注入する過
程後、前記酸化防止スペーサの側壁にスペーサを形成す
る過程と、このスペーサ形成過程の結果形成された形成
物にソースとドレインを形成するための第2不純物イオ
ンを注入する過程とを更に備えることができる。
【0015】前記シリサイド層を構成する物質としては
タングステンシリサイドを使用し、前記酸化防止スペー
サを構成する物質としては窒化物を使用するのが望まし
い。
【0016】また、前記目的を達成するために本発明
は、半導体基板上にゲート絶縁膜を形成する過程と、前
記ゲート絶縁膜上にポリシリコン層、シリサイド層、及
び絶縁層を順に形成する過程と、写真蝕刻により前記絶
縁層をエッチングし、続いて前記シリサイド層をアンダ
ーカットエッチングする過程と、前記シリサイド層をオ
ーバエッチングして前記ポリシリコン層に段差部を形成
する過程と、前記絶縁層とシリサイド層の側壁及びポリ
シリコン層の段差部の側壁に酸化防止スペーサを形成す
る過程と、前記酸化防止スペーサをエッチングマスクと
して使用して前記ポリシリコンをエッチングすることに
より、前記シリサイド層及びポリシリコン層からなるゲ
ート電極を形成する過程と、前記ゲート絶縁膜及びポリ
シリコン層の露出部分を熱的に酸化させる過程と、これ
までの過程の結果形成された形成物にソースとドレイン
を形成するための不純物イオンを注入する過程とを備え
ることを特徴とする半導体素子の製造方法を提供する。
【0017】前記シリサイド層をアンダーカットエッチ
ングする過程は、SC1(StandardCleaning)溶液で1
0〜30分間エッチングすることによりなされる。ある
いはドライエッチング方法を使用することもできる。こ
の際、前記SC1溶液としては、NH4OH、H2O2、及びH2O
が1:2:3の体積比で混合されたものを使用する。
【0018】
【作用】酸化防止スペーサでシリサイド層を保護するこ
とにより、シリサイド層が熱的に酸化されるのを防止す
る。また、ポリシリコン層とゲート絶縁膜の露出部分が
熱的に酸化されることにより、良好なゲート絶縁膜特性
が得られる。
【0019】
【実施例】以下、添付した図面に基づき本発明の実施例
を詳細に説明する。
【0020】図4〜図11は本発明の第1実施例による
半導体素子の製造方法を説明するための断面図である。
【0021】図4は、ポリシリコン層14及びタングス
テンシリサイド層16を形成する過程を示している。熱
酸化工程により半導体基板10上にゲート絶縁膜12を
形成した後、その上にn+ 不純物のドーピングされたポ
リシリコン層14を500〜5000Åの厚さで形成す
る。次いで、前記ポリシリコン層14上に、例えばタン
グステンシリサイド層16(以下、“WSix”という)
を通常の化学気相蒸着(CVD:Chemical Vapor Depos
ition)法により500〜5000Åの厚さで形成す
る。
【0022】図5は、第1酸化防止膜18を形成する過
程を示している。前記WSix層16上に絶縁物質、例え
ば窒化物をCVD法により50〜2000Åの厚さで蒸
着して第1酸化防止膜18を形成する。次いで、写真蝕
刻により前記第1酸化防止膜18上にゲートパターンを
画定するためのフォトレジストパターン20を形成す
る。
【0023】図6は段差部(a)を形成する過程を示し
ている。前記フォトレジストパターン20をエッチング
マスクとして使用し、第1酸化防止膜18及びWSix層
16を順にドライエッチング法によりエッチングして、
パターニングされた第1酸化防止膜18aとWSix層1
6aを形成する。この時、WSix層16はオーバエッチ
ングされ、100〜1000Åの厚さでエッチングされ
たポリシリコン層14に段差部(a)が形成される。
【0024】図7は第2酸化防止膜22を形成する過程
を示している。前記フォトレジストパターン20を除去
した後、例えば窒化物である絶縁物質をCVD法により
50〜500Åの厚さで蒸着することにより、第2酸化
防止膜22を形成する。
【0025】図8は、酸化防止スペーサ22a及びゲー
ト電極を形成する過程を示している。第2酸化防止膜2
2を異方性エッチングすることにより、第1酸化防止膜
18a、WSix層16aの及びポリシリコン層14の一
部の側壁に酸化防止スペーサ22aを形成する。次い
で、この酸化防止スペーサ22aをエッチングマスクと
して使用してポリシリコン層14をエッチングすること
により、WSixゲート16aとポリシリコンゲート14
aからなるゲート電極を形成する。
【0026】図9は酸化膜24を形成する過程を示して
いる。前記ゲート電極の形成後、更に熱酸化工程を施
す。その結果、前記ポリシリコンゲート14aの50〜
500Å程度が酸化されることにより酸化膜24が形成
される。この際、前記酸化膜24はポリシリコンゲート
14aとゲート絶縁膜12の露出部分にのみ形成され
る。WSixゲート16aは第1酸化防止膜18aと酸化
防止スペーサ22aにより保護されているため、そこに
は酸化膜は形成されない。前記酸化膜24はゲート電極
の周縁部分でより厚く形成され、ゲート電極のパターニ
ング時に前記周縁部分で発生したエッチング損傷を補償
する。
【0027】図10は、酸化膜24の形成後の、n-ソ
ース/ドレイン26の形成過程を示している。前記第1
酸化防止膜18a、WSixゲート16a、及びポリシリ
コンゲート14aをイオン注入マスクとして使用して、
n-不純物イオンを注入することにより、n-ソース/ド
レイン26が形成される。
【0028】図11はスペーサ28及びn+ソース/ド
レイン30を形成する過程を示している。前記n-ソー
ス/ドレイン26の形成後、絶縁物質、例えば酸化物を
500〜3000Åの厚さで蒸着し、これを異方性エッ
チングすることにより酸化防止スペーサ22aの側壁に
スペーサ28を形成し、このスペーサ28をイオン注入
マスクとして使用してn+不純物イオンを注入すること
により、n+ソース/ドレイン30を形成する。
【0029】図12〜図16は本発明の第2実施例によ
る半導体素子の製造方法を説明するための断面図であ
る。
【0030】図12は、ポリシリコン層54、タングス
テンシリサイド層56、及び絶縁層58を形成する過程
を示している。熱酸化工程により半導体基板50上にゲ
ート絶縁膜52を形成した後、その上にn+不純物のド
ーピングされたポリシリコン層54を500〜5000
Åの厚さで形成する。次いで、前記ポリシリコン54上
に、例えばWSix層56をCVD法により500〜50
00Åの厚さで形成した後、その上に絶縁物質、例えば
高温酸化物をCVD法により500〜3000Åの厚さ
で蒸着して絶縁層58を形成する。
【0031】図13は酸化防止膜62を形成する過程を
示している。写真蝕刻によって前記絶縁層58及びWS
ix層56を順にエッチングして、ゲートパターンを画定
するようにパターニングされた絶縁膜58aとWSix層
56aを形成する。この際、WSix層56はオーバエッ
チングされて、100〜1000Åの深さでエッチング
されたポリシリコン層54に段差部が形成される。次い
で、その上に、例えば窒化物である絶縁物質をCVD法
により50〜500Åの厚さで蒸着することにより、酸
化防止膜62を形成する。
【0032】図14は、酸化防止スペーサ62a及びゲ
ート電極を形成する過程を示している。酸化防止膜62
を異方性エッチングすることにより、パターニングされ
た絶縁層58a、WSix層56a、及びポリシリコン層
54の上部の側壁に酸化防止スペーサ62aを形成す
る。次に、この酸化防止スペーサ62aをエッチングマ
スクとして使用してポリシリコン層54をエッチングす
ることにより、WSixゲート56aとポリシリコンゲー
ト54aとからなるゲート電極を形成する。
【0033】図15は、酸化膜64及びn-ソース/ド
レイン66を形成する過程を示している。前記ゲート電
極の形成後、まず熱酸化工程を施す。その結果、前記ポ
リシリコンゲート54aの50〜500Å程度が酸化さ
れることにより酸化膜64が形成される。この際、前記
酸化膜64はポリシリコンゲート54aとゲート絶縁膜
52の露出部分にのみ形成され、WSixゲート56aは
酸化防止スペーサ62aにより保護される。次いで、ポ
前記絶縁層58a、WSixゲート56a、及びポリシリ
コンゲート54aをイオン注入マスクとして使用してn
-不純物イオンを注入することにより、n-ソース/ドレ
イン66を形成する。
【0034】図16は、スペーサ68及びn+ソース/
ドレイン70を形成する過程を示している。前記n-ソ
ース/ドレイン66の形成後、絶縁物質、例えば酸化物
を500〜3000Åの厚さで蒸着し、これを異方性エ
ッチングすることにより、酸化防止スペーサ62aの側
壁にスペーサ68を形成する。次に、前記スペーサ68
をイオン注入マスクとして使用してn+不純物イオンを
注入することにより、n+ソース/ドレイン70を形成
する。
【0035】図17〜図21は本発明の第3実施例によ
る半導体素子の製造方法を説明するための断面図であ
る。
【0036】図17は、ポリシリコン層84、タングス
テンシリサイド層86、第1酸化防止膜87、及び絶縁
層88を形成する過程を示している。熱酸化工程により
半導体基板80上にゲート絶縁膜82を形成した後、そ
の上にn+不純物のドーピングされたポリシリコン層8
4を500〜5000Åの厚さで形成する。次いで、前
記ポリシリコン層84上に、例えばWSix層86をCV
D法で500〜5000Åの厚さで形成した後、その上
に、例えば窒化物をCVD法で500〜3000Åの厚
さで蒸着して第1酸化防止膜87を形成する。次に、前
記第1酸化防止膜87上に絶縁物質、例えば酸化物をC
VD法で500〜3000Åの厚さで蒸着して絶縁層8
8を形成する。
【0037】図18は段差部(b)を形成する過程を示
している。写真蝕刻により前記絶縁層88上に、ゲート
パターンを画定するためのフォトレジストパターン90
を形成する。次いで、前記フォトレジストパターン90
をエッチングマスクとして使用して絶縁層88、第1酸
化防止膜87、及びWSix層86を順にドライエッチン
グ法によりエッチングした後、更に前記WSix層86を
オーバエッチングする。その結果、ポリシリコン層84
が100〜1000Åの深さでエッチングされ、そこに
段差部(b)が形成される。また、パターニングされた
絶縁層88a、第1酸化防止膜87a及びWSix層86
aが形成される。
【0038】図19は、第2酸化防止膜92を形成する
過程を示している。フォトレジストパターン90を除去
した後、例えば窒化物をCVD法により50〜500Å
の厚さで蒸着することにより、第2酸化防止膜92を形
成する。
【0039】図20は、酸化防止スペーサ92a及びゲ
ート電極を形成する過程を示している。前記絶縁層88
をエッチングマスクとして使用して第2酸化防止膜92
を異方性エッチングすることよって、絶縁層88a、第
1酸化防止膜87a、WSix層86a及びポリシリコン
層84の上部の側壁に酸化防止スペーサ92aを形成す
る。次いで、前記酸化防止スペーサ92aをエッチング
マスクとして使用してポリシリコン層84をエッチング
することにより、WSixゲート86aとポリシリコンゲ
ート84aとからなるゲート電極を形成する。
【0040】図21は、前記ポリシリコンゲート84a
の50〜500Å程度を熱的に酸化させることによる酸
化膜94の形成を示している。この際、酸化膜94はポ
リシリコンゲート84aとゲート絶縁膜82の露出部分
にのみ形成され、WSixゲート86aは酸化防止スペー
サ92aにより保護されるため酸化されない。続いて、
同図に示されているように、前記絶縁層88a、第1酸
化防止膜87a、WSixゲート86a、及びポリシリコ
ンゲート84aをイオン注入マスクとして使用してn-
不純物イオンを注入することにより、n-ソース/ドレ
イン96を形成する。更に、絶縁物質、例えば酸化物を
500〜3000Åの厚さで蒸着し、これを異方性エッ
チングすることにより、酸化防止スペーサ92aの側壁
にスペーサ98を形成する。このスペーサ98をイオン
注入マスクとして使用してn+不純物イオンを注入する
ことにより、n+ソース/ドレイン100を形成する。
【0041】図22〜図27は、本発明の第4実施例に
よる半導体素子の製造方法を説明するための断面図であ
る。
【0042】図22は、ポリシリコン層114、タング
ステンシリサイド層116及び絶縁層118を形成する
過程を示している。熱酸化工程により半導体基板110
上にゲート絶縁膜112を形成した後、その上にn+不
純物のドーピングされたポリシリコン層114を500
〜5000Åの厚さで形成する。次いで、前記ポリシリ
コン層114上に、例えばWSix層116をCVD法で
500〜5000Åの厚さで形成した後、その上に絶縁
物質、例えば酸化物をCVD法で500〜3000Åの
厚さで蒸着して絶縁層118を形成する。
【0043】図23は、段差部(c)を形成する過程を
示している。写真蝕刻により前記絶縁層118上にゲー
トパターンを画定するためのフォトレジストパターン1
20を形成する。次いで、前記フォトレジストパターン
120をエッチングマスクとして使用して絶縁層118
及びWSix層116を順にドライエッチング法によりエ
ッチングし、続いて前記WSix層116をアンダーカッ
トエッチングする。更に、前記WSix層116をオーバ
エッチングしてポリシリコン層114を100〜100
0Åの深さでエッチングすることにより、前記ポリシリ
コン層114に段差部(c)を形成する。このようにし
て、パターニングされた絶縁層118aとアンダーカッ
トされたWSix層116aが形成される。ここで、前記
アンダーカットエッチング法に於いては、SC1溶液で
10〜30分間処理する方法か、あるいはドライエッチ
ング法を使用することができる。SC1溶液としては、
NH4OH:H2O2:H2Oが1:2:3の体積比で混合された溶
液を使用する。
【0044】図24は、酸化防止膜122を形成する過
程を示している。前記フォトレジストパターン120を
除去した後、例えば窒化物をCVD法により50〜50
0Åの厚さで蒸着して酸化防止膜122を形成する。
【0045】図25は、酸化防止スペーサ122a及び
ゲート電極を形成する過程を示している。酸化防止膜1
22を異方性エッチングすることにより、絶縁層118
a、WSix層116a、及びポリシリコン層114の上
部の側壁に図示されているような酸化防止スペーサ12
2aを形成する。次いで、前記酸化防止スペーサ122
aをエッチングマスクとして使用してポリシリコン層1
14をエッチングすることにより、WSixゲート116
aとポリシリコンゲート114aとからなるゲート電極
を形成する。
【0046】図26は、酸化膜124を形成する過程を
示している。前記ゲート電極の形成後、熱酸化工程を施
す。その結果、前記ポリシリコンゲート114aの50
〜500Å程度が酸化されることにより、酸化膜124
が形成される。この際、前記酸化膜124はポリシリコ
ンゲート114aとゲート絶縁膜112の露出部分にの
み形成され、WSixゲート116aは酸化防止スペーサ
122aにより保護されるため酸化されない。
【0047】図27を参照されたい。酸化膜124の形
成後、前記絶縁層118a、WSixゲート116a、及
びポリシリコンゲート114aをイオン注入マスクとし
て使用してn-不純物イオンを注入することにより、n-
ソース/ドレイン126を形成する。続いて、絶縁物
質、例えば酸化物を500〜3000Åの厚さで蒸着
し、これを異方性エッチングすることにより酸化防止ス
ペーサ122aの側壁にスペーサ128を形成する。次
いで、前記スペーサ128をイオン注入マスクとして使
用してn+不純物イオンを注入することにより、n+ソー
ス/ドレイン130を形成する。
【0048】前述した本発明の第1乃至第4実施例は、
n-ソース/ドレイン及び酸化物スペーサを利用したL
DD(Lightly Doped Drain)構造のトランジスタを形
成するが、ソース/ドレイン構造を本発明の技術的な長
所と無関係で変更できるのは無論である。
【0049】図28は本発明により製造された半導体素
子のゲート電極を示すSEM写真であり、図29は本発
明により製造された半導体素子のゲート絶縁膜耐圧特性
を示したグラフである。
【0050】図28に示したように、本発明によると、
タングステンシリサイドゲートの露出部分が酸化防止ス
ペーサによって保護されることにより、前記タングステ
ンシリサイドゲートの熱的な酸化が防止される。また、
熱による酸化膜がポリシリコンゲートとゲート絶縁膜の
露出部分に形成されることにより、図29に示したよう
な良好なゲート絶縁膜特性を得ることができる。図3に
示した従来の方法によると、ゲート絶縁膜が12.5V
で約20%の不良率を示しているが、それに対して図2
9に示した本発明によると、約5%以内の不良率を示す
ことが分かる。
【0051】なお、本発明は前記実施例に限定されるも
のではなく、本発明の思想を逸脱しない範囲内において
種々の改変をなし得ることはもちろんである。
【0052】
【発明の効果】本発明によると、シリサイドゲートの酸
化を防止し、ポリシリコンゲートの側壁部分のみを酸化
させることができる。これにより、シリサイドをゲート
電極材料として使用することによって低抵抗ゲート電極
が得られるだけでなく、ゲート電極への電圧印加時にゲ
ート電極の周縁部分で発生するフリンジ電界を最小化す
ることができ、トランジスタの誤動作防止、ゲート絶縁
膜の信頼性改善がなされる。
【図面の簡単な説明】
【図1】A〜Cからなり、それぞれ従来の方法による半
導体素子の製造方法を説明するための断面図である。
【図2】従来の方法により製造された半導体素子の薄膜
構造(熱的な酸化による破壊された状態)を示したSE
M写真である。
【図3】従来の方法により製造された半導体素子のゲー
ト絶縁膜耐圧特性を示したグラフである。
【図4】本発明の第1実施例による半導体素子の製造方
法を説明するための断面図である。
【図5】本発明の第1実施例による半導体素子の製造方
法を説明するための断面図である。
【図6】本発明の第1実施例による半導体素子の製造方
法を説明するための断面図である。
【図7】本発明の第1実施例による半導体素子の製造方
法を説明するための断面図である。
【図8】本発明の第1実施例による半導体素子の製造方
法を説明するための断面図である。
【図9】本発明の第1実施例による半導体素子の製造方
法を説明するための断面図である。
【図10】本発明の第1実施例による半導体素子の製造
方法を説明するための断面図である。
【図11】本発明の第1実施例による半導体素子の製造
方法を説明するための断面図である。
【図12】本発明の第2実施例による半導体素子の製造
方法を説明するための断面図である。
【図13】本発明の第2実施例による半導体素子の製造
方法を説明するための断面図である。
【図14】本発明の第2実施例による半導体素子の製造
方法を説明するための断面図である。
【図15】本発明の第2実施例による半導体素子の製造
方法を説明するための断面図である。
【図16】本発明の第2実施例による半導体素子の製造
方法を説明するための断面図である。
【図17】本発明の第3実施例による半導体素子の製造
方法を説明するための断面図である。
【図18】本発明の第3実施例による半導体素子の製造
方法を説明するための断面図である。
【図19】本発明の第3実施例による半導体素子の製造
方法を説明するための断面図である。
【図20】本発明の第3実施例による半導体素子の製造
方法を説明するための断面図である。
【図21】本発明の第3実施例による半導体素子の製造
方法を説明するための断面図である。
【図22】本発明の第4実施例による半導体素子の製造
方法を説明するための断面図である。
【図23】本発明の第4実施例による半導体素子の製造
方法を説明するための断面図である。
【図24】本発明の第4実施例による半導体素子の製造
方法を説明するための断面図である。
【図25】本発明の第4実施例による半導体素子の製造
方法を説明するための断面図である。
【図26】本発明の第4実施例による半導体素子の製造
方法を説明するための断面図である。
【図27】本発明の第4実施例による半導体素子の製造
方法を説明するための断面図である。
【図28】本発明により製造された半導体素子の薄膜構
造を示したSEM写真である。
【図29】本発明により製造された半導体素子のゲート
絶縁膜耐圧特性を示したグラフである。
【符号の説明】 1 半導体基板 2 ゲート絶縁膜 3 ポリシリコン層 4 タングステンシリサイド層 5 酸化膜 6 フォトレジストパターン 10 半導体基板 12 ゲート絶縁膜 14 ポリシリコン層 14a ポリシリコンゲート 16 タングステンシリサイド層(WSix層) 16a パターニングされたWSix層 18 第1酸化防止膜 18a パターニングされた第1酸化防止膜 20 フォトレジストパターン 22 第2酸化防止膜 22a 酸化防止スペーサ 24 酸化膜 26 n-ソース/ドレイン 28 スペーサ 30 n+ソース/ドレイン 50 半導体基板 52 ゲート絶縁膜 54 ポリシリコン層 54a ポリシリコンゲート 56 タングステンシリサイド層(WSix層) 56a パターニングされたWSix層 58 絶縁層 58a パターニングされた絶縁膜 62 酸化防止膜 62a 酸化防止スペーサ 64 酸化膜 66 n-ソース/ドレイン 68 スペーサ 70 n+ソース/ドレイン 80 半導体基板 82 ゲート絶縁膜 84 ポリシリコン層 86 タングステンシリサイド層(WSix層) 86a パターニングされたWSix層 87 第1酸化防止膜 87a パターニングされた第1酸化防止膜 88 絶縁層 88a パターニングされた絶縁層 90 フォトレジストパターン 92 第2酸化防止膜 92a 酸化防止スペーサ 94 酸化膜 96 n-ソース/ドレイン 98 スペーサ 100 n+ソース/ドレイン 110 半導体基板 112 ゲート絶縁膜 114 ポリシリコン層 114a ポリシリコンゲート 116 タングステンシリサイド層(WSix層) 116a アンダーカットされたWSix層 118 絶縁層 118a パターニングされた絶縁層 120 フォトレジストパターン 122 酸化防止膜 122a 酸化防止スペーサ 124 酸化膜 126 n-ソース/ドレイン 128 スペーサ 130 n+ソース/ドレイン (a) 段差部 (b) 段差部 (c) 段差部
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.6 識別記号 庁内整理番号 FI 技術表示箇所 H01L 21/336

Claims (11)

    【特許請求の範囲】
  1. 【請求項1】 半導体基板上にゲート絶縁膜を形成す
    る過程と、 前記ゲート絶縁膜上にポリシリコン層を形成する過程
    と、 前記ポリシリコン層上にシリサイド層を形成する過程
    と、 写真蝕刻工程により前記シリサイド層をエッチングする
    過程と、 前記シリサイド層をオーバエッチングして前記ポリシリ
    コン層に段差部を形成する過程と、 前記シリサイド層の側壁と前記ポリシリコン層の段差部
    の側壁とに酸化防止スペーサを形成する過程と、 前記酸化防止スペーサをエッチングマスクとして使用し
    て前記ポリシリコン層をエッチングすることにより、前
    記シリサイド層及びポリシリコン層からなるゲート電極
    を形成する過程と、 前記ゲート絶縁膜及びポリシリコン層の露出部分を熱的
    に酸化させる過程と、 これまでの過程の結果形成された形成物にソースとドレ
    インを形成するための第1不純物イオンを注入する過程
    とを備えることを特徴とする半導体素子の製造方法。
  2. 【請求項2】 前記シリサイド層を形成する過程後、
    前記シリサイド層上に酸化防止膜を形成する過程を更に
    備えることを特徴とする請求項1に記載の半導体素子の
    製造方法。
  3. 【請求項3】 前記酸化防止膜を構成する物質として
    窒化物を使用することを特徴とする請求項2に記載の半
    導体素子の製造方法。
  4. 【請求項4】 前記シリサイド層を形成する過程後、
    前記シリサイド層上に絶縁層を形成する過程を更に備え
    ることを特徴とする請求項1に記載の半導体素子の製造
    方法。
  5. 【請求項5】 前記絶縁層を構成する物質として酸化
    物を使用することを特徴とする請求項4に記載の半導体
    素子の製造方法。
  6. 【請求項6】 前記シリサイド層を形成する過程後、
    前記シリサイド層上に酸化防止膜及び絶縁層を順に形成
    する過程を更に備えることを特徴とする請求項1に記載
    の半導体素子の製造方法。
  7. 【請求項7】 前記酸化防止膜を構成する物質として
    は窒化物を使用し、前記絶縁層を構成する物質としては
    酸化物を使用することを特徴とする請求項6に記載の半
    導体素子の製造方法。
  8. 【請求項8】 前記シリサイド層を構成する物質とし
    てタングステンシリサイドを使用することを特徴とする
    請求項1に記載の半導体素子の製造方法。
  9. 【請求項9】 前記酸化防止スペーサを構成する物質
    として窒化物を使用することを特徴とする請求項1に記
    載の半導体素子の製造方法。
  10. 【請求項10】 前記第1不純物イオンを注入する過
    程の後、前記酸化防止スペーサの側壁にスペーサを形成
    する過程と、このスペーサ形成過程の結果形成された形
    成物にソースとドレインを形成するための第2不純物イ
    オンを注入する過程とを更に備えることを特徴とする請
    求項1に記載の半導体素子の製造方法。
  11. 【請求項11】 半導体基板上にゲート絶縁膜を形成
    する過程と、 前記ゲート絶縁膜上にポリシリコン層、シリサイド層、
    及び絶縁層を順に形成する過程と、 写真蝕刻により前記絶縁層をエッチングし、続いて前記
    シリサイド層をアンダーカットエッチングする過程と、 前記シリサイド層をオーバエッチングして前記ポリシリ
    コン層に段差部を形成する過程と、 前記絶縁層、シリサイド層の側壁とポリシリコン層の段
    差部の側壁とに酸化防止スペーサを形成する過程と、 前記酸化防止スペーサをエッチングマスクとして使用し
    て前記ポリシリコンをエッチングすることにより、前記
    シリサイド層及びポリシリコン層からなるゲート電極を
    形成する過程と、 前記ゲート絶縁膜及びポリシリコン層の露出部分を熱的
    に酸化させる過程と、 これまでの過程の結果形成された形成物にソースとドレ
    インを形成するための不純物イオンを注入する過程とを
    備えることを特徴とする半導体素子の製造方法。
JP16148295A 1994-06-08 1995-06-05 低抵抗ゲート電極を有する半導体素子の製造方法 Expired - Fee Related JP3544750B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1994P12853 1994-06-08
KR1019940012853A KR0141195B1 (ko) 1994-06-08 1994-06-08 저저항 게이트전극을 갖는 반도체소자의 제조방법

Publications (2)

Publication Number Publication Date
JPH07335885A true JPH07335885A (ja) 1995-12-22
JP3544750B2 JP3544750B2 (ja) 2004-07-21

Family

ID=19384878

Family Applications (1)

Application Number Title Priority Date Filing Date
JP16148295A Expired - Fee Related JP3544750B2 (ja) 1994-06-08 1995-06-05 低抵抗ゲート電極を有する半導体素子の製造方法

Country Status (5)

Country Link
US (1) US5545578A (ja)
JP (1) JP3544750B2 (ja)
KR (1) KR0141195B1 (ja)
DE (1) DE19516339B4 (ja)
TW (1) TW260813B (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1998037583A1 (fr) * 1997-02-20 1998-08-27 Hitachi, Ltd. Procede pour fabriquer un dispositif a semi-conducteurs
JP2001148356A (ja) * 1999-10-07 2001-05-29 Samsung Electronics Co Ltd チャンファが形成された金属シリサイド層を備えた半導体素子の製造方法
KR20020045260A (ko) * 2000-12-08 2002-06-19 박종섭 반도체 소자의 게이트 전극 형성 방법
US6521963B1 (en) 1999-07-16 2003-02-18 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and method of manufacturing semiconductor device
US6740559B2 (en) 1997-06-17 2004-05-25 Seiko Epson Corporation Semiconductor device comprising MIS field-effect transistor, and method of fabricating the same
JP2007073888A (ja) * 2005-09-09 2007-03-22 Oki Electric Ind Co Ltd 半導体装置の製造方法

Families Citing this family (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100190757B1 (ko) * 1995-06-30 1999-06-01 김영환 모스 전계 효과 트랜지스터 형성방법
US5843835A (en) * 1996-04-01 1998-12-01 Winbond Electronics Corporation Damage free gate dielectric process during gate electrode plasma etching
US5858867A (en) * 1996-05-20 1999-01-12 Mosel Vitelic, Inc. Method of making an inverse-T tungsten gate
KR100325383B1 (ko) * 1996-07-12 2002-04-17 니시무로 타이죠 반도체 장치 및 그 제조 방법
US5739066A (en) 1996-09-17 1998-04-14 Micron Technology, Inc. Semiconductor processing methods of forming a conductive gate and line
US5981367A (en) * 1996-10-17 1999-11-09 Micron Technology, Inc. Method for making an access transistor
JPH10163311A (ja) * 1996-11-27 1998-06-19 Mitsubishi Electric Corp 半導体装置およびその製造方法
US5869866A (en) 1996-12-06 1999-02-09 Advanced Micro Devices, Inc. Integrated circuit having sacrificial spacers for producing graded NMOS source/drain junctions possibly dissimilar from PMOS source/drain junctions
US5869879A (en) * 1996-12-06 1999-02-09 Advanced Micro Devices, Inc. CMOS integrated circuit having a sacrificial metal spacer for producing graded NMOS source/drain junctions dissimilar from PMOS source/drain junctions
US5796151A (en) * 1996-12-19 1998-08-18 Texas Instruments Incorporated Semiconductor stack having a dielectric sidewall for prevention of oxidation of tungsten in tungsten capped poly-silicon gate electrodes
US20020137890A1 (en) * 1997-03-31 2002-09-26 Genentech, Inc. Secreted and transmembrane polypeptides and nucleic acids encoding the same
KR100234378B1 (ko) * 1997-05-20 1999-12-15 윤종용 실리사이드를 이용한 스위칭 소자 및 그 제조방법
US5783479A (en) * 1997-06-23 1998-07-21 National Science Council Structure and method for manufacturing improved FETs having T-shaped gates
KR100274355B1 (ko) * 1997-06-30 2001-02-01 김영환 반도체소자의워드라인형성방법
US6063698A (en) * 1997-06-30 2000-05-16 Motorola, Inc. Method for manufacturing a high dielectric constant gate oxide for use in semiconductor integrated circuits
US5925918A (en) * 1997-07-30 1999-07-20 Micron, Technology, Inc. Gate stack with improved sidewall integrity
US7009264B1 (en) * 1997-07-30 2006-03-07 Micron Technology, Inc. Selective spacer to prevent metal oxide formation during polycide reoxidation
US5963841A (en) * 1997-08-01 1999-10-05 Advanced Micro Devices, Inc. Gate pattern formation using a bottom anti-reflective coating
US5965461A (en) * 1997-08-01 1999-10-12 Advanced Micro Devices, Inc. Controlled linewidth reduction during gate pattern formation using a spin-on barc
US6107172A (en) * 1997-08-01 2000-08-22 Advanced Micro Devices, Inc. Controlled linewidth reduction during gate pattern formation using an SiON BARC
US6121123A (en) * 1997-09-05 2000-09-19 Advanced Micro Devices, Inc. Gate pattern formation using a BARC as a hardmask
JPH11135745A (ja) 1997-10-29 1999-05-21 Toshiba Corp 半導体装置及びその製造方法
JPH11154711A (ja) * 1997-11-20 1999-06-08 Toshiba Corp 半導体装置の製造方法
KR19990057347A (ko) * 1997-12-29 1999-07-15 김영환 반도체 소자의 제조방법
US6180978B1 (en) * 1997-12-30 2001-01-30 Texas Instruments Incorporated Disposable gate/replacement gate MOSFETs for sub-0.1 micron gate length and ultra-shallow junctions
US5837588A (en) * 1998-01-26 1998-11-17 Texas Instruments-Acer Incorporated Method for forming a semiconductor device with an inverse-T gate lightly-doped drain structure
US6040241A (en) * 1998-02-11 2000-03-21 United Microelectronics Corp. Method of avoiding sidewall residue in forming connections
US6087208A (en) * 1998-03-31 2000-07-11 Advanced Micro Devices, Inc. Method for increasing gate capacitance by using both high and low dielectric gate material
KR100510466B1 (ko) * 1998-05-12 2005-10-24 삼성전자주식회사 폴리사이드 게이트 전극 형성방법
US5994192A (en) * 1998-05-29 1999-11-30 Vanguard International Semiconductor Corporation Compensation of the channel region critical dimension, after polycide gate, lightly doped source and drain oxidation procedure
US6124610A (en) 1998-06-26 2000-09-26 Advanced Micro Devices, Inc. Isotropically etching sidewall spacers to be used for both an NMOS source/drain implant and a PMOS LDD implant
KR100365741B1 (ko) 1998-06-30 2003-02-19 주식회사 하이닉스반도체 반도체장치제조방법
KR100540477B1 (ko) * 1998-06-30 2006-03-17 주식회사 하이닉스반도체 반도체 소자의 게이트 전극 형성방법
US6218311B1 (en) * 1998-06-30 2001-04-17 Texas Instruments Incorporated Post-etch treatment of a semiconductor device
US6143611A (en) * 1998-07-30 2000-11-07 Micron Technology, Inc. Semiconductor processing methods, methods of forming electronic components, and transistors
DE19837893B4 (de) * 1998-08-20 2006-09-28 Mosel Vitelic Inc. Herstellungsverfahren für ein Halbleiterelement mit einem Wolframsilizid enthaltenden Gatestapel
KR100281692B1 (ko) * 1998-10-17 2001-03-02 윤종용 반도체 장치의 자기정렬 콘택 패드 및 그 형성 방법
JP2000156497A (ja) * 1998-11-20 2000-06-06 Toshiba Corp 半導体装置の製造方法
US6448140B1 (en) * 1999-02-08 2002-09-10 Taiwan Semiconductor Manufacturing Company Laterally recessed tungsten silicide gate structure used with a self-aligned contact structure including a straight walled sidewall spacer while filling recess
US6037228A (en) * 1999-02-12 2000-03-14 United Microelectronics Corp. Method of fabricating self-aligned contact window which includes forming a undoped polysilicon spacer that extends into a recess of the gate structure
KR20000059484A (ko) * 1999-03-04 2000-10-05 윤종용 반도체장치의 게이트 구조체 및 그 제조방법
US6242776B1 (en) * 1999-06-02 2001-06-05 Advanced Micro Devices, Inc. Device improvement by lowering LDD resistance with new silicide process
US6255703B1 (en) 1999-06-02 2001-07-03 Advanced Micro Devices, Inc. Device with lower LDD resistance
US6197673B1 (en) * 1999-06-08 2001-03-06 United Semiconductor Corp. Method of fabricating passivation of gate electrode
US6140218A (en) * 1999-06-10 2000-10-31 Taiwan Semiconductor Manufacturing Company Method for fabricating a T-shaped hard mask/conductor profile to improve self-aligned contact isolation
KR100564552B1 (ko) * 1999-06-15 2006-03-29 삼성전자주식회사 저저항 게이트 전극을 갖는 모스 트랜지스터 제조방법
US6288419B1 (en) 1999-07-09 2001-09-11 Micron Technology, Inc. Low resistance gate flash memory
US6265297B1 (en) * 1999-09-01 2001-07-24 Micron Technology, Inc. Ammonia passivation of metal gate electrodes to inhibit oxidation of metal
US6486067B1 (en) * 1999-10-29 2002-11-26 Taiwan Semiconductor Manufacturing Company Method for improving the electrical isolation between the contact and gate in a self-aligned contact MOSFET device structure
US6251737B1 (en) * 1999-11-04 2001-06-26 United Microelectronics Corp. Method of increasing gate surface area for depositing silicide material
US6306710B1 (en) * 2000-02-03 2001-10-23 Advanced Micro Devices, Inc. Fabrication of a gate structures having a longer length toward the top for formation of a rectangular shaped spacer
US6486505B1 (en) * 2000-03-24 2002-11-26 Infineon Technologies, Ag Semiconductor contact and method of forming the same
US6566236B1 (en) * 2000-04-26 2003-05-20 Integrated Device Technology, Inc. Gate structures with increased etch margin for self-aligned contact and the method of forming the same
TW552669B (en) * 2000-06-19 2003-09-11 Infineon Technologies Corp Process for etching polysilicon gate stacks with raised shallow trench isolation structures
US6458714B1 (en) 2000-11-22 2002-10-01 Micron Technology, Inc. Method of selective oxidation in semiconductor manufacture
US6541320B2 (en) * 2001-08-10 2003-04-01 International Business Machines Corporation Method to controllably form notched polysilicon gate structures
JP2003068878A (ja) * 2001-08-23 2003-03-07 Hitachi Ltd 半導体集積回路装置およびその製造方法
DE10142340B4 (de) * 2001-08-30 2006-04-13 Infineon Technologies Ag Feldeffekttransistor mit einem Kontakt zu einem seiner Dotiergebiete und Verfahren zu seiner Herstellung
US6524901B1 (en) * 2002-06-20 2003-02-25 Micron Technology, Inc. Method for forming a notched damascene planar poly/metal gate
US7078284B2 (en) 2002-06-20 2006-07-18 Micron Technology, Inc. Method for forming a notched gate
TW550686B (en) * 2002-08-15 2003-09-01 Nanya Technology Corp Floating gate and method thereof
JP2004095888A (ja) * 2002-08-30 2004-03-25 Fujitsu Ltd 半導体装置及びその製造方法
US7094638B2 (en) * 2003-10-17 2006-08-22 Nanya Technology Corp. Method of forming gate structure
US20050247976A1 (en) * 2004-05-06 2005-11-10 Ting Steve M Notched spacer for CMOS transistors
US20060079075A1 (en) * 2004-08-12 2006-04-13 Lee Chang-Won Gate structures with silicide sidewall barriers and methods of manufacturing the same
KR100574297B1 (ko) * 2004-09-24 2006-04-27 한국전자통신연구원 전계효과 트랜지스터 및 그 제조 방법
JP2007184420A (ja) * 2006-01-06 2007-07-19 Nec Electronics Corp 半導体装置の製造方法
JP2007242754A (ja) * 2006-03-07 2007-09-20 Oki Electric Ind Co Ltd 半導体装置とその製造方法
KR100753138B1 (ko) * 2006-09-29 2007-08-30 주식회사 하이닉스반도체 반도체 소자 제조방법
TW200830423A (en) * 2007-01-10 2008-07-16 Promos Technologies Inc Method of forming gate structure with locally pull-back conductive layer and its use
KR101033222B1 (ko) * 2007-06-29 2011-05-06 주식회사 하이닉스반도체 전하트랩층을 갖는 불휘발성 메모리소자의 제조방법
US20090269939A1 (en) * 2008-04-25 2009-10-29 Asm International, N.V. Cyclical oxidation process
US8889565B2 (en) * 2009-02-13 2014-11-18 Asm International N.V. Selective removal of oxygen from metal-containing materials
US9127340B2 (en) * 2009-02-13 2015-09-08 Asm International N.V. Selective oxidation process
US7829457B2 (en) * 2009-02-20 2010-11-09 Asm International N.V. Protection of conductors from oxidation in deposition chambers
US8445346B2 (en) * 2009-11-03 2013-05-21 Macronix International Co., Ltd. Method of reducing wordline shorting
US8507388B2 (en) 2010-04-26 2013-08-13 Asm International N.V. Prevention of oxidation of substrate surfaces in process chambers
US8791022B2 (en) * 2010-11-23 2014-07-29 Macronix International Co. Ltd. Method for reducing wordline bridge rate
CN103824763B (zh) * 2012-11-19 2016-08-17 上海华虹宏力半导体制造有限公司 改善自对准接触孔的硅化钨双栅极边缘粗糙度的方法
CN104217999B (zh) * 2013-05-30 2016-12-28 上海华虹宏力半导体制造有限公司 Cmos器件的制造方法

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6310572A (ja) * 1986-07-01 1988-01-18 Nec Corp 半導体装置の製造方法

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1998037583A1 (fr) * 1997-02-20 1998-08-27 Hitachi, Ltd. Procede pour fabriquer un dispositif a semi-conducteurs
US6740559B2 (en) 1997-06-17 2004-05-25 Seiko Epson Corporation Semiconductor device comprising MIS field-effect transistor, and method of fabricating the same
US6521963B1 (en) 1999-07-16 2003-02-18 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and method of manufacturing semiconductor device
JP2001148356A (ja) * 1999-10-07 2001-05-29 Samsung Electronics Co Ltd チャンファが形成された金属シリサイド層を備えた半導体素子の製造方法
KR20020045260A (ko) * 2000-12-08 2002-06-19 박종섭 반도체 소자의 게이트 전극 형성 방법
JP2007073888A (ja) * 2005-09-09 2007-03-22 Oki Electric Ind Co Ltd 半導体装置の製造方法
JP4628226B2 (ja) * 2005-09-09 2011-02-09 Okiセミコンダクタ株式会社 半導体装置の製造方法

Also Published As

Publication number Publication date
KR960002690A (ko) 1996-01-26
KR0141195B1 (ko) 1998-07-15
US5545578A (en) 1996-08-13
DE19516339B4 (de) 2006-03-16
JP3544750B2 (ja) 2004-07-21
TW260813B (en) 1995-10-21
DE19516339A1 (de) 1995-12-14

Similar Documents

Publication Publication Date Title
JPH07335885A (ja) 低抵抗ゲート電極を有する半導体素子の製造方法
US5166771A (en) Self-aligning contact and interconnect structure
EP0126424B1 (en) Process for making polycide structures
US5483104A (en) Self-aligning contact and interconnect structure
JPH07161663A (ja) 半導体装置の製造方法
JP3626773B2 (ja) 半導体デバイスの導電層、mosfet及びそれらの製造方法
US7432566B2 (en) Method and system for forming dual work function gate electrodes in a semiconductor device
US7808019B2 (en) Gate structure
KR970077674A (ko) 반도체 집적회로장치의 제조방법
JPH08330511A (ja) 半導体装置とその製造方法
US6271570B1 (en) Trench-free buried contact
JP2638411B2 (ja) Mos型半導体装置の製造方法
JPH1064898A (ja) 半導体装置の製造方法
JP3348542B2 (ja) シリコン系材料層のパターニング方法
JP3417114B2 (ja) 半導体装置の製造方法
KR940004419B1 (ko) Mos형 반도체장치 및 그 제조방법
KR100265997B1 (ko) 반도체장치의제조방법
JPH1050941A (ja) 半導体集積回路の製造方法
JP3388679B2 (ja) 半導体素子の製造方法
KR100264201B1 (ko) 반도체장치의 제조방법
KR970005729B1 (ko) 반도체 장치의 제조방법
KR100353527B1 (ko) 반도체 소자의 게이트 전극 및 그 형성방법
KR0183861B1 (ko) 반도체장치의 제조방법
JPH11297985A (ja) 半導体装置とその製造方法
JP3307342B2 (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20040330

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20040406

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090416

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090416

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100416

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110416

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110416

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120416

Year of fee payment: 8

LAPS Cancellation because of no payment of annual fees