JP6793409B2 - 柱状半導体装置の製造方法 - Google Patents

柱状半導体装置の製造方法 Download PDF

Info

Publication number
JP6793409B2
JP6793409B2 JP2018559129A JP2018559129A JP6793409B2 JP 6793409 B2 JP6793409 B2 JP 6793409B2 JP 2018559129 A JP2018559129 A JP 2018559129A JP 2018559129 A JP2018559129 A JP 2018559129A JP 6793409 B2 JP6793409 B2 JP 6793409B2
Authority
JP
Japan
Prior art keywords
layer
material layer
forming
layers
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018559129A
Other languages
English (en)
Other versions
JPWO2018123823A1 (ja
Inventor
舛岡 富士雄
富士雄 舛岡
原田 望
望 原田
広記 中村
広記 中村
フィリップ マタニア
フィリップ マタニア
善明 菊池
善明 菊池
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Unisantis Electronics Singapore Pte Ltd
Original Assignee
Unisantis Electronics Singapore Pte Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from PCT/JP2015/060763 external-priority patent/WO2016162927A1/ja
Priority claimed from PCT/JP2015/069689 external-priority patent/WO2017006468A1/ja
Application filed by Unisantis Electronics Singapore Pte Ltd filed Critical Unisantis Electronics Singapore Pte Ltd
Publication of JPWO2018123823A1 publication Critical patent/JPWO2018123823A1/ja
Application granted granted Critical
Publication of JP6793409B2 publication Critical patent/JP6793409B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2252Diffusion into or out of group IV semiconductors using predeposition of impurities into the semiconductor surface, e.g. from a gaseous phase
    • H01L21/2253Diffusion into or out of group IV semiconductors using predeposition of impurities into the semiconductor surface, e.g. from a gaseous phase by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • H01L21/2255Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides the applied layer comprising oxides only, e.g. P2O5, PSG, H3BO3, doped oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • H01L21/2257Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides the applied layer being silicon or silicide or SIPOS, e.g. polysilicon, porous silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28114Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor characterised by the sectional shape, e.g. T, inverted-T
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • H01L21/28132Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects conducting part of electrode is difined by a sidewall spacer or a similar technique, e.g. oxidation under mask, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823456MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823487MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1037Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure and non-planar channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41741Source or drain electrodes for field effect devices for vertical or pseudo-vertical devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/4238Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the surface lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66666Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Geometry (AREA)
  • Composite Materials (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Semiconductor Memories (AREA)
  • Thin Film Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Non-Volatile Memory (AREA)

Description

本発明は、柱状半導体装置の製造方法に関する。
近年、LSI(Large Scale Integration)に3次元構造トランジスタが使われている。その中で、柱状半導体装置であるSGT(Surrounding Gate Transistor)は、高集積な半導体装置を提供する半導体素子として注目されている。また、SGTを有する半導体装置の更なる高集積化、高性能化が求められている。
通常のプレナー型MOSトランジスタでは、チャネルが半導体基板の上表面に沿う水平方向に延在する。これに対して、SGTのチャネルは、半導体基板の上表面に対して垂直な方向に延在する(例えば、特許文献1、非特許文献1を参照)。このため、SGTはプレナー型MOSトランジスタと比べ、半導体装置の高密度化が可能である。
10に、NチャネルSGTの模式構造図を示す。P型又はi型(真性型)の導電型を有するSi柱200(以下、シリコン半導体柱を「Si柱」と称する。)内の上下の位置に、一方がソースとなる場合に、他方がドレインとなるN+層101a、101b(以下、ドナー不純物を高濃度で含む半導体領域を「N+層」と称する。)が形成されている。このソース、ドレインとなるN+層101a、101b間のSi柱200の部分がチャネル領域202となる。このチャネル領域202を囲むようにゲート絶縁層203が形成されている。このゲート絶縁層203を囲むようにゲート導体層104が形成されている。SGTでは、ソース、ドレインとなるN+層101a、101b、チャネル領域202、ゲート絶縁層203、ゲート導体層104が、全体として柱状に形成される。このため、平面視において、SGTの占有面積は、プレナー型MOSトランジスタの単一のソース又はドレインN+層の占有面積に相当する。そのため、SGTを有する回路チップは、プレナー型MOSトランジスタを有する回路チップと比較して、更なるチップサイズの縮小化が実現できる。
10に示したSGTにおいて、ソース、ドレインとなるN+層100a、100bの低抵抗化が求められている。N+101a、101bの低抵抗化により、SGTを用いた回路の低消費電力化、高速化が図れる。
特開平2−188966号公報
Hiroshi Takato, Kazumasa Sunouchi, Naoko Okabe, Akihiro Nitayama, Katsuhiko Hieda, Fumio Horiguchi, and Fujio Masuoka: IEEE Transaction on Electron Devices, Vol.38, No.3, pp.573-578 (1991) Tadashi Shibata, Susumu Kohyama and Hisakazu Iizuka: "A New Field Isolation Technology for High Density MOS LSI", Japanese Journal of Applied Physics, Vol.18, pp.263-267 (1979) T.Morimoto, T.Ohguro, H.Sasaki, M.S.Momose, T.Iinuma, I.Kunishima, K.Suguro, I.Katakabe, H.Nakajima, M.Tsuchiaki, M.Ono, Y.Katsumata, and H.Iwai: "Self-Aligned Nickel-Mono-Silicide Technology for High-Speed Deep Submicrometer Logic CMOS ULSI" IEEE Transaction on Electron Devices, Vol.42, No.5, pp.915-922 (1995)
柱状半導体装置の高密度化、低消費電力化、高速化の実現が求められている。
本発明の第1の観点に係る、柱状半導体装置の製造方法は、
板上に対して垂直方向に延在する第1の半導体柱を形成する工程と、
前記第1の半導体柱の外周を囲む第1のゲート絶縁層を形成する工程と、
前記第1のゲート絶縁層を囲む第1のゲート導体層を形成する工程と、
垂直方向において、前記第1のゲート絶縁層の下端に、その上端位置がある、前記第1の半導体柱の内部、又はその側面に接した第1の不純物領域を形成する工程と、
前記垂直方向において前記第1のゲート導体層の上端以上で、且つ前記第1の半導体柱の頂部以下の高さに上面位置を有する第1の絶縁層を形成する工程と、
前記第1の絶縁層の上表面より上で露出している前記第1の半導体柱の上部の側面を囲んで第1の材料層を形成する工程と、
前記第1の材料層をマスクに前記第1の半導体柱の頂部をエッチングして、凹部を形成する工程と、
前記凹部に、ドナーまたはアクセプタ不純物を含む第2の不純物領域をエピタキシャル結晶成長させて形成する工程と、
前記第1の材料層を除去する工程と、
前記第1の絶縁層より上部の前記第2の不純物領域の側面を、平面視において等幅で囲んだ第2の材料層を形成する工程と、
前記第2の材料層の外周部に第3の材料層を形成する工程と、
前記第3の材料層と、前記第2の不純物領域と、をエッチングマスクにして、前記第2の材料層をエッチングして前記第1の絶縁層を底部にした第1のコンタクトホールを形成する工程と、
前記第1のコンタクトホールに単層又は、複数層よりなる導電性を有する第1の導体材料層を埋め込む工程と、を備える、
ことを特徴とする。
前記第2の不純物領域の上表面位置を前記第2の材料層の上表面位置より低く形成する工程と、
前記第1のコンタクトホールを埋めて、前記第2の不純物領域との側面と、上表面とを覆って、単層又は、複数層よりなる導電性を有する第2の導体材料層を形成する工程と、を備える、
ことがさらに好ましい。
前記第1のコンタクトホールを埋めて、前記第2の不純物領域との側面と、上表面と、前記第3の材料層の上表面を覆って、単層又は、複数層よりなる導電性を有する第3の導体材料層を形成する工程と、
前記第3の導体材料層の上表面位置が、前記第2の材料層の上表面位置になるように研磨する工程と、
前記第3の導体材料層に接続して、第1の配線導体層を形成する工程と、を備える、
ことがさらに好ましい。
前記第2の導体材料層上に、選択成長により、第4の導体材料層を形成する工程、を備える、
ことがさらに好ましい。
前記第2の導体材料層を選択成長により形成する工程、を備える、
ことがさらに好ましい。
前記第1のコンタクトホールを埋めて、前記第2の不純物領域との側面と、上表面とを覆って、上表面位置が前記第3材料層の上表面より高い、単層又は、複数層よりなる導電性を有する第5の導体材料層を形成する工程と、
前記第5の導体材料層上に、第2の配線導体層を形成する工程と、を備える、
ことがさらに好ましい。
前記第1のゲート導体層を囲んだ第2の絶縁層を形成する工程と、
前記第1の半導体柱の下方に、前記第2の絶縁層と、前記ゲート導体層と、前記ゲート絶縁層と、を貫通した開口部を形成する工程と、
前記開口部に面した、前記ゲート導体層の端面を覆った第3の絶縁層を形成する工程と、
前記開口部の前記第1の半導体柱の側面に接して、水平方向に伸延するドナー、またはアクセプタ不純物を含んだ前記第1の不純物領域を選択エピタキシャル結晶成長により形成する工程を、を備える、
ことがさらに好ましい。
平面視において、前記第1の不純物領域の外周が、前記第2の絶縁層の外周より外側になるように、前記第1の不純物領域を形成する工程を、さらに備える、
ことがさらに好ましい。
前記第1の不純物領域と、前記第2の不純物領域と、の一方または両方が、前記第1の半導体柱を構成している半導体母体と異なる半導体母体から形成されている、
ことがさらに好ましい。
前記基板上に前記第1の半導体柱に隣接して立つ第2の半導体柱と、前記第2の半導体柱の外周を囲む第2のゲート絶縁層と、前記第2のゲート絶縁層を囲む第2のゲート導体層と、
前記第2の半導体柱上に前記第2の不純物領域と同じ工程を用いて、ドナーまたはアクセプタ不純物を含み、エピタキシャル結晶成長させて第3の不純物領域を形成する工程と、
前記第1の半導体柱の下方にあり、且つ前記第1の半導体柱内または、側面に繋がった第4の不純物領域、を形成する工程と、
前記第2の半導体柱の下方にあり、且つ前記第2の半導体柱内または、側面に繋がった第5の不純物領域、を形成する工程と、
前記第1のゲート導体層、前記第2のゲート導体層、前記第1の不純物領域、前記第3の不純物領域、前記第4の不純物領域、及び前記第5の不純物領域から選ばれる異なる部位にそれぞれ接続され、前記基板に水平に延在し、平面視において互いに少なくとも部分的に重なり、且つ上から下にこの順番で存在する第2の配線導体層、第3の配線導体層、及び第4の配線導体層を含む積層構造体を提供する工程と、
前記第3の材料層の上表面から前記第4の配線導体層の上表面又は内部までつづき、前記第2の配線導体層及び前記第3の配線導体層を貫通する第2のコンタクトホールを形成する工程と、
前記第2のコンタクトホールに露出した前記第3の配線導体層の側面に第1の管状絶縁層を形成する工程と、
前記第2のコンタクトホールを充満して導電性を有する第6の導体材料層を形成する工程と、
前記第6の導体材料層の上部の側面を露出させる工程と、
前記第2の材料層を形成する工程は、前記第6の導体材料層の側面を囲んで第4の材料層を形成する工程を含み、
前記第3の材料層を形成する工程は、前記第4の材料層を囲んで第5の材料層を形成する工程を含み、そして、
前記第5の材料層をエッチングマスクにして、前記第4の材料層をエッチングして、前記第2の導体材料層上面に繋がる第3のコンタクトホールを形成する工程と、
前記第3のコンタクトホールに導電性を有する第7の導体材料層を形成す工程と、をさらに備える、
ことがさらに好ましい。
平面視において、前記第1のコンタクトホール、前記第2のコンタクトホール、前記第3のコンタクトホールの場所以外にあり、前記第1のゲート導体層、前記第2ゲート導体層、前記第4の不純物領域、前記第5の不純物領域のいずれかに接続され水平方向に延在する第5の配線導体層に繋がり、且つ前記第3の材料層の表面より下方に延びる第4のコンタクトホールを形成する工程と、
第8の導体材料層を前記第4のコンタクトホールに充満する工程と、
をさらに備える、
ことがさらに好ましい。
平面視において、前記第2のコンタクトホールに面した前記第3の配線導体層の側面が、前記第2の配線導体層の側面より、外側になるように形成される、
ことがさらに好ましい。
前記第1の材料層が、平面視において、前記半導体柱の上部を囲んで形成される、
ことがさらに好ましい。
本発明の第2の観点に係る、柱状半導体装置の製造方法は、
板上に対して垂直方向に延在する第1の半導体柱を形成する工程と、
前記第1の半導体柱の外周を囲む第1のゲート絶縁層を形成する工程と、
前記第1のゲート絶縁層を囲む第1のゲート導体層を形成する工程と、
前記基板に対する垂直方向において、前記第1のゲート絶縁層の下端に、その上端位置がある、前記第1の半導体柱の内部、又はその側面に接した第1の不純物領域を形成する工程と、
前記垂直方向において前記第1のゲート導体層の上端以上で、且つ前記第1の半導体柱の頂部以下の高さに上面位置を有する第1の絶縁層を形成する工程と、
前記第1の絶縁層の上表面より上で露出している前記第1の半導体柱の上部の側面を平面視において等幅に囲んで第1の材料層を形成する工程と、
前記第1の材料層を囲んで第2の材料層を形成する工程と、
前記第1の材料層と、前記第2の材料層と、をマスクに前記半導体柱の頂部をエッチングして、凹部を形成する工程と、
前記凹部に、ドナーまたはアクセプタ不純物を含む第1の不純物領域をエピタキシャル結晶成長させて形成する工程と、
前記第1の材料層をエッチングして、前記第2の材料層と、前記第2の不純物領域の間にあり、且つ前記第1の絶縁層を底部にした第1のコンタクトホールを形成する工程と、
前記第1のコンタクトホールに単層又は、複数層よりなる導電性を有する第1の導体材料層を埋め込む工程と、を備える、
ことを特徴とする。
前記第2の不純物領域の上表面位置を前記第1の材料層の上表面位置より低く形成する工程と、
前記第1のコンタクトホールを埋めて、前記第2の不純物領域との側面と、上表面とを覆って、単層又は、複数層よりなる導電性を有する第2の導体材料層を形成する工程と、を備える、
ことがさらに好ましい。
前記第1のコンタクトホールを埋めて、前記第2の不純物領域との側面と、上表面と、前記第2の材料層の上表面を覆って、単層又は、複数層よりなる導電性を有する第3の導体材料層を形成する工程と、
前記第3の導体材料層の上表面位置が、前記第2の材料層の上表面位置になるように研磨する工程と、
前記第3の導体材料層に接続して、第1の配線導体層を形成する工程と、を備える、
ことがさらに好ましい。
前記第2の導体材料層上に、選択成長により、第4の導体材料層を形成する工程、を備える、
ことがさらに好ましい。
前記第2の導体材料層を選択成長により形成する工程、を備える、
ことがさらに好ましい。
前記第1のコンタクトホールを埋めて、前記第2の不純物領域との側面と、上表面とを覆って、上表面位置が前記第2の材料層の上表面より高い、単層又は、複数層よりなる導電性を有する第5の導体材料層を形成する工程と、
前記第5の導体材料層上に、第2の配線導体層を形成する工程と、を備える、
ことがさらに好ましい。
前記第1のゲート導体層を囲んだ第2の絶縁層を形成する工程と、
前記第1の半導体柱の下方に、前記第2の絶縁層と、前記ゲート導体層と、前記ゲート絶縁層と、を貫通した開口部を形成する工程と、
前記開口部に面した、前記ゲート導体層の端面を覆った第3の絶縁層を形成する工程と、
前記開口部の前記第1の半導体柱の側面に接して、水平方向に伸延するドナー、またはアクセプタ不純物を含んだ第1の不純物領域を選択エピタキシャル結晶成長により形成する工程を、を備える、
ことがさらに好ましい。
平面視において、前記第1の不純物領域の外周が、前記第2の絶縁層の外周より外側になるように、前記第1の不純物領域を形成する工程と、を備える、
ことがさらに好ましい。
前記第1の不純物領域と、前記第2の不純物領域と、の一方または両方が、前記第1の半導体柱を構成している半導体母体と異なる半導体母体から形成されている、
ことがさらに好ましい。
前記基板上に前記第1の半導体柱に隣接して立つ第2の半導体柱と、前記第2の半導体柱の外周を囲む第2のゲート絶縁層と、前記第2のゲート絶縁層を囲む第2のゲート導体層と、
前記第2の半導体柱上に前記第2の不純物領域と同じ工程を用いて、ドナーまたはアクセプタ不純物を含み、エピタキシャル結晶成長させて第3の不純物領域を形成する工程と、
前記第1の半導体柱の下方にあり、且つ前記第1の半導体柱内または、側面に繋がった第4の不純物領域、を形成する工程と、
前記第2の半導体柱の下方にあり、且つ前記第2の半導体柱内または、側面に繋がった第5の不純物領域、を形成する工程と、
前記第1のゲート導体層、前記第2のゲート導体層、前記第1の不純物領域、前記第3の不純物領域、前記第4の不純物領域、及び前記第5の不純物領域から選ばれる異なる部位にそれぞれ接続され、前記基板に水平に延在し、平面視において互いに少なくとも部分的に重なり、且つ上から下にこの順番で存在する第2の配線導体層、第3の配線導体層、及び第4の配線導体層を含む積層構造体を提供する工程と、
前記第2の材料層の上表面から前記第4の配線導体層の上表面又は内部までつづき、前記第2の配線導体層及び前記第3の配線導体層を貫通する第2のコンタクトホールを形成する工程と、
前記第2のコンタクトホールに露出した前記第3の配線導体層の側面に第1の管状絶縁層を形成する工程と、
前記第2のコンタクトホールを充満して導電性を有する第6の導体材料層を形成する工程と、
前記第6の導体材料層の上部の側面を露出させる工程と、
前記第1の材料層を形成する工程は、前記第6の導体材料層の側面を囲んで第3の材料層を形成する工程を含み、
前記第2の材料層を形成する工程は、前記第3の材料層を囲んで第4の材料層を形成する工程を含み、そして、
前記第4の材料層をエッチングマスクにして、前記第3の材料層をエッチングして、前記第2の導体材料層と前記第6の導体材料層の上面に繋がる第3のコンタクトホールを形成する工程と、
前記第3のコンタクトホールに導電性を有する第7の導体材料層を形成す工程と、をさらに備える、
ことがさらに好ましい。
平面視において、前記第1のコンタクトホール、前記第2のコンタクトホール、前記第3のコンタクトホールの場所以外にあり、前記第1のゲート導体層、前記第2ゲート導体層、前記第4の不純物領域、前記第5の不純物領域のいずれかに接続され水平方向に延在する第5の配線導体層に繋がり、且つ前記第2の材料層の表面より下方に延びる第4のコンタクトホールを形成する工程と、
第8の導体材料層を前記第4のコンタクトホールに充満する工程と、
をさらに備える、
ことがさらに好ましい。
平面視において、前記第2のコンタクトホールに面した前記第3の配線導体層の側面が、前記第2の配線導体層の側面より、外側になるように形成される、
ことがさらに好ましい。
本発明によれば、高密度化、低消費電力化、高速化した柱状半導体装置が実現する。
本発明の第1実施形態に係るSGTを有する柱状半導体装置を説明するためのSRAMセル回路図である。 第1実施形態に係るSGTを有する柱状半導体装置を説明するためのSRAMセル模式構造図である。 第1実施形態に係るSGTを有する柱状半導体装置の製造方法を説明するための平面図と断面構造図である。 第1実施形態に係るSGTを有する柱状半導体装置の製造方法を説明するための平面図と断面構造図である。 第1実施形態に係るSGTを有する柱状半導体装置の製造方法を説明するための平面図と断面構造図である。 第1実施形態に係るSGTを有する柱状半導体装置の製造方法を説明するための平面図と断面構造図である。 第1実施形態に係るSGTを有する柱状半導体装置の製造方法を説明するための平面図と断面構造図である。 第1実施形態に係るSGTを有する柱状半導体装置の製造方法を説明するための平面図と断面構造図である。 第1実施形態に係るSGTを有する柱状半導体装置の製造方法を説明するための平面図と断面構造図である。 第1実施形態に係るSGTを有する柱状半導体装置の製造方法を説明するための平面図と断面構造図である。 第1実施形態に係るSGTを有する柱状半導体装置の製造方法を説明するための平面図と断面構造図である。 第1実施形態に係るSGTを有する柱状半導体装置の製造方法を説明するための平面図と断面構造図である。 第1実施形態に係るSGTを有する柱状半導体装置の製造方法を説明するための平面図と断面構造図である。 第1実施形態に係るSGTを有する柱状半導体装置の製造方法を説明するための平面図と断面構造図である。 第1実施形態に係るSGTを有する柱状半導体装置の製造方法を説明するための平面図と断面構造図である。 第1実施形態に係るSGTを有する柱状半導体装置の製造方法を説明するための平面図と断面構造図である。 第1実施形態に係るSGTを有する柱状半導体装置の製造方法を説明するための平面図と断面構造図である。 第1実施形態に係るSGTを有する柱状半導体装置の製造方法を説明するための平面図と断面構造図である。 第1実施形態に係るSGTを有する柱状半導体装置の製造方法を説明するための平面図と断面構造図である。 第1実施形態に係るSGTを有する柱状半導体装置の製造方法を説明するための平面図と断面構造図である。 第1実施形態に係るSGTを有する柱状半導体装置の製造方法を説明するための平面図と断面構造図である。 第1実施形態に係るSGTを有する柱状半導体装置の製造方法を説明するための平面図と断面構造図である。 第1実施形態に係るSGTを有する柱状半導体装置の製造方法を説明するための平面図と断面構造図である。 第1実施形態に係るSGTを有する柱状半導体装置の製造方法を説明するための平面図と断面構造図である。 第1実施形態に係るSGTを有する柱状半導体装置の製造方法を説明するための平面図と断面構造図である。 第1実施形態に係るSGTを有する柱状半導体装置の製造方法を説明するための平面図と断面構造図である。 第1実施形態に係るSGTを有する柱状半導体装置の製造方法を説明するための平面図と断面構造図である。 第1実施形態に係るSGTを有する柱状半導体装置の製造方法を説明するための平面図と断面構造図である。 本発明の第2実施形態に係るSGTを有する柱状半導体装置の製造方法を説明するための平面図と断面構造図である。 第2実施形態に係るSGTを有する柱状半導体装置の製造方法を説明するための平面図と断面構造図である。 第2実施形態に係るSGTを有する柱状半導体装置の製造方法を説明するための平面図と断面構造図である。 本発明の第3実施形態に係るSGTを有する柱状半導体装置の製造方法を説明するための平面図と断面構造図である。 第3実施形態に係るSGTを有する柱状半導体装置の製造方法を説明するための平面図と断面構造図である。 本発明の第4実施形態に係るSGTを有する柱状半導体装置の製造方法を説明するための平面図と断面構造図である。 本発明の第5実施形態に係るSGTを有する柱状半導体装置の製造方法を説明するための平面図と断面構造図である。 本発明の第6実施形態に係るSGTを有する柱状半導体装置の製造方法を説明するための平面図と断面構造図である。 第6実施形態に係るSGTを有する柱状半導体装置の製造方法を説明するための平面図と断面構造図である。 本発明の第7実施形態に係るSGTを有する柱状半導体装置の製造方法を説明するための平面図と断面構造図である。 本発明の第8実施形態に係るSGTを有する柱状半導体装置の製造方法を説明するための平面図と断面構造図である。 第8実施形態に係るSGTを有する柱状半導体装置の製造方法を説明するための平面図と断面構造図である。 第8実施形態に係るSGTを有する柱状半導体装置の製造方法を説明するための平面図と断面構造図である。 第8実施形態に係るSGTを有する柱状半導体装置の製造方法を説明するための平面図と断面構造図である。 従来例のSGTを示す模式構造図である。
以下、本発明の実施形態に係る、柱状半導体装置の製造方法について、図面を参照しながら説明する。
(第1実施形態)
以下、図1A、図1B、図2A〜図2Zを参照しながら、本発明の第1実施形態に係る、SGTを有する柱状半導体装置の製造方法について説明する。
図1Aに、本実施形態の柱状半導体装置である、SGTを用いたSRAMセル構造の等価回路図を示す。本SRAMセル回路は2個のインバータ回路を含んでいる。1つのインバータ回路は負荷トランジスタとしてのPチャネル型SGT_Pc1と、駆動トランジスタとしてのNチャネル型SGT_Nc1と、から構成されている。もう1つのインバータ回路は負荷トランジスタとしてのPチャネル型SGT_Pc2と、駆動トランジスタとしてのNチャネル型SGT_Nc2と、から構成されている。Pチャネル型SGT_Pc1のゲートと、Nチャネル型SGT_Nc1のゲートと、Pチャネル型SGT_Pc2のドレインと、Nチャネル型SGT_Nc2のドレインとが接続されている。Pチャネル型SGT_Pc2のゲートと、Nチャネル型SGT_Nc2のゲートと、Pチャネル型SGT_Pc1のドレインと、Nチャネル型SGT_Nc1のドレインとが接続されている。
図1Aに示すように、Pチャネル型SGT_Pc1、Pc2のソースは電源端子Vddに接続されている。そして、Nチャネル型SGT_Nc1、Nc2のソースはグランド端子Vssに接続されている。選択Nチャネル型SGT_SN1、SN2が2つのインバータ回路の両側に配置されている。選択Nチャネル型SGT_SN1、SN2のゲートはワード線端子WLtに接続されている。選択Nチャネル型SGT_SN1のソース、ドレインはビット線端子BLtとNチャネル型SGT_Nc1、Pチャネル型SGT_Pc1のドレインとに接続されている。選択Nチャネル型SGT_SN2のソース、ドレインは反転ビット線端子BLRtと、Nチャネル型SGT_Nc2、Pチャネル型SGT_Pc2のドレインとに接続されている。このように、本実施形態のSRAMセルを有する回路(以下、「SRAMセル回路」という。)は、2個のPチャネル型SGT_Pc1、Pc2と、4個のNチャネル型SGT_Nc1、Nc2、SN1、SN2とからなる合計6個のSGTから構成されている。そして、このSRAMセル回路は、Pチャネル型SGT_Pc1、Nチャネル型SGT_Nc1、SN1よりなる回路領域C1と、Pチャネル型SGT_Pc2、Nチャネル型SGT_Nc2、SN2よりなる回路領域C2とから構成されている。
図1Bに第1実施形態に係るSRAMセル回路の模式構造図を示す。このSRAMセル回路は3個のSi柱SP1、SP2、SP3より構成されている。従来の、1つのSi柱に1個のSGTが形成されているSRAMセルでは、1つのSRAMセルを形成するのに6個のSi柱を基板上に形成しなければいけないのに対して、図1Bに示されたSRAMセルでは、3個のSi柱によりSRAMセルが形成される。これにより、回路の高集積化が実現する。
Si柱SP1には、図1AにおけるPチャネル型SGT_Pc1が上部に形成され、Pチャネル型SGT_Pc2が下部に形成されている。Pチャネル型SGT_Pc1、Pc2はSi柱SP1の中間にあるSiO2層Ox1で分離されている。Pチャネル型SGT_Pc1は、チャネルであるSi柱SP1の一部と、このSi柱SP1の一部を囲むゲートGp1と、ゲートGp1の上下のSi柱SP1内にあるドレインP+層Pd1とソースP+層Ps1とより形成されている。Pチャネル型SGT_Pc2は、チャネルであるSi柱SP1の一部と、このSi柱SP1の一部を囲むゲートGp2と、ゲートGp2の上下のSi柱SP1内にあるドレインP+層Pd2とソースP+層Ps2とより形成されている。
Si柱SP2には、図1AにおけるNチャネル型SGT_Nc1が上部に形成され、Nチャネル型SGT_Nc2が下部に形成されている。Nチャネル型SGT_Nc1、Nc2はSi柱SP2の中間にあるSiO2層Ox2で分離されている。Nチャネル型SGT_Nc1は、チャネルであるSi柱SP2の一部と、このSi柱SP2の一部を囲むゲートGn1と、ゲートGn1の上下のSi柱SP2内にあるドレインN+層Nd1とソースN+層Ns1とより形成されている。Nチャネル型SGT_Nc2は、チャネルであるSi柱SP2の一部と、このSi柱SP2の一部を囲むゲートGn2と、ゲートGn2の上下のSi柱SP2内にあるドレインN+層Nd2とソースN+層Ns2とより形成されている。
Si柱SP3には、図1Aにおける選択Nチャネル型SGT_SN1が上部に形成され、選択Nチャネル型SGT_SN2が下部に形成されている。選択Nチャネル型SGT_SN1、SN2はSi柱SP3の中間にあるSiO2層Ox3で分離されている。選択Nチャネル型SGT_SN1は、チャネルであるSi柱SP3の一部と、このSi柱SP3の一部を囲むゲートGs1と、ゲートGs1の上下のSi柱SP3内にあるドレインN+層Sd1とソースN+層Ss1とより形成されている。選択Nチャネル型SGT_SN2は、チャネルであるSi柱SP3の一部と、このSi柱SP3の一部を囲むゲートGs2と、ゲートGs2の上下のSi柱SP3内にあるドレインN+層Sd2とソースN+層Ss2とより形成されている。
図1Bに示すように、Si柱SP1、SP2、SP3の同じ高さに位置している構成要素同士が接続されている。即ち、ゲートGp1、Gn1が接続され、ドレインP+層Pd1と、ドレインN+層Nd1と、ドレインN+層Sd1とが接続され、ドレインP+層Pd2と、ドレインN+層Nd2と、ドレインN+層Sd2とが接続され、ゲートGp2、Gn2が接続されている。さらに、ゲートGp1、Gn1と、ドレインP+層Pd2と、ドレインN+層Nd2と、ドレインN+層Sd2とが接続され、ゲートGp2、Gn2が、ドレインP+層Pd1と、ドレインN+層Nd1と、ドレインN+層Sd1とが接続されている。
図1Bに示すように、Si柱SP1のソースP+層Ps1、Ps2は電源端子Vddに接続され、Si柱SP2のソースN+層Ns1、Ns2はグランド端子Vssに接続され、Si柱SP3のソースN+層Ss1はビット線端子BLtに接続され、Si柱SP3のソースN+層Ss2は反転ビット線端子BLRtに接続され、Si柱SP3のゲートGs1、Gs2はワード線端子WLtに接続されている。
図1Bに示すように、Si柱SP1、SP2、SP3の上部に、図1Aに示した回路図の回路領域C1が形成され、下部に回路領域C2が形成されている。そして、Si柱SP1、SP2、SP3の同じ高さにある構成要素同士が、Si柱の上下間の接続経路を介さないで接続されている。即ち、ゲートGp1、Gn1が、Si柱SP1、SP2の上下間の接続経路を介さないで接続され、ドレインP+層Pd1、N+層Nd1、Sd1が、Si柱SP1、SP2、SP3の上下間の接続経路を介さないで接続され、ドレインP+層Pd2、N+層Nd2、Sd2が、Si柱SP1、SP2、SP3の上下間の接続経路を介さないで接続され、同様にゲートGp2、Gn2が、Si柱SP1、SP2の上下間の接続経路を介さないで接続されている。これに対し、ゲートGp1、Gn1と、ドレインP+層Pd2、N+層Nd2との接続は、上下間の接続配線経路を介して接続する必要がある。同様に、ゲートGp2、Gn2と、ドレインP+層Pd1、N+層Nd1との接続は、上下間の接続配線経路を介して接続されている。
図2Aに、SGTを有する柱状半導体装置であるSRAMセル回路の製造工程を説明するための、平面図及び断面図を示す。(a)は平面図、(b)は(a)のX−X’線に沿う断面構造図、(c)は(a)のY1−Y1’線に沿う断面構造図、(d)は(a)のY2−Y2’線に沿う断面構造図を示す。
図2Aに示すように、SiO2層基板1上に、積層されたi層2、SiO2層3、i層4、SiO2層5を形成する。
次に、図2Bに示すように、レジスト層(図示せず)をマスクに、リソグラフィ法とRIE(Reactive Ion Etching)法を用いて、平面視において円形状のSiO2層5a、5b、5cを形成する。次に、レジスト層、SiO2層5a、5b、5cをマスクに、RIE法を用いて、i層4、SiO2層3、i層2をエッチングして、SiO2層基板1上にi層2の下層を残して、Si柱6a、6b、6cを形成する。そして、レジスト層を除去する。この結果、Si柱6aは、i層2b1、SiO2層3a、i層4a、SiO2層5aを含み、Si柱6bは、i層2b2、SiO2層3b、i層4b、SiO2層5bを含み、Si柱6cは、i層2b3、SiO2層3c、i層4c、SiO2層5cを含む。
次に、リソグラフィ法とRIE法を用いて、下層に残存するi層2をエッチングして、Si柱6aの外周部にi層2a1を、Si柱6bの外周部にi層2a2を、Si柱6cの外周部にi層2a3を形成する。
次に、図2Cに示すように、例えば熱酸化またはALD(Atomic Layer Deposition)法により、Si柱6a、6b、6cとi層2a1、2a2、2a3の外周にSiO2層7a、7b、7cを形成する。そして、リソグラフィ法とイオン注入法を用いて、Si柱6aの外周部のi層2a1にP+層8aを形成し、Si柱6bの外周部のi層2a2にN+層8bを形成し、Si柱6cの外周部のi層2a3にN+層8cを形成する。そして、Si柱6a、6b、6cの下部と、i層2a1、2a2、2a3とを囲んで、SiO2層10を形成する。
次に、図2Dに示すように、SiO2層10より上のSiO2層7a、7b、7cを除去した後に、Si柱6a、6b、6cと、SiO2層10を覆って、例えばALD法により、酸化ハフニウム(HfO2)層11と、窒化チタン(TiN)層12を順次形成する。最終的に、HfO2層11はSGTのゲート絶縁層となり、TiN層12はSGTのゲート導体層となる。
次に、図2Eに示すように、リソグラフィ法とRIE法を用いて、Si柱6a、6bを囲んだTiN層12aと、Si柱6cを囲んだTiN層12bを形成する。
次に、図2Fに示すように、全体を覆って、SiO2層14を形成する。その後、熱処理を行い、P+層8a、N+層8b、8cのドナー、またはアクセプタ不純物原子をi層2a1、2a2、2a3の全体に熱拡散して、P+層8aa、N+層8bb、8ccを形成する。その後、Si柱6a、6b、6cの外周に窒化シリコン(SiN)層15を形成する。続いて、SiN層15上にレジスト層16を形成する。SiO2層3a、3b、3cの垂直方向での位置が、レジスト層16の中央部になるように、レジスト層16を形成する。レジスト層16は、レジスト材料をSiN層15との上表面に塗布した後、熱処理を行ってレジスト材料の流動性を大きくし、レジスト材料がSi柱6a、6b、6cの外側のSiN層15上で均質に溜まるようにして形成する。続いて、フッ化水素ガス(以下、「HFガス」と称する。)を全体に供給する。そして、HFガスがレジスト層16内に含まれた水分によって電離され、フッ化水素イオン(HF2 +)(以下、「HFイオン」と称する。)が形成される。このHFイオンがレジスト層16内を拡散して、レジスト層16に接触するSiO2層14をエッチングする(ここでのエッチングのメカニズムは非特許文献2を参照のこと。)。一方、レジスト層16に接触していないSiO2層14は、殆どエッチングされずに残存する。その後、レジスト層16を除去する。
以上の結果、図2Gに示すように、SiO2層14は、SiN層15に覆われたSiO2層14aと、Si柱6a、6b、6cの上部領域のSiO2層14b、14c、14dとに分離される。続いて、SiO2層14a、14b、14c、14dと、SiN層15と、をマスクとして用い、TiN層12a、12bをエッチングすることで、TiN層12aが、Si柱6a、6bの下方領域のSiN層15で覆われたTiN層18aと、SiO2層14bと、で覆われたTiN層18cと、SiO2層14cで覆われたTiN層18dに分離され、TiN層12bが、Si柱6cの下方領域のSiN層15で覆われたTiN層18bと、SiO2層14dで覆われたTiN層18eに分離される。次に、SiO2層14a、14b、14cと、TiN層18a、18b、18c、18d、18eと、をマスクとして用い、HfO2層11をエッチングすることで開口部19a、19b、19cを形成する。これにより、HfO2層11が、Si柱6a、6b、6cの下方領域においてTiN層18a、18bで覆われたHfO2層11aと、Si柱6a、6b、6cの上部領域でTiN層18c、18d、18eにそれぞれ覆われたHfO2層11b、11c、11dとに分離される。その後、TiN層18aのSi柱6a側の露出部、TiN層18aのSi柱6b側の露出部、TiN層18b、18c、18d、18eの露出部をそれぞれ酸化することで、TiO(酸化チタン)層20a、20b、20c、20d、20e、20fを形成する。その後、TiO層20a、20b、20c、20d、20e、20f形成時にSi柱6a、6b、6c側面に形成されたSiO2層(図示せず)を除去する。
次に、図2Hに示すように、例えば、SiO2層基板1を配置した基板金属板と、この基板金属板から離間した対向金属板とを用意し、基板金属板に直流電圧を印加し、これら2枚の平行金属板にRF高周波電圧を印加することで対向金属板の材料原子をスパッタしてSiO2層基板1上に堆積させるバイアス・スパッタ法を用いて、Ni原子をSiO2層基板1の上表面に垂直な方向から入射させ、SiN層15上にNi層21aを形成する。同様に、バイアス・スパッタ法により、ボロン(B)不純物を含んだP型ポリSi層22a、SiO2層23a、Ni層21b、P型ポリSi層22b、SiO2層23bを順次積層する。ここで、SiO2層23bの上面は、Si柱6a、6b、6cの上部を覆ったSiO2層14b、14c、14dと接するように形成する。Ni原子、ポリSi原子、SiO2原子は、SiO2層基板1の上表面に対して垂直な方向から入射するので、Si柱6a、6b、6cの外周部側面とNi層21a、21b、P型ポリSi層22a、22b、SiO2層23a、23bとの間に、密閉された空間25a、25b、25cが形成される。その後、SiN層15上に堆積されたNi層21a、21b、P型ポリSi層22a、22b、SiO2層23a、23bよりなる積層膜と同時にSi柱6a、6b、6c頂部上に形成された積層膜(図示せず)を除去する。
次に、図2Iに示すように、Si柱6aを覆ったレジスト層27を形成する。その後、SiO2層基板1上面より砒素(As)原子のイオン注入により、Si柱6b、6cの外周部のP型ポリSi層22a、22bをN+層化してN+型ポリSi層26a、26bを形成する。その後、レジスト層27を除去する。
次に、図2Jに示すように、例えば550℃の熱処理を行うことで、Ni層21a、21bのNi原子をP型ポリSi層22a、22b、N+型ポリSi層26a、26b内に拡散させてニッケルシリサイド(NiSi)層28a、28bをそれぞれ形成する。NiSi層28a、28bは、P型ポリSi層22a、22b、N+型ポリSi層26a、26bの体積より膨張する(この体積膨張については非特許文献3を参照のこと)。P型ポリSi層22a、22b、N+型ポリSi層26a、26bはSiN層15、SiO2層23a、23bにより挟まれているので、NiSi層28a、28bは、主に空間25a、25b、25c内に突出する。N+型ポリSi層26a、26bに含まれているAs原子と、P型ポリSi層22a、22bとに含まれているB原子は、NiSi層28a、28bより外側に押し出される(この押し出し現象については非特許文献3を参照のこと)。この不純物原子押し出し効果により、空間25a、25b、25c内に突き出したNiSi層28a、28bの側面表層に不純物原子を多く含んだ突起部(図示せず)が形成される。そして、突起部側面がSi柱6a、6b、6c表面に接触する。
次に、図2Kに示すように、熱処理を行って、NiSi層28a、28bのシリサイド化を拡張すると共に、不純物押し出し効果により突起部からAs原子、B原子をSi柱6a、6b、6c内に拡散させる。こうして、NiSi層28aに接したSi柱6a、6b、6cの側面表層にそれぞれNiSi層30a、30b、30cが形成されると共に、B原子及びAs原子が不純物押し出し効果によりSi柱6a、6b、6c内部に拡散されてSi柱6a、6b、6c内部にそれぞれに高濃度のアクセプタ、またはドナー不純物を含むP+層31a、N+層31b、31cが形成される。同様に、NiSi層28bに接したSi柱6a、6b、6cの側面表層にそれぞれNiSi層32a、32b、32cが形成されると共に、B原子及びAs原子が不純物押し出し効果によりSi柱6a、6b、6c内部に拡散されてSi柱6a、6b、6c内部にそれぞれに高濃度のアクセプタ、またはドナー不純物を含むP+層33a、N+層33b、33cが形成される。SiO2層3a、3b、3cでは、ドナー及びアクセプタ不純物原子の熱拡散が抑えられ、同時にシリサイド化も抑えられるので、P+層31a、N+層31b、31cと、P+層33a、N+層33b、33cとは、SiO2層3a、3b、3cで分離される。次に、リソグラフィ法とRIE法とを用いて、NiSi層28a、28b、SiO2層23a、23bを、Si柱6a、6b、6cの外周部に残存するように、パターン加工することで、NiSi層28aa、28bb、SiO2層23aa、23bbをそれぞれ形成する。
次に、図2Lに示すように、SiN層15を形成した方法と同じ方法を用いることで、その上表面が、TiN層18c、18d、18eの高さ方向の中間に位置するように、SiN層35aを形成する。続いて、空間25a、25b、25cを形成した方法と同じ方法を用いることで、TiN層18c、18d、18eの外周に開口部を形成する。続いて、TiN層18c、18dに接触したNiSi層36aと、TiN層18eに接触したNiSi層36bを形成する。
次に、図2Mに示すように、SiO2層35bを、その上表面の位置がNiSi層36a、36bの表面よりも高く、かつSi柱6a、6b、6cの頂部よりも低くなるように形成する。その後、SiO2層35bをマスクとして用い、Si柱6a、6b、6cの頂部のSiO2層14b、14c、14d、TiN層18c、18d、18e、HfO2層11b、11c、11dをエッチングする。
次に、図2Nに示すように、Si柱6a、6b、6cの外周部のSiO2層35bの上にSiN層35cを形成する。そして、全体にSiO2膜(図示せず)を被覆して、その後にCMP法により、上表面の位置がSi柱6a、6b、6cの頂部と一致する、表面が平坦なSiO2層35dを形成する。そして、全体に下にSiN層、そして上にSiO2層よりなるSiN/SiO2層(図示せず)を被覆する。そして、リソグラフィ法とRIE法を用いて、レジスト層37aの下にSiN/SiO2層35eを形成する。そして、レジスト層37aを除去する。そして、SiO2層35d、SiN/SiO2層35eをエッチングマスクにして、Si柱6aの頂部をエッチングして、凹部(recess)38AAを形成する。凹部38AAは、その底部が、SiN層35cの下面と同じ高さになるように形成する。平面視において、凹部38AAは、Si柱6aと同じ形状で、且つ自己整合で形成される。この自己整合により形成されるとは、凹部38AAが、リソグラフィ法を用いないで形成され、且つリソグラフィ法を用いた場合に発生するSi柱6aとのマスク合せズレがなく形成されることを意味する。
次に、図2Oに示すように、凹部38AA底部のSI柱6a上にアクセプタ不純物を高濃度に含んだP+層(図示せず)を、その頂部がSiO2層35dの上表面位置より高くなるまでエピタキシャル結晶成長させる。そして、CMP法によりP+層の頂部と、SiN/SiO2層35eを除去して、上表面位置がSiO2層35dの上表面位置と同じくなるP+層38aを形成する。または、P+層38aを選択エピタキシャル法により、その上表面位置が、SiO2層35d付近になるように形成してもよい。この場合は、CMP法によるP+層38aの頂部を研磨しないでもよい。これにより、P+層38aがSi柱6aに対して自己整合で形成される。
次に、図2Pに示すように、図2Nで説明した同様な方法により、平面視において、Si柱6a上を覆って、レジスト層37b、SiN/SiO2層35fを形成する。そして、レジスト層37bを除去する。そして、SiO2層35d、SiN/SiO2層35fをエッチングマスクにして、Si柱6b、6cの頂部をエッチングして、凹部38BB、38CCを形成する。凹部38BB、38CCは、それらの底部が、凹部38AAの場合と同様に、SiN層35cの下面と同じ高さになるように形成させる。これにより、凹部38AAと同様に、凹部38BB、38CCは、Si柱6b、6cに対して自己整合で形成される。
次の工程を図2Qに示す。 (e)は(a)のY3−Y3’線に沿う断面構造図を示す。図2Qに示すように、図2Oで説明した同様な方法により、凹部38BB,38CCの底部のSI柱6b、6c上にドナー不純物を含んだN+層(図示せず)を、その上表面位置がSiO2層35dの上表面位置より高くなるまでエピタキシャル結晶成長させる。そして、CMP法によりN+層の頂部と、SiN/SiO2層35fを除去して、上表面位置がSiO2層35dの上表面位置と同じくなるN+層38b、38cを形成する。これにより、N+層38b、38cが、Si柱6b、6cに対して自己整合で形成される。続いて、リソグラフィ法とRIE法とを用いて、NiSi層28aa上に、SiO2層35d、SiN層35c、SiO2層35b、NiSi層36a、SiN層35a、SiO2層23bb、NiSi層28bb、SiO2層23aaを貫通させて、コンタクトホール40aを形成する。同じく、リソグラフィ法とRIE法とを用いて、TiN層18a上に、SiO2層35d、SiN層35c、SiO2層35b、SiN層35a、SiO2層23bb、NiSi層28bb、SiO2層23aa、NiSi層28aa、SiN層15、SiO2層14aを貫通させて、コンタクトホール40bを形成する。
次に、全体にALD法によりSiO2層(図示せず)を堆積する。そして、図2Rに示すように、RIE法を用いて、コンタクトホール40aの側面にSiO2層41aを残存させ、NiSi層28aa上のSiO2層を除去する。同様に、コンタクトホール40bの側面にSiO2層41bを残存させ、TiN層18a上のSiO2層を除去する。
次に、全体にALD法によりタングステン(W)層(図示せず)を、コンタクトホール40a、40bの内部とNiSi層28aa上部に堆積する。そして、図2Sに示すように、CMP法により、W層の表面層を研磨して、上表面の位置がP+層38a、N+層38b、38cの頂部と、SiO2層35dとの上表面と一致するW層43a、43bを形成する。
次に、SiO2層35dを除去して、SiN層35cの上表面より上のP+層38a、N+層38b、38c、W層43a、43bを露出させる。そして、全体にCVD法によりSiO2層(図示せず)を堆積する。そして、図2Tに示すように、RIE法を用いてSiO2層をSiN層35c上表面までエッチングする。これにより、W層43a、43bの側面にSiO2層46a、46bを残存させる。同時にP+層38a、N+層38b、38cの側面にSiO2層46c、46d、46eを残存させる。これにより、平面視において、SiO2層46a〜46eが、リソグラフィ法を用いないで、N+層38b、38cの頂部と、W層43a、43bを等幅で、かつ円帯状に囲んで形成される。これにより、SiO2層46a〜46eは、N+層38b、38cの頂部と、W層43a、43bに対して、自己整合により形成される。この自己整合により、平面視において微細なSiO2層46a〜46eが、確実に形成される。
次に全体にCVD法により例えば酸化アルミニウム(AlO)絶縁層(図示せず)を堆積する。そして、図2Uに示すように、CMP法によりAlO層の上表面の位置が、P+層38a、N+層38b、38cの頂部と、W層43a、43bとの上表面になるように、平坦に研磨して、AlO層51を形成する。そして、P+層38a、N+層38b、38cと、W層43a、43bとの側面のSiO2層46a、46b、46c、46d、46eを除去する。これにより、P+層38a、N+層38b、38cの頂部と、W層43a、43bのそれぞれを、平面視において、等幅で円帯状に囲んだコンタクトホール50a、50b、50c、50d、50eが形成される。SiO2層46a〜46eは、N+層38b、38cと、W層43a、43bに対して、自己整合により形成されているので、コンタクトホール50a、50b、50c、50d、50eは、P+層38a、N+層38b、38cと、W層43a、43bと、に対して、自己整合により形成される。
次に、コンタクトホール50c、50d、50eを覆ってレジスト層(図示せず)を形成する。そして、図2Vに示すように、RIE法により、レジスト層、AlO層51をマスクにして、W層43aの外周のSiN層35c、SiO2層35bをエッチングしてNiSi層36a上にコンタクトホール50aaを形成する。同様に、レジスト層とAlO層51をマスクにして、W層43bの外周のSiN層35c、SiO2層35b、SiN層35a、SiO2層23bb、及びこれらに接するSiO2層41bをエッチングしてNiSi層28bb上にコンタクトホール50bbを形成する。これにより、コンタクトホール50aa、50bbはW層43a、43bに対して自己整合で形成される。
次にALD法により、コンタクトホール50c、50d、50e、50aa、50bbの内部、及びAlO層51上に、W層(図示せず、最初にP+層38a、N+層38b、38cとW層との接触抵抗を小さくするための、Tiなどの薄いバリヤ導体層を形成してもよい)を堆積する。そして、図2Wに示すように、CMP法により、上表面の位置がP+層38a、N+層38b、38cの頂部と、W層43a、43bとなるようにW層を研磨する。これにより、W層43a、43bの側面と、P+層38aの側面と、N+層38b、38cの側面とを囲み、且つコンタクトホール50aa、50bb、50c、50d、50e内部に、W層52a、52b、52c、52d、52eを形成する。これにより、W層52a、52b、52c、52d、52eはコンタクトホール50aa、50bb、50c、50d、50eと同じ形状になる。コンタクトホール50aa、50bb、50c、50d、50eが、P+層38a、N+層38b、38cと、W層43a、43bに対して、自己整合により形成される。これにより、W層52a、52b、52c、52d、52eは、P+層38a、N+層38b、38cと、W層43a、43bに対して自己整合で形成される。これにより、平面視における、SiO2層46a、46b、46c、46d、46eの幅長は、リソグラフィ法を用いて形成した場合より、リソグラフィ法におけるマスク合わせ寸法余裕を確保する必要がないので、短く形成できる。
次に、図2Xに示すように、全体にSiO2層54を形成する。そして、P+層38a、N+層38b上のSiO2層54を貫通するコンタクトホール55a、55bを形成し、P+層8aa上に、SiO2層54、AlO層51、SiN層35c、SiO2層35b、SiN層35a、15、SiO2層14a、HfO2層11b、SiO2層10、7aを貫通するコンタクトホール55cを形成し、N+層8bb上に、SiO2層54、AlO層51、SiN層35c、SiO2層35b、SiN層35a、15、SiO2層14a、HfO2層11a、SiO2層10、7bを貫通するコンタクトホール55dを形成する。そして、シリサイド層として、Si柱6a、6bの頂部にNiSi層67a、67bを形成し、コンタクトホール55cの底部のP+層8aa上にNiSi層67cを形成し、コンタクトホールホール55cの底部のN+層8bb上にNiSi層67dを形成する。NiSi層67a、67bは、平面視において、Si柱6a、6bの外周まで形成されることが望ましい。そして、コンタクトホール55a、55cを介してP+層38a、8aaに接続した電源配線金属層VDDと、コンタクトホール55b、55dを介してN+層38b、8bbに接続したグランド配線金属層VSSと、を形成する。
次に、図2Yに示すように、CVD法とCMP法により、全体にSiO2層56を形成する。その後、SiO2層56、54、AlO層51、SiN層35c、SiO2層35b、SiN層35a、SiN層15、SiO2層14aを貫通させて、TiN層18b上にコンタクトホール57を形成する。そして、コンタクトホール57を介してTiN層18bに接続したワード配線金属層WLを形成する。
次に、図2Zに示すように、CVD法とCMP法により、全体にSiO2層58を形成する。その後、Si柱6cの頂部のN+層38c上に、SiO2層58、56、54を貫通させて、コンタクトホール60aを形成する。同じく、N+層8cc上に、SiO2層58、56、54、AlO層51、SiN層35c、SiO2層35b、SiN層35a、15、SiO2層14a、HfO2層11a、SiO2層10、7cを貫通させて、コンタクトホール60bを形成する。そして、シリサイド層として、Si柱6cの頂部にNiSi層67eを形成し、コンタクトホール60bの底部のN+層8cc上にNiSi層67fを形成する。その後、コンタクトホール60aを介して、N+層38cと接続する、平面視上においてY2−Y2’線に沿った方向に繋がったビット線配線金属層BLを形成し、コンタクトホール60bを介してN+層8ccと接続する、平面視上においてビット線配線金属層BLに並行して繋がった反転ビット線配線金属層BLRを形成する。
図2Zに示すように、Si柱6aの上部に、P+層33a、38aをドレイン、ソースとし、TiN層18cをゲートとし、P+層33a、38a間のSi柱6aをチャネルにしたSGT(図1BのPチャネル型SGT_Pc1に対応する)が形成され、Si柱6aの下部に、P+層8aa、31aをソース、ドレインとし、TiN層18aをゲートとし、P+層8aa、31a間のSi柱6aをチャネルにしたSGT(図1BのPチャネル型SGT_Pc2に対応する)が、形成される。
また、Si柱6bの上部に、N+層33b、38bをソース、ドレインとし、TiN層18dをゲートとし、N+層33b、38b間のSi柱6bをチャネルにしたSGT(図1BのNチャネル型SGT_Nc1に対応する)が形成され、Si柱6bの下部に、N+層8bb、31bをソース、ドレインとし、TiN層18aをゲートとし、N+層8bb、31b間のSi柱6aをチャネルにしたSGT(図1BのNチャネル型SGT_Nc2に対応する)が、形成される。
また、Si柱6cの上部に、N+層33c、38cをソース、ドレインとし、TiN層18eをゲートとし、N+層33c、38c間のSi柱6cをチャネルにしたSGT(図1BのNチャネル型SGT_SN1に対応する)が形成され、Si柱6cの下部に、N+層8cc、31cをソース、ドレインとし、TiN層18bをゲートとし、N+層8cc、31c間のSi柱6cをチャネルにしたSGT(図1BのNチャネル型SGT_Nc2に対応する)が、形成される。
これらSGT(図1BのSGT_Pc1、Pc2、Nc1、Nc2、SN1、SN2に対応する)が接続配線されて、図1Bに示した模式構造図と同じく、Si柱6a、6b、6cの上部に形成されたPチャネル型SGT(図1BのPチャネル型SGT_Pc1に対応する)及びNチャネル型SGT(図1BのNチャネル型SGT_Nc1、SN1に対応する)による回路領域(図1Bの回路領域C1に対応する)と、Si柱6a、6b、6cの下部に形成されたPチャネル型SGT(図1BのPチャネル型SGT_Pc2に対応する)及びNチャネル型SGT(図1BのNチャネル型SGT_Nc2、SN2に対応する)による回路領域(図1Bの回路領域C2に対応する)と、により構成されたSRAMセル回路が形成される。
第1実施形態の製造方法によれば、次のような特徴が得られる。
1.図2N〜図2Qに示したように、高濃度にアクセプタ、そしてドナー不純物を含んだP+層38a、N+層38b、38cが、Si柱6a、6b、6cの頂部をエッチングして形成した凹部38AA,38BB,38CC底部にあるSi柱6a、6b、6c上にエピタキシャル結晶成長して形成された。この方法は、例えば、P+層38a、N+層38b、38cをイオン注入法により形成した場合と比べて、アクセプタ、そしてドナー不純物をより高濃度に含ませることが出来、かつP+層38a、N+層38b、38cとSi柱6a、6b、6cの境界での不純物濃度分布を急峻にできる特徴を得ることができる。この2つの特徴共に、P+層38a、N+層38b、38cのダイオード接合抵抗を小さくすることができる。これは、SGT回路の低電圧駆動化と高速化に寄与できる。
2.更に、図2Zに示されるように、P+層38a、N+層38b、38cは、側面を低抵抗金属層であるW層52c、52d、52eで囲まれている。W層52c、52d、52e(バリヤ金属層を含む)の底部位置は、P+層38a、N+層38b、38cの下端に近い位置に形成されている。これにより、P+層38a、N+層38b、38cによるダイオード接合抵抗を低くできる。これは、SGT回路の更なる低電圧駆動化と高速化に寄与できる。
3.P+層38a、N+層38b、38cが、Si柱6a、6b、6cに対して自己整合で形成されている。そして、W層52a、52b、52c、52d、52eが、W層43a、43b、P+層38a、N+層38b、38cに対して自己整合で形成されている。これにより、Si柱6a、6b、6cと、P+層38a、N+層38b、38cと、W層52c、52d、52cの3者が自己整合の関係で形成され、W層43a、43bとW層52a、52bが自己整合の関係で形成される。これにより、低電圧駆動化と高速化に加えて、SGT回路の更なる高密度が図れる。
(第2実施形態)
以下、図3A〜図3Cを参照しながら、本発明の第2実施形態に係る、SGTを有する柱状半導体装置の製造方法について説明する。(a)は平面図、(b)は(a)のX−X’線に沿う断面構造図、(c)は(a)のY1−Y1’線に沿う断面構造図、(d)は(a)のY2−Y2’線に沿う断面構造図である。第2実施形態の製造方法は、以下に説明する相違点を除き、第1実施形態の図2A〜図2Zに示す工程と同様である。
図3Aに示す工程の前までは、図2Aから図2Tまでと同じ工程を行う。そして、次に全体にCVD法により例えば酸化アルミニウム(AlO)絶縁層(図示せず)を堆積する。そして、図3Aに示すように、CMP法によりAlO層の上表面の位置が、P+層38a、N+層38b、38cの頂部と、W層43a、43bとの上表面になるように、平坦に研磨して、AlO層71を形成する。
次に、図3Bに示すように、AlO層71、SiO2層46a〜46eをエッチングマスクにして、P+層38a、N+層38b、38cの頂部をエッチングして、P+層38A、N+層38B、38Cを形成する。
次に、図3Cに示すように、SiO2層46a〜46eを除去した後に、W層(図示せず、薄いバッファ金属層を含む)を、上表面位置がAlO層71の上表面位置より高くなるまで全体に被覆する。そして、CMP法を用いて、W層を上表面位置が、AlO層71の上表面位置まで研磨して、P+層38A、N+層38B、38Cの側面と、頂部の全体を囲んだW層72a、72b、72cを形成する。 同時にW層43a、43bの頂部側面を囲んだW層73a、73bを形成する。以降、図2X〜図2Zと同じ工程を行うことによって、第1実施形態と同様のSRAMセルが形成される。なお、本工程によれば、第1実施形態におけるNiSi層67a、67b、67eの形成は無くてもよい。
本実施形態のSGTを有する柱状半導体装置の製造方法によれば、次のような特徴が得られる。
第1実施形態では、P+層38a、N+層38b、38cの頂部にNiSi層67a、67b、67cを形成した。 これらNiSi層67a、67b、67cは、P+層38a、N+層38b、38cの上面にNi層を被覆した後に、熱処理を行い、P+層38a、N+層38b、38cの頂部のシリサイド化を行うことにより形成させる。このシリサイド化によるNiSi層67a、67b、67cの形成では、NiSi層67a、67b、67cとP+層38a、N+層38b、38cとの間でNi原子とSi原子の組成比の異なる領域で抵抗に高いシリサイド領域が形成される。これは、NiSi層の中で、抵抗の低いのはNi原子1個に対してSi原子2個の割合で形成されているNiSi2層であるが、NiSi層67a、67b、67cとP+層38a、N+層38b、38cの境界付近で、この割合がずれてくる領域が長くなることによる。このことは、通常のSGTを用いた回路形成においては、問題ではないが、更なるSGT回路の高速化、低電圧化を行う場合において問題になる。これに対して、本実施形態では、直接に抵抗の低いW層72a、72b、72c(バッファ金属層を含む)を、P+層38A、N+層38B、38Cの側面と上面の全体を覆って形成される。これによって、さらにP+層38A、N+層38B、38CのダイオードPN接合抵抗を小さく出来て、SGT回路の低電圧駆動化と高速化が図れる。
(第3実施形態)
以下、図4A、図4Bを参照しながら、本発明の第3実施形態に係る、SGTを有する柱状半導体装置の製造方法について説明する。(a)は平面図、(b)は(a)のX−X’線に沿う断面構造図、(c)は(a)のY1−Y1’線に沿う断面構造図、(d)は(a)のY2−Y2’線に沿う断面構造図である。
図4Aに示す工程の前までは、図3Bに示した工程と同じ工程を行う。そして、P+層38A、N+層38B、38C、W層43a、43bの側面を囲んだSiO2層46a、46b、46c、46d、46eをエッチングして除去して、図2U、図2Vに示したと同様の工程を用いて、コンタクトホール50aa、50bb、50c、50d、50e(図示せず)を形成する。そして、全体に、例えばTi層、TiN層などからなるバッファ金属層を被覆する。そして、バッファ金属層を、CMP法により、上表面位置がAlO層71の上表面位置になるように研磨する。これにより、P+層38A、N+層38B、38Cを覆ってバッファ金属層74a、74b、74cが形成される。同時にW層43a、43bの側面にも、バッファ金属層75a、75bが形成される。
次に、全体を覆ってW層(図示せず)を被覆する。そして、リソグラフィ法とRIEエッチングにより、図4Bに示すように、バッファ金属層74a、74b、74c、75a、75b、及びW層43a、43b上にW層76a、76b、76c、77a、77bを形成する。そして、第1実施形態と同様に、図2X〜図2Zと同じ工程を行うことによって、第1実施形態と同様にSRAMセルが形成される。
本実施形態のSGTを有する柱状半導体装置の製造方法によれば、次のような特徴が得られる。
第1実施形態では、P+層38a、N+層38b、38c、W層43a、43bの側面を囲んで、W層52a、52b、52c、52d、52eが形成されている。この場合、W層52c、52d、52eと、P+層38a、N+層38b、38cとの接触抵抗を下げるための、例えば、Ti層、TiN層などのバッファ金属層を、W層52c、52d、52eとP+層38a、N+層38b、38cとの間に確実に設けようとすると、平面視において、図2Uに示したコンタクトホール50c、50d、50eの幅を大きくしなければいけない。これに対して、本実施形態では、コンタクトホール50c、50d、50e内には、バッファ金属層のみを形成している。このため、コンタクトホール50c、50d、50e内にはW層を形成する必要がない。これにより、平面視において、コンタクトホール50c、50d、50eの幅を狭くすることができる。これにより、SGT回路の高密度化が図れる。
(第4実施形態)
以下、図5を参照しながら、本発明の第4実施形態に係る、SGTを有する柱状半導体装置の製造方法について説明する。(a)は平面図、(b)は(a)のX−X’線に沿う断面構造図、(c)は(a)のY1−Y1’線に沿う断面構造図、(d)は(a)のY2−Y2’線に沿う断面構造図である。
第3実施形態における図4Aの工程を行った後に、W選択成長によりバッファ金属層74a、74b、74c、75a、75bと、及びW層43a、43bとの上に、W層78a、78b、78c、79a、79bを形成する。そして、第1実施形態と同様に、図2X〜図2Zと同じ工程を行うことによって、第1実施形態と同様のSRAMセルが形成される。
本実施形態のSGTを有する柱状半導体装置の製造方法によれば、次のような特徴が得られる。
1. 第3実施形態では、全体を覆ってW層(図示せず)を被覆した後、リソグラフィ法とRIEエッチングにより、図4Bに示すように、バッファ金属層74a、74b、74c、75a、75b上とW層43a、43b上にW層76a、76b、76c、77a、77bを形成した。これに対して、本実施形態では、リソグラフィ法とRIEエッチング工程を用いないで、W層76a、76b、76c、77a、77bと同じように、W層78a、78b、78c、79a、79bを形成することができる。且つ、W層78a、78b、78c、79a、79bは、バッファ金属層74a、74b、74c、75a、75bと、及びW層43a、43bと、に対して自己整合で形成される。これにより、SGT回路の高密度化が図れると共に、製造工程の簡易化が図れる。
(第5実施形態)
以下、図6を参照しながら、本発明の第5実施形態に係る、SGTを有する柱状半導体装置の製造方法について説明する。(a)は平面図、(b)は(a)のX−X’線に沿う断面構造図、(c)は(a)のY1−Y1’線に沿う断面構造図、(d)は(a)のY2−Y2’線に沿う断面構造図である。
図2Vに示したと同様に、コンタクトホール50aa、50bb、50c、50d、50eを形成する。そして、全体にバッファ導体層(図示せず)とW層(図示せず)を被覆する。この場合、バッファ導体層の上表面位置をAlO層51の上表面位置より上になるように形成する。そして、CMP法によりW層の表面を平坦にする。そして、図6に示すように、リソグラフィ法とRIEエッチングにより、バッファ導体層とW層をエッチングして、バッファ導体層81a、81b、81c、81d、81eとW層82a、82b、82c、82d、82eを形成する。そして、第1実施形態と同様に、図2X〜図2Zと同じ工程を行うことによって、第1実施形態と同様にSRAMセルが形成される。
本実施形態のSGTを有する柱状半導体装置の製造方法によれば、次のような特徴が得られる。
第3実施形態では、P+層38a、N+層38b、38c、の頂部をエッチングして形成された、P+層38A、N+層38B、38Cの側面に接したSiO2層46c、46d、46eをエッチングして除去した。そして、バッファ導体層74a、74b、74cを、P+層38A、N+層38B、38Cの側面と頂部上とを囲んで形成した。これに対して、本実施形態では、P+層38a、N+層38b、38cの頂部のエッチングを行わないで、バッファ導体層81c、81d、81eを、P+層38a、N+層38b、38cの側面と頂部上との全体を囲んで形成できる。これにより、SGT回路の高密度化が図れると共に、製造工程の簡易化が図れる。
(第6実施形態)
以下、図7A、図7Bを参照しながら、本発明の第6実施形態に係る、SGTを有する柱状半導体装置の製造方法について説明する。(a)は平面図、(b)は(a)のX−X’線に沿う断面構造図、(c)は(a)のY−Y’線に沿う断面構造図である。
図7Aに示すように、Si柱85をP層86上のN層87上に形成する。そして、N層87の外周部にSiN層89を形成する。そして、全体にALD法によりHfO2層(図示せず)、TiN層(図示せず)、SiO2層(図示せず)を被覆する。そして、SiN層89上と、これに繋がるSi柱85の下部外周部のSiO2層、TiN層、HfO2層をエッチングして、開口部94と、HfO2層91、TiN層92、SiO2層93を形成する。そして、開口部94に面したTiN層92端に絶縁層95を形成する。そして、Si柱85を囲んで、SiN層89上に、上表面位置が開口部94の下部になるSiO2層90を形成する。そして、アクセプタ不純物を高濃度に含んだシリコン(Si)_P+層96を、選択エピタキシャル結晶成長法により、開口部にSi柱85側面に接して、水平方向に広がって形成させる。平面視において、P+層96の外周は、SiO2層93の外周より外側にあるのが、P+層96に繋がる配線導体層を形成し易くできるので望ましい。
そして、図7Bに示すように、SiO2層90上にあり、P+層96に接続させた配線W層98(バッファ金属層を含む)を形成する。そして、配線W層98とSiO2層90との上にあって、且つ垂直方向において、上表面位置がTiN層92aの中間にあるSiN層99を形成する。そして、TiN層92aに接し、且つSiN層99上に水平方向に延びる配線W層100を形成する。そして、Si柱85を囲み、上表面位置がSi柱85の上部にあるSiO2層101を形成する。そして、図3A〜3Cを用いて説明したP+層38A、W層72aを形成したのと同様な方法により、Si柱85上にアクセプタ不純物を高濃度に含んだSiをエピタキシャル結晶成長法により形成させたP+層105と、このP+層105の上面と外周とを自己整合で覆ったW層106と、W層106の外周部にあるAlO層103を形成する。そして、配線W層98上に、AlO層103、SiN層102、SiO2層101、SiN層99を貫通したコンタクトホール108aを形成する。そして、配線W層100上に、AlO層103、SiN層102、SiO2層101を貫通したコンタクトホール108bを形成する。そして、コンタクトホール108aを介して配線W層98に繋がる配線金属層M1と、コンタクトホール108bを介して配線W層100に繋がる配線金属層MGとW層106に繋がる配線金属層M2を形成する。これにより、P+層96、105をソース、ドレインとし、TiN層92aをゲートとし、P+層96、105間のSi柱85をチャネルとしたSGTが形成される。
本実施形態のSGTを有する柱状半導体装置の製造方法によれば、次のような特徴が得られる。
1.Si柱85の下部側面に繋がった、P+層96も、上部のP+層105と同様に、高濃度のアクセプタ不純物を含んだSiのエピタキシャル結晶成長法により形成される。これにより、SGTのソース、およびドレインとなる不純物領域の両者が、イオン注入法により形成した場合と比べて、アクセプタ、そしてドナー不純物をより高濃度に含ませることが出来、かつP+層96とSi柱85の境界での不純物濃度分布を急峻にできる。これにより、P+層96、105のダイオード接合抵抗を小さくすることができる。これは、SGT回路の更なる低電圧駆動化と高速化に寄与できる。
2.Siを母体にしたP+層96、105に替えて、例えばシリコン・ゲルマニウム(SiGe)を母体にして、P+層96、105を形成することができる。 P+層96、105にSiGeを用いることによりチャネルであるSi柱85内に生じる応力によりホール移動度が向上できる。これにより、更なる回路の高速化が図れる。
3.P+層96、105は、それぞれ独立にエピタキシャル結晶成長法により半導体層を形成できる。従って、P+層96と、P+層105とを異なる半導体原子を母体として形成することは容易に出来る。これにより、更にSGTの特性向上を図ることが可能である。
(第7実施形態)
以下、図8を参照しながら、本発明の第7実施形態に係る、SGTを有する柱状半導体装置の製造方法について説明する。(a)は平面図、(b)は(a)のX−X’線に沿う断面構造図、(c)は(a)のY−Y’線に沿う断面構造図である。
図8に示すように、開口部94に接した部分のSi柱85側面を、エッチングまたは側面の表面は酸化した後に、この酸化膜を除去するなどの方法により、内方向に凹ます。そして、図7Aと同様にSiのエピタキシャル結晶成長法により、開口部のSi柱85の側面に接して、高濃度にアクセプタ不純物を含んだP+層96を形成する。以後、図7Bと同様な工程を行うことにより、SGTが形成される。
本実施形態のSGTを有する柱状半導体装置の製造方法によれば、次のような特徴が得られる。
1. 平面視において、P+層96aが、チャネル部分のSi柱85外周より、内側になるため、図7Bと比べてチャネル内に均一な電界分布が形成される。これはSGTの低電圧駆動において望ましい。
例えば、SiGeを母体にしてP+層96a、105を形成した場合、チャネルSi柱85内にホール移動度を高める応力を形成しやすくなる。これにより、SGTの高性能化が図れる。
(第8実施形態)
以下、図9A〜図9Dを参照しながら、本発明の第8実施形態に係る、SGTを有する柱状半導体装置の製造方法について説明する。(a)は平面図、(b)は(a)のX−X’線に沿う断面構造図、(c)は(a)のY−Y’線に沿う断面構造図である。 そして、図9A(d)は、図9A()のY2−Y2’線に沿った断面構造図である。
第1実施形態では、図2Tに示したように、P+層38a、N+層38b、38cを形成した後に、W層43a、43b、P+層38a、N+層38b、38c側面を囲んで、平面視において等幅のSiO2層46a、46b、46c、46d、46eを形成した。そして、図2Uにおいて説明したように、SiO2層46a、46b、46c、46d、46eの側面を囲んでAlO層51を形成した。これに対して、本実施形態では、図9Aに示すように、W面を露出させたW層43a、43bの頂部と、Si面を露出させたSi柱6a、6b、6cの頂部と、の底部を囲み、第1実施形態と同じくSiN層35cを形成する。そして、W層43a、43bの頂部の側面と、Si柱6a、6b、6cの頂部の側面と、を囲み、平面視において等幅のSiO2層111a、111b、111c、111d、111eを形成する。そして、SiO2層111a、111b、111c、111d、111eの外周部にAlO層110を形成する。
次に、図9Bに示すように、平面視において、Si柱6b、6c上を覆ってSiN/SiO2層113a、レジスト層114aを形成する。そして、SiO2層111c、AlO層110、SiN/SiO2層113a、レジスト層114aをマスクにして、Si柱6aの頂部をエッチングして、凹部115aを形成する。そして、レジスト層114aを除去する。
次に、図9Cに示すように、凹部115a底部のSI柱6a上にアクセプタ不純物を高濃度に含んだP+層(図示せず)を、その頂部がAlO層110の上表面位置より高くなるまでエピタキシャル結晶成長させる。そして、CMP法によりP+層の頂部と、SiN/SiO2層113aを除去して、上表面位置がAlO層110の上表面位置と同じくなるP+層116aを形成する。これにより、P+層116aがSi柱6aに対して自己整合で形成される。そして、平面視において、Si柱6b、6c上を覆ってSiN/SiO2層113b、レジスト層114bを形成する。そして、SiO2層111b、111c、AlO層110、SiN/SiO2層113b、レジスト層114bをマスクにして、Si柱6b、6cの頂部をエッチングして、凹部115b、115cを形成する。そして、レジスト層114bを除去する。
次に、図9Dに示すように、凹部115b、115cの底部のSI柱6b、6c上にドナー不純物を高濃度に含んだN+層(図示せず)を、その頂部がAlO層110の上表面位置より高くなるまでエピタキシャル結晶成長させる。そして、CMP法によりN+層の頂部と、SiN/SiO2層113bを除去して、上表面位置がAlO層110の上表面位置と同じくなるN+層116b、116cを形成する。これにより、N+層116b、116cがSi柱6b、6cに対して自己整合で形成される。そして、図2U〜図2Zの工程を行うことにより、第1実施形態と同じく、高密度SRAMセル回路が形成される。
本実施形態のSGTを有する柱状半導体装置の製造方法によれば、次のような特徴が得られる。
1. 第1実施形態では、エピタキシャル結晶成長によるP+層38a、N+層38b、38cを形成した後に、自己整合コンタクトホール50a〜50eを形成するため、P+層38a、N+層38b、38cの側面と、W層43a、43bの頂部の側面と、を等幅で囲んだSiO2層46a〜46eを形成し、そしてSiO2層46a〜46eを囲んでAlO層51を形成した。これに対して、本実施形態では、エピタキシャル結晶成長によるP+層116a、N+層116b、116cを形成する前に、ドナー、又はアクセプタ不純物をドープしていないSi柱6a、6b、6cとW層43a、43bの頂部の側面を等幅で囲んだSiO2層111a〜111eを形成し、SiO2層111a〜111eを囲んだAlO層110と、を形成する。その後に、SiO2層111a〜111eをエッチングすることにより、自己整合コンタクトホール(図示せず)が形成される。このように、Si柱6a、6b、6cとW層43a、43bの頂部の側面を等幅で囲んだSiO2層111a〜111eは、凹部115a、115b、115cを形成するためのエッチングマスクの役割と、自己整合コンタクトホール形成の役割を行う。これにより、本実施形態の工程は、第1実施形態の工程より簡略にできる。
2. 本実施形態では、P+層116a、N+層116b、116cと、自己整合コンタクトホール(図示せず)とが、第1実施形態におけるP+層38a、N+層38b、38cと、自己整合コンタクトホール50a〜50eは、同じ形状に形成される。P+層38a、N+層38b、38cと、自己整合コンタクトホール50a〜50e形成の後の製造方法に係る第2実施形態〜第5実施形態と、P+層38a、N+層38b、38cと、自己整合コンタクトホール50a〜50e形成の前の製造方法に係る第6実施形態と、第7実施形態には、本実施形態は直接適用することが出来る。これにより、第2実施形態〜第7実施形態の回路形成工程の簡略化が図れる。
3.本実施形態では、図9Aにおいて、Si柱6a、6b、6cの頂部上に、図2Lで示したSiO2層5a、5b、5cを残存させた状態で、Si柱6a、6b、6cの頂部と、SiO2層5a、5b、5cと、の側面を囲んで、SiO2層111c,111d,111eとAlO層110を形成することができる。その後に、SiO2層5a、5b、5cとSiO2層111c,111d,111eとをエッチングして除去すると、第2実施形態と同じように、P+層116a、N+層116b、116cの頂部上面位置をAlO層110の上表面位置より低くすることができる。これによって、第2実施形態と同様に、さらにP+層116a、N+層116b、116cのダイオードPN接合抵抗を小さく出来て、SGT回路の低電圧駆動化と高速化が図れる。
なお、本発明に係る実施形態では、SGTを用いたSRAMセル回路を例として説明したが、SGTを用いた他の回路形成においても本発明を適用することができる。
また、第1実施形態〜第5実施形態、第8実施形態では、Si柱6a、6b、6cのそれぞれに、2個のSGTを形成したが、1個、または3個以上を形成する回路形成においても、本発明を適用できる。また、第6実施形態、第7実施形態ではSi柱85に1個のSGTを形成した例を説明したが、2個以上のSGTを形成する場合においても、本発明を適用できる。
また、第1実施形態では、図2Uに示したように、AlO層51をエッチングマスクとして用い、Si柱6a、6b、6cの頂部、及びW層43a、43bの頂部を残存させつつ、コンタクトホール50a、50b、50c、50d、50eを形成したが、エッチングマスクの材料(第1実施形態ではAlO層51を使用)、半導体柱の材料(第1実施形態ではSi柱6a、6b、6cを使用)、及びコンタクトホールの形状を作るための他の被除去層の材料(第1実施形態ではSiO2層46a、46b、46c、46d、46e、SiN層35cを使用)、及びエッチング法は、それぞれの目的が得られるものであれば、任意である。このことは、本発明に係るその他の実施形態においても同様である。
また、第1実施形態では、Si柱6a、6b、6c、W層40a、40bの外周部のSiO2層35bの上にSiN層35cを形成した。SiN層35cに代えて、AlO層51とSi柱6a、6b、6c、W層43a、43bをマスクにして、SiO2層46a、46b、46c、46d、46eをエッチングする場合の、エッチングストッパ層となる材料層であれば、他の材料層を用いてもよい。また、SiN層35cに代えて、TiN層18c、18d、18eの上端を酸化して、そこに絶縁層を形成してもよいし、また、TiN層18c、18d、18eの上端の一部をエッチングして、そこに絶縁層を埋め込んでもよい。少なくとも、SiO2層46c、46d、46eの下に、SiO2層46c、46d、46eのエッチングに対してエッチングストッパとなる材料層があれば、SiN層35cを省略できる。また、SiN層35c、SiO2層46a、46b、46c、46d、46e、AlO層51の材料選択は、それぞれの目的を得るものであれば、任意である。このことは、本発明に係るその他の実施形態においても同様である。
また、第1実施形態では、Si柱6a、6b、6cの頂部の外周部に、SiN層35cは、その目的を得るものであれば、単層または複数層よりなる他の材料層であってもよい。また、第1実施形態で示した、Si柱6a、6b、6cの頂部の外周部の全面を覆ったSiN層35cに替えて、少なくとも導体層であるゲートTiN層18c、18d、18eの上端部を覆い、図2Uで示したSiO2層46a〜46eをエッチングによるコンタクトホール50a〜50eの形成においてエッチングストッパになる単層または複数層の絶縁材料層であれば、よい。このことは、本発明に係るその他の実施形態においても同様である。
また、第1実施形態では、SiO2層35bとSiN層35cを、別々に形成したが、例えば、垂直方向におけるSi柱6a、6b、6cの頂部のHfO 2 層11b、11c、11d、TiN層18b、18c、18d、SiO2層14b、14c、14dを除去した後に、SiO 2 層35bとSiN層35cを一体化したSiN層を形成してもよい。このことは、本発明に係るその他の実施形態においても同様である。
また、第1実施形態では、図2N〜図2Qにて説明したように、最初にSi柱6a上に凹み38AAを形成し、その後に凹み部38AA内にエピタキシャル結晶成長法により。P+層38aを形成した。その後、Si柱6b、6c上に凹み38BB,38CCを形成し、その後に凹み部38BB、38CC内にエピタキシャル結晶成長法により。N+層38b、38cを形成した。これに対し、凹み部38AA、38BB、38CCを同時に形成して、その後に、例えばフロアブルCVD( Flowable Chemical Vapor Deposition )法により、凹み部38AA、または凹み部38BB、38CCのどちらか一方をSiO2層で埋めてから、P+層38aまたはN+層38b、38cを形成してもよい。フロアブルCVD―SiO2層は通常のCVD−SiO2層よりエッチング速度が大きいので、エピタキシャル結晶成長させる部分の凹部を容易に形成できる。このように、Si柱6a、6b、6cの頂部をエッチングして凹み部38AA,38BB、38CCを形成し、そして、Si柱6a、6b、6c上にP+層38a、N+層38b、38cを形成する方法は、他の方法を用いても良い。このことは、本発明に係るその他の実施形態においても同様である。
また、第1実施形態では、P+層38a、N+層38b、38cの形成をエピタキシャル結晶成長法を用いて行った。P+層38a、N+層38b、38cの形成は、CVD法に限らず、例えば分子線堆積(Molecular beam deposition )、ALD法、液相エピタキシャル法などの他の方法を用いても良い。このことは、本発明に係るその他の実施形態においても同様である。
また、第1実施形態における、P+層38a、N+層38b、38cの底部の垂直方向における位置は、図2Qに示したように、ゲートHfO2層の上端になるように形成した。このP+層38a、N+層38b、38cの底部の位置は、SGTの動作に不都合を生じさえなければ、ゲートHfO2層の上端より上にあっても、下にあってもよい。このことは、本発明に係るその他の実施形態においても同様である。
また、第1実施形態において、図2NにおけるSiN層35cの形成前に、少なくともゲートTiN層18c、18d、18eの頂部上に絶縁層を形成して、P+層38a、N+層38b、38c、W層52c、52d、52eとゲートTiN層18c、18d、18eとの絶縁を更に確実にさせてもよい。この絶縁層は、ゲートTiN層18c、18d、18eの上端を酸化してもよい。または、ゲートTiN層18c、18d、18eの上端をエッチングして凹部を形成し、その凹部に絶縁層を埋め込んでもよい。このことは、本発明に係るその他の実施形態においても同様である。
また、第1実施形態では、図2Nに示すように、Si柱6a、6b、6cの外周部のSiO2層35bの上にSiN層35cを形成する。そして、全体にSiO2膜(図示せず)を被覆して、その後にCMP法により、上表面の位置がSi柱6a、6b、6cの頂部と一致する、表面が平坦なSiO2層35dを形成する。そして、全体に下にSiN層、そして上にSiO2層よりなるSiN/SiO2層(図示せず)を被覆する。そして、リソグラフィ法とRIE法を用いて、レジスト層37aの下にSiN/SiO2層35eを形成した。SiN/SiO2層35eは、Si柱6aの頂部をエッチングして凹部38AAを形成するためのエッチングマスクである。このエッチングマスクの形成は、その目的が得られるものであれば、他の方法を用いても良い。例えば、垂直方向において、表面が平坦なSiO2層35dの上表面位置を、Si柱6a、6b、6cの頂部より、高くなるように形成し、その後にレジスト層37aを形成し、レジスト層37aをマスクに、上表面位置がSi柱6a、6b、6cの頂部上面位置になるまで、SiO2層35dをエッチングしても良い。このことは、本発明に係るその他の実施形態においても同様である。
また、第1実施形態における、P+層33a、N+層33b、33cの形成は、他の方法で形成してもよい。例えば、図2Aにおいて、SiO2層3の上に、平面視においてアクセプタ不純物を含んだP+不純物領域と、ドナー不純物を含んだN+不純物領域をエピタキシャル成長法、またはイオン注入法により形成してもよい。また、これらP+不純物領域、N+不純物領域は、Siに替えて、例えばSiGeなどの他の半導体材料であってもよい。このことは、本発明に係るその他の実施形態においても同様である。
また、第1実施形態では、図2Tに示したように、RIE法を用いて全体を覆ったCVD法で形成したSiO2層(図示せず)をSiN層35c上表面までエッチングした。これにより、W層43a、43bの側面にSiO2層46a、46bを残存させた。同時にP+層38a、N+層38b、38cの側面にSiO2層46c、46d、46eを残存させた。全体を覆ったSiO2層(図示せず)の形成は、CVD法に限らずALD法などの他の方法を用いても良い。また、SiO2層46c、46d、46eの形成は、RIE法に限らず、P+層38a、N+層38b、38cの側面を囲んで等幅に形成できる方法であれば、例えばイオンミキシング法などの他の方法を用いても良い。
また、第1実施形態では、下部SGTのソースP+層8aa、N+層8bb、8ccを構成するSi柱6a、6b、6cの底部のソース不純物領域および互いに水平方向に繋がった配線導体層部分を、同じ材料層で形成したが、例えば配線導体層部をシリサイドまたは金属などの単層または複数層から構成されるほかの材料層で形成してもよい。このことは、本発明に係るその他の実施形態においても同様である。
また、第1実施形態では、平面視において下部配線導体層であるNiSi層28aaと、中間配線導体層であるNiSi層28bbと、上部配線導体層であるNiSi層36aとが重なって形成された領域にコンタクトホール40a、40bを形成した。この場合、NiSi層28aaは下部SGTのドレインN+層31bに繋がっており、NiSi層28bbは上部SGTのドレインN+層33bに接続され、NiSi層36aは上部SGTのゲートTiN層18dに接続されている。このように、SGTを用いた回路の設計に応じて、上部配線導体層、中間配線導体層、下部配線導体層に繋がる、SGTのソース不純物領域、ドレイン不純物領域、ゲート導体層との組み合わせは適宜変更可能である。このことは、本発明に係るその他の実施形態においても同様である。
また、第1実施形態では、SiO2層41aにより側面が絶縁されたNiSi層28bbの側面形状が、平面視においてコンタクトホール40aの外周位置に一致していたが、NiSi層28bbの側面がコンタクトホール40aの外側に広がっていてもよい。これにより、NiSi層28aa、28bb間の容量を小さくすることが出来る。同様に、SiO2層41bにより側面が絶縁されたNiSi層28aaの側面形状が、平面視においてコンタクトホール40bの外周位置に一致していたが、NiSi層28aaの側面がコンタクトホール40bの外側に広がっていてもよい。これにより、NiSi層28aaとTiN層18aとの間の容量を小さくすることが出来る。そして、これはSRAMセル回路以外の回路形成においても有効となる。このことは、本発明に係るその他の実施形態においても同様である。
また、第1実施形態では、コンタクトホール40a、40bは、最上層のSiO2層35dからNiSi層28aa、TiN層18aの上表面まで形成されているが、コンタクトホール40a、40bのオーバエッチングにより、コンタクトホール40a、40bの底部は、NiSi層28aa、TiN層18aの内部まで形成されてもよいことは言うまでもない。このことは、本発明に係るその他の実施形態においても同様である。
また、第1実施形態では、Ni層21a、21bのNi原子によるP型ポリSi層22a、22b、N+型ポリSi層26a、26bのシリサイド化に伴ってNiSi層28a、28bを空間25a、25b、25c内へ突起させてSi柱6a、6b、6c側面に接続して、その後に熱処理によりドナー、そしてアクセプタ不純物を拡散させてSi柱6a、6b、6c内に、N+層31b、31c、32b、32c、P+層31a、33aを形成した。そして、同時にP型ポリSi層22a、22b、N+型ポリSi層26a、26bのシリサイド化により配線導体層であるNiSi層28aa、28bbの形成を行った。このN+層31b、31c、32b、32c、P+層31a、33a、および配線導体層であるNiSi層28aa、28bbの形成方法は、使用する材料層を変えることを含めて、他の方法を用いて実現させてもよい。また、TiN層18c、18d、18eに接続する配線導体層であるNiSi層36a、36bについても同様である。このことは、本発明に係るその他の実施形態においても同様に適用可能である。
また、第1実施形態では、コンタクトホール40a、40bの側面に面した、上部配線導体層であるNiSi層36a、28bbの側面にSiO2層41a、41bが形成されるが、RIEエッチングのオーバエッチングにより、NiSi層36a、28bbの側面からSiO2層41a、41bを除去してもよい。このことは、本発明に係るその他の実施形態においても同様に適用可能である。
また、第1実施形態〜第5実施形態ではでは、SiO2層基板1上にSi柱6a、6b、6cを形成してSRAMセル回路を形成したが、SiO2層基板1の代わりにSOI(Silicon on Insulator)基板、Si基板などの他の基板を用いてもよい。Si基板の場合、Si基板表層にSi柱6a、6b、6c底部のソースまたはドレインのN+層またはP+層に対応したウエル構造をけてもよい。また、第6実施形態ではウエル構造を用いて説明したが、SiO2層基板、SOI基板を用いてもよい。
また、第1実施形態のSiO2層46a、46b、46c、46d、46e、W層52a、52b、52c、52d、52eは、Si柱6a、6b、6c、W層43a、43bのいずれかを平面視において円帯状に囲むように形成されているが、これらの形状は円帯状に限られるものではない。平面視における以上の構造の断面形状の外形は、それらに対応するSi柱6a、6b、6c、W層43a、43bの断面形状に依存した形状、例えば、相似形であってもよく、例えば、Si柱6a、6b、6c、W層43a、43bの断面形状が正方形であれば、正方形や長方形であってもよいし、Si柱6a、6b、6c、W層43a、43bの断面形状が楕円形であれば、楕円形、円形、長円形であってもよい。また、以上の構造の断面形状は平面視においてSi柱6a、6b、6c、W層43a、43bを囲む任意の形状であってもよい。このことは、本発明に係るその他の実施形態においても同様に適用可能である。
また、第1実施形態では、Si柱6a、6b、6c側面表面とNiSi層28aa、28bbとの接続、Si柱6a、6b、6c内でのNiSi層30a、30b、30c、32a、32b、32cの形成、P+層31a、33a、N+層31b、31c、33b、33cの形成は、図2Kにおける熱処理によって行った。熱処理によるこれらの構造の形成は、第1実施形態で示したタイミングより遅らせることができ、SGTを製造する最終工程までに行われればよい。このことは、本発明に係るその他の実施形態においても同じである。
また、第1実施形態で説明した開口部19a、19b、19cの形成方法については、開口部19a、19b、19c形成に係る材料層、プロセス共に、他の方法を用いても良い。このことは、本発明に係るその他の実施形態においても同じである。
また、第1実施形態においては、P+層38a、N+層38b、38c、W層43a、43bを囲んでW層(バッファ金属層を含む)52a〜52eを形成した。W層52a〜52eに替えて単層、または複数層よりなる、他の金属、または合金層を用いてもよい。このことは、本発明に係るその他の実施形態においても同じである。
また、第2実施形態では、図3Cに示したように、SiO2層46a〜46eを除去した後に、W層(図示せず、薄いバッファ金属層を含む)を、上表面位置がAlO層71の上表面位置より高くなるまで全体に被覆した。このバッファ金属層とW層との2層金属層は、P+層38A、N+層38B、38Cの側面、及び頂部上に均一に被覆されていなくてもよい。例えば、P+層38A、N+層38B、38Cの側面にはバッファ金属層のみが形成されていてもよい。
また、第3実施形態において、W層76a、76b、76c、77a、77bを形成する前、全体に被覆したバッファ導体層であるTi層、TiN層を、CMP法により、上表面位置がAlO層71の上表面位置になるように研磨した。これに対して、被覆するTi層、TiN層のSi柱6a、6b、6c上の上表面位置が、AlO層71の上表面位置より低くなるように形成した後に、連続してW層を被覆する。そして、CMP法により、Ti層、TiN層、W層を、AlO層71の上表面位置まで研磨する。これにより、バッファ導体層74a、74b、74cとW層76a、76b、76cとの間にW層を形成することができる。この方法によっても、バッファ導体層74a、74b、74cとW層76a、76b、76cとの接触抵抗を小さく出来る。このことは、第4実施形態においても同じである。
また、第6、第7実施形態では、Si柱85の側面に接して、アクセプタ不純物を含んだP+層94、96を形成した。この場合、後の熱処理により、P+層94、96内のアクセプタ不純物がSi柱85表層に拡散される。この不純物拡散は、SGTの特性が損なわれないように制御されていれば問題ない。
また、第6、第7実施形態では、Si柱85の側面に接して、アクセプタ不純物を含んだP+層、96、96aを形成した。P+層96、96aに替えて、N+層を形成しても良い。また、基板上に複数の半導体柱を形成し、それぞれを異なる半導体母体からなるP+層96、96a、N+層を形成してもよい。
また、第6、第7実施形態では、Si柱85の底部にP+層105、96間に流れる電流がP層86にリークするのを防止するためのバリヤー層であるN層87を形成している。これに対し、バリヤー効果を得るものであれば、他の構造であってもよい。例えば、N層87の替わりに、SiO2層を形成してもよい。この場合、このSiO2層はP層86の内部、または平面視において、Si柱85の外周部のP層86表層まで繋がっていてもよい。また、N層、P層基板86に替えて、SOI基板を用いてもよい。
また、第6実施形態では、Si柱85の下部外周部のSiO2層、TiN層、HfO2層をエッチングして、開口部94と、HfO2層91、TiN層92、SiO2層93を形成した。そして、開口部94に面したTiN層92端に絶縁層95を形成した。この絶縁層95は、TiN層92とP+層96との電気的絶縁を行うための層である。この電気的絶縁は、他の構造を用いて、なされてもよい。例えば、開口部94形成の前に、Si柱85の外周を囲んで、例えば、SiO2層とSiN層を層状に形成し、その後に、平面視において、Si柱85を等幅で囲むようにエッチングし、そして、SiO2層をエッチングして、開口部94を形成してもよい。この場合、SiN層が、TiN層92aとP+層96との絶縁層になる。この場合、HfO2層91、TiN層92、SiO2層93はSiO2層とSiN層を層状に形成した後に、Si柱85を囲んで形成する。または、他の方法を用いてもよい。このことは、第7実施形態においても同じである。
また、第8実施形態では、図9Aにおいて、Si柱6a、6b、6cの頂部上に、図2Lで示したSiO2層5a、5b、5cを残存させた状態で、Si柱6a、6b、6cの頂部と、SiO2層5a、5b、5cと、の側面を囲んで、SiO2層111c,111d,111eとAlO層110を形成することができる。その後に、SiO2層5a、5b、5cとSiO2層111c,111d,111eとをエッチングして除去すると、第2実施形態と同じように、P+層116a、N+層116b、116cの頂部上面位置をAlO層110の上表面位置より低くすることができると示した。このことは、本発明に係るその他の実施形態においても適用できる。
また、第8実施形態は、第1実施形態と異なり、凹部115a、115b、115cを、SiO2層111a、111b、111cと、AlO層110をマスクにして、Si柱6a、6b、6cの頂部をエッチングして形成した。このことは、本発明に係るその他の実施形態においても適用できる。
また、上記各実施形態では、半導体柱におけるチャネル、ソース、ドレインなどの半導体領域としてSi(シリコン)を用いた例について説明した。しかしこれに限られず、本発明の技術思想は、SiGeのようにSiを含んだ半導体材料、またはSi以外の半導体材料を用いた、SGTを有する半導体装置にも適用可能である。
また、第1実施形態では、ゲート導体層がTiN層18a、18b、18c、18dからなる形態とした。しかしこれに限られず、ゲート導体層は、他の金属材料から形成されていてもよい。また、ゲート導体層は、金属層と例えばポリSi層などから構成される多層構造を有していてもよい。このことは、本発明に係るその他の実施形態においても同様に適用可能である。
また、縦型NAND型フラッシュメモリ回路では、半導体柱をチャネルにして、この半導体柱を囲んだトンネル酸化層、電荷蓄積層、層間絶縁層、制御導体層から構成されるメモリセルが複数段、垂直方向に形成される。これらメモリセルの両端の半導体柱には、ソースに対応するソース線不純物層と、ドレインに対応するビット線不純物層がある。また、1つのメモリセルに対して、その両側のメモリセルの一方がソースならば、他方がドレインの役割を行う。このように、縦型NAND型フラッシュメモリ回路はSGT回路の1つである。従って、本発明はNAND型フラッシュメモリ回路に対しても適用することができる。
また、第1実施形態において、SGTのソース、ドレインは、同じ極性の不純物領域により形成されているが、互いに異なる導電性を有する不純物領域を有するトンネル効果SGTであってもよい。このことは、本発明に係るその他の実施形態においても同様に適用可能である。
本発明は、本発明の広義の精神と範囲を逸脱することなく、様々な実施形態及び変形が可能とされるものである。また、上述した実施形態は、本発明の一実施例を説明するためのものであり、本発明の範囲を限定するものではない。上記実施例及び変形例は任意に組み合わせることができる。さらに、必要に応じて上記実施形態の構成要件の一部を除いても本発明の技術思想の範囲内となる。
本願は、2016年12月28日に出願された国際出願PCT/JP2016/089129号に基づく優先権を主張するものである。この元となる特許出願の開示内容は参照により全体として本出願に含まれる。
本発明に係る、柱状半導体装置の製造方法によれば、高性能な柱状半導体装置が得られる。
Pc1、Pc2 Pチャネル型SGT
Nc1、Nc2 Nチャネル型SGT
SN1、SN2 選択Nチャネル型SGT
BLt ビット線端子
BLRt 反転ビット線端子
WLt ワード線端子
Vss グランド端子
Vdd 電源端子
C1、C2 回路領域
Gp1、Gp2、Gn1、Gn2、Gs1、Gs2 ゲート
1 SiO2層基板
2、2a1、2a2、2a3、2b1、2b2、2b3、4、4a、4b、4c i層
8b、8c、8bb、8cc、31b、31c、33b、33c、38b、38c、38B、38C、101a、101b、116b、116c+
Ns1、Ns2、Ss1、Ss2 ソースN ± 層Nd1、Nd2、Sd1、Sd2 ドレインN ±
8a、8aa、31a、33a、38a、38A、96、96a、105、116a+
Pd1、Pd2 ドレインP +
Ps1、Ps2 ソースP ± Ox1、Ox2、Ox3、3、3a、3b、3c、5、5a、5b、5c、7a、7b、7c、10、14、14a、14b、14c、14d、23a、23b、23aa、23bb、35b、35d、41a、41b、46a、46b、46c、46d、46e、54、56、58、90、93、93a、101、111a、111b、111c、111d、111e SiO2
35e、35f、113a、113b SiN/SiO 2 SP1、SP2、SP3、6a、6b、6c、85、200 Si柱
11、11a、11b、11c、11d、91、91a HfO2
12、12a、12b、18a、18b、18c、18d、18e、92、92a TiN層
15、35a、35c、89、99、102 SiN層
51、71、103、110 AlO層
16、27、37a、37b、114a、114b レジスト層
20a、20b、20c、20d、20e、20f TiO層
21a、21b Ni層
22a、22b P型ポリSi層
26a、26b N+型ポリSi層
25a、25b、25c 空間
19a、19b、19c、94 開口部
38AA、38BB、38CC 凹部
28a、28b、28aa、28bb、30a、30b、30c、32a、32b、32c、36a、36b、67a、67b、67c、67d、67e、67f
NiSi層
40a、40b、50a、50b、50c、50d、50e、50aa、50bb、55a、55b、55c、55d、57、60a、60b、108a、108b コンタクトホール
43a、43b、52a、52b、52c、52d、52e、72a、72b、72c、73a、73b、76a、76b、76c、77a、77b、78a、78b、78c、79a、79b、82a、82b、82c、82d、82e、98、100、106 W層
74a、74b、74c、75a、75b、81a、81b、81c、81d、81e バッファ導体層
86 P層
87 N層
95 絶縁層
VDD 電源配線金属層
VSS グランド配線金属層
WL ワード線配線金属層
BL ビット線配線金属層
BLR 反転ビット線配線金属層
M1,M2、MG 配線金属層
104 ゲート導体層
115a、115b、115c 凹部
202 チャネル領域
203 ゲート絶縁層

Claims (24)

  1. 板上に対して垂直方向に延在する第1の半導体柱を形成する工程と、
    前記第1の半導体柱の外周を囲む第1のゲート絶縁層を形成する工程と、
    前記第1のゲート絶縁層を囲む第1のゲート導体層を形成する工程と、
    垂直方向において、前記第1のゲート絶縁層の下端に、その上端位置がある、前記第1の半導体柱の内部、又はその側面に接した第1の不純物領域を形成する工程と、
    前記垂直方向において前記第1のゲート導体層の上端以上で、且つ前記第1の半導体柱の頂部以下の高さに上面位置を有する第1の絶縁層を形成する工程と、
    前記第1の絶縁層の上表面より上で露出している前記第1の半導体柱の上部の側面を囲んで第1の材料層を形成する工程と、
    前記第1の材料層をマスクに前記第1の半導体柱の頂部をエッチングして、凹部を形成する工程と、
    前記凹部に、ドナーまたはアクセプタ不純物を含む第2の不純物領域をエピタキシャル結晶成長させて形成する工程と、
    前記第1の材料層を除去する工程と、
    前記第1の絶縁層より上部の前記第2の不純物領域の側面を、平面視において等幅で囲んだ第2の材料層を形成する工程と、
    前記第2の材料層の外周部に第3の材料層を形成する工程と、
    前記第3の材料層と、前記第2の不純物領域と、をエッチングマスクにして、前記第2の材料層をエッチングして前記第1の絶縁層を底部にした第1のコンタクトホールを形成する工程と、
    前記第1のコンタクトホールに単層又は、複数層よりなる導電性を有する第1の導体材料層を埋め込む工程と、を備える、
    ことを特徴とする柱状半導体装置の製造方法。
  2. 前記第2の不純物領域の上表面位置を前記第2の材料層の上表面位置より低く形成する工程と、
    前記第1のコンタクトホールを埋めて、前記第2の不純物領域との側面と、上表面とを覆って、単層又は、複数層よりなる導電性を有する第2の導体材料層を形成する工程と、を備える、
    ことを特徴とする請求項1に記載の柱状半導体装置の製造方法。
  3. 前記第1のコンタクトホールを埋めて、前記第2の不純物領域との側面と、上表面と、前記第3の材料層の上表面を覆って、単層又は、複数層よりなる導電性を有する第3の導体材料層を形成する工程と、
    前記第3の導体材料層の上表面位置が、前記第2の材料層の上表面位置になるように研磨する工程と、
    前記第3の導体材料層に接続して、第1の配線導体層を形成する工程と、を備える、
    ことを特徴とする請求項1に記載の柱状半導体装置の製造方法。
  4. 前記第2の導体材料層上に、選択成長により、第4の導体材料層を形成する工程、を備える、
    ことを特徴とする請求項2に記載の柱状半導体装置の製造方法。
  5. 前記第2の導体材料層を選択成長により形成する工程、を備える、
    ことを特徴とする請求項2に記載の柱状半導体装置の製造方法。
  6. 前記第1のコンタクトホールを埋めて、前記第2の不純物領域との側面と、上表面とを覆って、上表面位置が前記第3の材料層の上表面より高い、単層又は、複数層よりなる導電性を有する第5の導体材料層を形成する工程と、
    前記第5の導体材料層上に、第2の配線導体層を形成する工程と、を備える、
    ことを特徴とする請求項1に記載の柱状半導体装置の製造方法。
  7. 前記第1のゲート導体層を囲んだ第2の絶縁層を形成する工程と、
    前記第1の半導体柱の下方に、前記第2の絶縁層と、前記第1のゲート導体層と、前記第1のゲート絶縁層と、を貫通した開口部を形成する工程と、
    前記開口部を形成する前、または後に、前記開口部に面して、少なくとも前記第1のゲート導体層の端面を覆った第3の絶縁層を形成する工程と、
    前記開口部の前記第1の半導体柱の側面に接して、水平方向に伸延するドナー、またはアクセプタ不純物を含んだ前記第1の不純物領域を選択エピタキシャル結晶成長により形成する工程を、を備える、
    ことを特徴とする請求項1に記載の柱状半導体装置の製造方法。
  8. 平面視において、前記第1の不純物領域の外周が、前記第2の絶縁層の外周より外側になるように、前記第1の不純物領域を形成する工程を、さらに備える、
    ことを特徴とする請求項7に記載の柱状半導体装置の製造方法。
  9. 前記第1の不純物領域と、前記第2の不純物領域と、の一方または両方が、前記第1の半導体柱を構成している半導体母体と異なる半導体母体から形成されている、
    ことを特徴とする請求項7に記載の柱状半導体装置の製造方法。
  10. 前記基板上に前記第1の半導体柱に隣接して立つ第2の半導体柱と、前記第2の半導体柱の外周を囲む第2のゲート絶縁層と、前記第2のゲート絶縁層を囲む第2のゲート導体層と、
    前記第2の半導体柱上に前記第2の不純物領域と同じ工程を用いて、ドナーまたはアクセプタ不純物を含み、エピタキシャル結晶成長させて第3の不純物領域を形成する工程と、
    前記第1の半導体柱の下方にあり、且つ前記第1の半導体柱内または、側面に繋がった第4の不純物領域、を形成する工程と、
    前記第2の半導体柱の下方にあり、且つ前記第2の半導体柱内または、側面に繋がった第5の不純物領域、を形成する工程と、
    前記第1のゲート導体層、前記第2のゲート導体層、前記第1の不純物領域、前記第3の不純物領域、前記第4の不純物領域、及び前記第5の不純物領域から選ばれる異なる部位にそれぞれ接続され、前記基板に水平に延在し、平面視において互いに少なくとも部分的に重なり、且つ上から下にこの順番で存在する第2の配線導体層、第3の配線導体層、及び第4の配線導体層を含む積層構造体を提供する工程と、
    前記第3の材料層の上表面から前記第4の配線導体層の上表面又は内部までつづき、前記第2の配線導体層及び前記第3の配線導体層を貫通する第2のコンタクトホールを形成する工程と、
    前記第2のコンタクトホールに露出した前記第3の配線導体層の側面に第1の管状絶縁層を形成する工程と、
    前記第2のコンタクトホールを充満して導電性を有する第6の導体材料層を形成する工程と、
    前記第6の導体材料層の上部の側面を露出させる工程と、
    前記第2の材料層を形成する工程は、前記第6の導体材料層の側面を囲んで第4の材料層を形成する工程を含み、
    前記第3の材料層を形成する工程は、前記第4の材料層を囲んで第5の材料層を形成する工程を含み、そして、
    前記第5の材料層をエッチングマスクにして、前記第4の材料層をエッチングして、前記第2の配線導体層上面に繋がる第3のコンタクトホールを形成する工程と、
    前記第3のコンタクトホールに導電性を有する第7の導体材料層を形成す工程と、をさらに備える、
    ことを特徴とする請求項1に記載の柱状半導体装置の製造方法。
  11. 平面視において、前記第1のコンタクトホール、前記第2のコンタクトホール、前記第3のコンタクトホールの場所以外にあり、前記第1のゲート導体層、前記第2ゲート導体層、前記第4の不純物領域、前記第5の不純物領域のいずれかに接続され水平方向に延在する第5の配線導体層に繋がり、且つ前記第3の材料層の表面より下方に延びる第4のコンタクトホールを形成する工程と、
    第8の導体材料層を前記第4のコンタクトホールに充満する工程と、
    をさらに備える、
    ことを特徴とする請求項10に記載の柱状半導体装置の製造方法。
  12. 平面視において、前記第2のコンタクトホールに面した前記第3の配線導体層の側面が、前記第2の配線導体層の側面より、外側になるように形成される、
    ことを特徴とする請求項10に記載の柱状半導体装置の製造方法。
  13. 基板上に対して垂直方向に延在する第1の半導体柱を形成する工程と、
    前記第1の半導体柱の外周を囲む第1のゲート絶縁層を形成する工程と、
    前記第1のゲート絶縁層を囲む第1のゲート導体層を形成する工程と、
    前記基板に対する垂直方向において、前記第1のゲート絶縁層の下端に、その上端位置がある、前記第1の半導体柱の内部、又はその側面に接した第1の不純物領域を形成する工程と、
    前記垂直方向において前記第1のゲート導体層の上端以上で、且つ前記第1の半導体柱の頂部以下の高さに上面位置を有する第1の絶縁層を形成する工程と、
    前記第1の絶縁層の上表面より上で露出している前記第1の半導体柱の上部の側面を平面視において等幅に囲んで第1の材料層を形成する工程と、
    前記第1の材料層を囲んで第2の材料層を形成する工程と、
    前記第1の材料層と、前記第2の材料層と、をマスクに前記第1の半導体柱の頂部をエッチングして、凹部を形成する工程と、
    前記凹部に、ドナーまたはアクセプタ不純物を含む第2の不純物領域をエピタキシャル結晶成長させて形成する工程と、
    前記第1の材料層をエッチングして、前記第2の材料層と、前記第2の不純物領域の間にあり、且つ前記第1の絶縁層を底部にした第1のコンタクトホールを形成する工程と、
    前記第1のコンタクトホールに単層又は、複数層よりなる導電性を有する第1の導体材料層を埋め込む工程と、を備える、
    ことを特徴とする柱状半導体装置の製造方法。
  14. 前記第2の不純物領域の上表面位置を前記第1の材料層の上表面位置より低く形成する工程と、
    前記第1のコンタクトホールを埋めて、前記第2の不純物領域との側面と、上表面とを覆って、単層又は、複数層よりなる導電性を有する第2の導体材料層を形成する工程と、を備える、
    ことを特徴とする請求項13に記載の柱状半導体装置の製造方法。
  15. 前記第1のコンタクトホールを埋めて、前記第2の不純物領域との側面と、上表面と、前記第2の材料層の上表面を覆って、単層又は、複数層よりなる導電性を有する第3の導体材料層を形成する工程と、
    前記第3の導体材料層の上表面位置が、前記第2の材料層の上表面位置になるように研磨する工程と、
    前記第3の導体材料層に接続して、第1の配線導体層を形成する工程と、を備える、
    ことを特徴とする請求項13に記載の柱状半導体装置の製造方法。
  16. 前記第2の導体材料層上に、選択成長により、第4の導体材料層を形成する工程、を備える、
    ことを特徴とする請求項14に記載の柱状半導体装置の製造方法。
  17. 前記第2の導体材料層を選択成長により形成する工程、を備える、
    ことを特徴とする請求項14に記載の柱状半導体装置の製造方法。
  18. 前記第1のコンタクトホールを埋めて、前記第2の不純物領域との側面と、上表面とを覆って、上表面位置が前記第2の材料層の上表面より高い、単層又は、複数層よりなる導電性を有する第5の導体材料層を形成する工程と、
    前記第5の導体材料層上に、第2の配線導体層を形成する工程と、を備える、
    ことを特徴とする請求項13に記載の柱状半導体装置の製造方法。
  19. 前記第1のゲート導体層を囲んだ第2の絶縁層を形成する工程と、
    前記第1の半導体柱の下方に、前記第2の絶縁層と、前記第1のゲート導体層と、前記ゲート絶縁層と、を貫通した開口部を形成する工程と、
    前記開口部を形成する前、または後に、少なくとも前記第1のゲート導体層の端面を覆った第3の絶縁層を形成する工程と、
    前記開口部の前記第1の半導体柱の側面に接して、水平方向に伸延するドナー、またはアクセプタ不純物を含んだ第1の不純物領域を選択エピタキシャル結晶成長により形成する工程を、を備える、
    ことを特徴とする請求項13に記載の柱状半導体装置の製造方法。
  20. 平面視において、前記第1の不純物領域の外周が、前記第2の絶縁層の外周より外側になるように、前記第1の不純物領域を形成する工程を、さらに備える、
    ことを特徴とする請求項19に記載の柱状半導体装置の製造方法。
  21. 前記第1の不純物領域と、前記第2の不純物領域と、の一方または両方が、前記第1の半導体柱を構成している半導体母体と異なる半導体母体から形成されている、
    ことを特徴とする請求項19に記載の柱状半導体装置の製造方法。
  22. 前記基板上に前記第1の半導体柱に隣接して立つ第2の半導体柱と、前記第2の半導体柱の外周を囲む第2のゲート絶縁層と、前記第2のゲート絶縁層を囲む第2のゲート導体層と、
    前記第2の半導体柱上に前記第2の不純物領域と同じ工程を用いて、ドナーまたはアクセプタ不純物を含み、エピタキシャル結晶成長させて第3の不純物領域を形成する工程と、
    前記第1の半導体柱の下方にあり、且つ前記第1の半導体柱内または、側面に繋がった第4の不純物領域、を形成する工程と、
    前記第2の半導体柱の下方にあり、且つ前記第2の半導体柱内または、側面に繋がった第5の不純物領域、を形成する工程と、
    前記第1のゲート導体層、前記第2のゲート導体層、前記第2の不純物領域、前記第3の不純物領域、前記第4の不純物領域、及び前記第5の不純物領域から選ばれる異なる部位にそれぞれ接続され、前記基板に水平に延在し、平面視において互いに少なくとも部分的に重なり、且つ上から下にこの順番で存在する第2の配線導体層、第3の配線導体層、及び第4の配線導体層を含む積層構造体を提供する工程と、
    前記第2の材料層の上表面から前記第4の配線導体層の上表面又は内部までつづき、前記第2の配線導体層及び前記第3の配線導体層を貫通する第2のコンタクトホールを形成する工程と、
    前記第2のコンタクトホールに露出した前記第3の配線導体層の側面に第1の管状絶縁層を形成する工程と、
    前記第2のコンタクトホールを充満して導電性を有する第6の導体材料層を形成する工程と、
    前記第6の導体材料層の上部の側面を露出させる工程と、
    前記第1の材料層を形成する工程は、前記第6の導体材料層の側面を囲んで第3の材料層を形成する工程を含み、
    前記第2の材料層を形成する工程は、前記第3の材料層を囲んで第4の材料層を形成する工程を含み、そして、
    前記第4の材料層をエッチングマスクにして、前記第3の材料層をエッチングして、前記第2の配線導体層と前記第6の導体材料層の上面に繋がる第3のコンタクトホールを形成する工程と、
    前記第3のコンタクトホールに導電性を有する第7の導体材料層を形成す工程と、をさらに備える、
    ことを特徴とする請求項13に記載の柱状半導体装置の製造方法。
  23. 平面視において、前記第1のコンタクトホール、前記第2のコンタクトホール、前記第3のコンタクトホールの場所以外にあり、前記第1のゲート導体層、前記第2ゲート導体層、前記第4の不純物領域、前記第5の不純物領域のいずれかに接続され水平方向に延在する第5の配線導体層に繋がり、且つ前記第2の材料層の表面より下方に延びる第4のコンタクトホールを形成する工程と、
    第8の導体材料層を前記第4のコンタクトホールに充満する工程と、
    をさらに備える、
    ことを特徴とする請求項22に記載の柱状半導体装置の製造方法。
  24. 平面視において、前記第2のコンタクトホールに面した前記第3の配線導体層の側面が、前記第2の配線導体層の側面より、外側になるように形成される、
    ことを特徴とする請求項22に記載の柱状半導体装置の製造方法。
JP2018559129A 2015-04-06 2017-12-21 柱状半導体装置の製造方法 Active JP6793409B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
PCT/JP2015/060763 WO2016162927A1 (ja) 2015-04-06 2015-04-06 柱状半導体メモリ装置と、その製造方法
PCT/JP2015/069689 WO2017006468A1 (ja) 2015-07-08 2015-07-08 柱状半導体メモリ装置と、その製造方法
JPPCT/JP2016/089129 2016-12-28
PCT/JP2016/089129 WO2017208486A1 (ja) 2015-04-06 2016-12-28 柱状半導体装置の製造方法
PCT/JP2017/046000 WO2018123823A1 (ja) 2015-04-06 2017-12-21 柱状半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JPWO2018123823A1 JPWO2018123823A1 (ja) 2019-07-18
JP6793409B2 true JP6793409B2 (ja) 2020-12-02

Family

ID=57072210

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2017511450A Active JP6378826B2 (ja) 2015-04-06 2015-10-09 Sgtを有する柱状半導体装置と、その製造方法
JP2017544382A Active JP6503470B2 (ja) 2015-04-06 2016-06-01 柱状半導体装置の製造方法
JP2018520341A Active JP6542990B2 (ja) 2015-04-06 2016-12-28 柱状半導体装置の製造方法
JP2018559129A Active JP6793409B2 (ja) 2015-04-06 2017-12-21 柱状半導体装置の製造方法

Family Applications Before (3)

Application Number Title Priority Date Filing Date
JP2017511450A Active JP6378826B2 (ja) 2015-04-06 2015-10-09 Sgtを有する柱状半導体装置と、その製造方法
JP2017544382A Active JP6503470B2 (ja) 2015-04-06 2016-06-01 柱状半導体装置の製造方法
JP2018520341A Active JP6542990B2 (ja) 2015-04-06 2016-12-28 柱状半導体装置の製造方法

Country Status (3)

Country Link
US (4) US10217865B2 (ja)
JP (4) JP6378826B2 (ja)
WO (4) WO2016163045A1 (ja)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6378826B2 (ja) * 2015-04-06 2018-08-22 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. Sgtを有する柱状半導体装置と、その製造方法
CN110366775B (zh) * 2016-12-28 2023-06-02 新加坡优尼山帝斯电子私人有限公司 柱状半导体装置的制造方法
CN110192280A (zh) * 2017-01-12 2019-08-30 美光科技公司 存储器单元、双晶体管单电容器存储器单元阵列、形成双晶体管单电容器存储器单元阵列的方法及用于制造集成电路的方法
KR102350485B1 (ko) * 2017-08-18 2022-01-14 삼성전자주식회사 반도체 소자
JPWO2019142670A1 (ja) 2018-01-19 2021-01-07 株式会社ソシオネクスト 半導体集積回路装置
US10756217B2 (en) 2018-02-15 2020-08-25 Micron Technology, Inc. Access devices formed with conductive contacts
KR102431218B1 (ko) * 2018-10-01 2022-08-09 유니산티스 일렉트로닉스 싱가포르 프라이빗 리미티드 기둥상 반도체 장치의 제조 방법
US11349025B2 (en) * 2018-10-31 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-channel device to improve transistor speed
US11217680B2 (en) * 2019-05-23 2022-01-04 International Business Machines Corporation Vertical field-effect transistor with T-shaped gate
CN113939907A (zh) * 2019-06-05 2022-01-14 新加坡优尼山帝斯电子私人有限公司 柱状半导体装置的制造方法
KR20220034051A (ko) * 2019-07-11 2022-03-17 유니산티스 일렉트로닉스 싱가포르 프라이빗 리미티드 주상 반도체 장치와, 그 제조 방법
US11373913B2 (en) * 2019-09-03 2022-06-28 Micron Technology, Inc. Method of forming an array of vertical transistors
US11177369B2 (en) * 2019-09-25 2021-11-16 International Business Machines Corporation Stacked vertical field effect transistor with self-aligned junctions
US11222892B2 (en) * 2020-06-15 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Backside power rail and methods of forming the same
US11640987B2 (en) * 2021-02-04 2023-05-02 Applied Materials, Inc. Implant to form vertical FETs with self-aligned drain spacer and junction
WO2023281728A1 (ja) * 2021-07-09 2023-01-12 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体素子を用いたメモリ装置
CN116959984A (zh) * 2022-04-18 2023-10-27 长鑫存储技术有限公司 半导体结构及其制备方法
EP4287241A4 (en) 2022-04-18 2023-12-27 Changxin Memory Technologies, Inc. SEMICONDUCTOR STRUCTURE AND PRODUCTION PROCESS THEREOF

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2703970B2 (ja) 1989-01-17 1998-01-26 株式会社東芝 Mos型半導体装置
JPH0324753A (ja) * 1989-06-22 1991-02-01 Nec Corp 半導体装置用パッケージ
US7052941B2 (en) 2003-06-24 2006-05-30 Sang-Yun Lee Method for making a three-dimensional integrated circuit structure
KR100510997B1 (ko) * 2000-06-29 2005-08-31 주식회사 하이닉스반도체 복합 반도체소자의 접합전극 형성방법
US6670642B2 (en) 2002-01-22 2003-12-30 Renesas Technology Corporation. Semiconductor memory device using vertical-channel transistors
DE10350751B4 (de) * 2003-10-30 2008-04-24 Infineon Technologies Ag Verfahren zum Herstellen eines vertikalen Feldeffekttransistors und Feldeffekt-Speichertransistor, insbesondere FLASH-Speichertransistor
JP4293193B2 (ja) * 2005-03-09 2009-07-08 セイコーエプソン株式会社 半導体装置および半導体装置の製造方法
JP2009141110A (ja) * 2007-12-06 2009-06-25 Elpida Memory Inc 半導体装置および半導体装置の製造方法
US8378425B2 (en) 2008-01-29 2013-02-19 Unisantis Electronics Singapore Pte Ltd. Semiconductor storage device
JP5779702B2 (ja) * 2008-02-15 2015-09-16 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. 半導体装置及びその製造方法
JP5356260B2 (ja) 2008-02-15 2013-12-04 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置及びその製造方法
US8211758B2 (en) 2008-02-15 2012-07-03 Unisantis Electronics Singapore Pte Ltd. Semiconductor device and method of producing the same
JP6014726B2 (ja) 2008-02-15 2016-10-25 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. 半導体装置及びその製造方法
JP5632055B2 (ja) 2008-02-15 2014-11-26 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. 半導体装置及びその製造方法
WO2009110048A1 (ja) 2008-02-15 2009-09-11 日本ユニサンティスエレクトロニクス株式会社 半導体装置及びその製造方法
JP2010040538A (ja) * 2008-07-31 2010-02-18 Toshiba Corp 半導体装置の製造方法
US8395191B2 (en) * 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
JP4530098B1 (ja) * 2009-05-29 2010-08-25 日本ユニサンティスエレクトロニクス株式会社 半導体装置
JP2011023543A (ja) * 2009-07-15 2011-02-03 Renesas Electronics Corp 半導体装置及び半導体装置の製造方法
JP5583933B2 (ja) * 2009-07-28 2014-09-03 猛英 白土 半導体装置及びその製造方法
CN102034863B (zh) * 2009-09-28 2012-10-31 中芯国际集成电路制造(上海)有限公司 半导体器件、含包围圆柱形沟道的栅的晶体管及制造方法
US9373694B2 (en) * 2009-09-28 2016-06-21 Semiconductor Manufacturing International (Shanghai) Corporation System and method for integrated circuits with cylindrical gate structures
JP2012209340A (ja) * 2011-03-29 2012-10-25 Nec Corp 多層基板及び多層基板の製造方法
US8575584B2 (en) * 2011-09-03 2013-11-05 Avalanche Technology Inc. Resistive memory device having vertical transistors and method for making the same
JP5701831B2 (ja) 2012-09-06 2015-04-15 株式会社東芝 パスゲートを備えた半導体記憶装置
US9368619B2 (en) * 2013-02-08 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method for inducing strain in vertical semiconductor columns
US9466668B2 (en) * 2013-02-08 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Inducing localized strain in vertical nanowire transistors
WO2014141485A1 (ja) * 2013-03-15 2014-09-18 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド Sgtを有する半導体装置の製造方法
EP2999026B1 (en) 2013-05-15 2018-01-17 Sanyo Electric Co., Ltd. Battery pack manufacturing method
WO2014184933A1 (ja) * 2013-05-16 2014-11-20 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド Sgtを有する半導体装置の製造方法
WO2014203304A1 (ja) * 2013-06-17 2014-12-24 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置の製造方法、及び、半導体装置
KR20150028419A (ko) * 2013-09-06 2015-03-16 에스케이하이닉스 주식회사 반도체 장치 및 이의 제조 방법
KR20150034980A (ko) * 2013-09-27 2015-04-06 에스케이하이닉스 주식회사 반도체 장치 및 이의 제조 방법
KR20150037168A (ko) * 2013-09-30 2015-04-08 에스케이하이닉스 주식회사 수직 채널 트랜지스터를 갖는 반도체 소자 및 그의 제조방법
WO2015068226A1 (ja) * 2013-11-06 2015-05-14 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド Sgtを有する半導体装置と、その製造方法
WO2015097798A1 (ja) * 2013-12-25 2015-07-02 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 柱状半導体装置の製造方法
US20150318288A1 (en) * 2014-05-01 2015-11-05 Globalfoundries Inc. Vertical transistor static random access memory cell
WO2015193940A1 (ja) * 2014-06-16 2015-12-23 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置の製造方法、及び、半導体装置
JP5692884B1 (ja) * 2014-08-19 2015-04-01 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. Sgtを有する半導体装置の製造方法
WO2016084205A1 (ja) * 2014-11-27 2016-06-02 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 柱状半導体装置と、その製造方法
WO2016110981A1 (ja) * 2015-01-08 2016-07-14 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 柱状半導体装置と、その製造方法
US9385195B1 (en) * 2015-03-31 2016-07-05 Stmicroelectronics, Inc. Vertical gate-all-around TFET
JP6378826B2 (ja) * 2015-04-06 2018-08-22 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. Sgtを有する柱状半導体装置と、その製造方法
US9613955B1 (en) * 2015-12-10 2017-04-04 International Business Machines Corporation Hybrid circuit including a tunnel field-effect transistor
US9711618B1 (en) * 2016-03-31 2017-07-18 International Business Machines Corporation Fabrication of vertical field effect transistor structure with controlled gate length
US9954109B2 (en) * 2016-05-05 2018-04-24 International Business Machines Corporation Vertical transistor including controlled gate length and a self-aligned junction
US9865705B2 (en) * 2016-06-02 2018-01-09 International Business Machines Corporation Vertical field effect transistors with bottom source/drain epitaxy
US10580901B2 (en) * 2016-09-02 2020-03-03 International Business Machines Corporation Stacked series connected VFETs for high voltage applications
US10170616B2 (en) * 2016-09-19 2019-01-01 Globalfoundries Inc. Methods of forming a vertical transistor device
US10134642B2 (en) * 2016-09-28 2018-11-20 International Business Machines Corporation Semiconductor device and method of forming the semiconductor device
US9972494B1 (en) * 2016-11-15 2018-05-15 Globalfoundries Inc. Method and structure to control channel length in vertical FET device
US10164056B2 (en) * 2017-05-17 2018-12-25 International Business Machines Corporation Vertical field effect transistors with uniform threshold voltage

Also Published As

Publication number Publication date
US20170323969A1 (en) 2017-11-09
WO2016163045A1 (ja) 2016-10-13
JP6503470B2 (ja) 2019-04-17
US10217865B2 (en) 2019-02-26
WO2018123823A1 (ja) 2018-07-05
US20190252392A1 (en) 2019-08-15
WO2017208486A1 (ja) 2017-12-07
JPWO2016163045A1 (ja) 2017-09-28
US10651181B2 (en) 2020-05-12
US20190109140A1 (en) 2019-04-11
WO2017061139A1 (ja) 2017-04-13
JP6378826B2 (ja) 2018-08-22
JP6542990B2 (ja) 2019-07-10
JPWO2018123823A1 (ja) 2019-07-18
JPWO2017061139A1 (ja) 2017-11-16
US10651180B2 (en) 2020-05-12
US20190237367A1 (en) 2019-08-01
US10734391B2 (en) 2020-08-04
JPWO2017208486A1 (ja) 2018-08-30

Similar Documents

Publication Publication Date Title
JP6793409B2 (ja) 柱状半導体装置の製造方法
US10658371B2 (en) Method for producing a pillar-shaped semiconductor memory device
WO2020245946A1 (ja) 柱状半導体装置の製造方法
JP6651657B2 (ja) 柱状半導体装置と、その製造方法
JP6442645B1 (ja) 柱状半導体装置と、その製造方法
WO2021005842A1 (ja) 柱状半導体装置と、その製造方法
JPWO2021005842A5 (ja)
JPWO2021005789A5 (ja)
US10410932B2 (en) Method for producing pillar-shaped semiconductor device
CN110366775B (zh) 柱状半导体装置的制造方法
WO2019215808A1 (ja) 柱状半導体装置の製造方法
WO2022113187A1 (ja) 柱状半導体装置の製造方法
WO2021176693A1 (ja) 柱状半導体装置とその製造方法
TWI815229B (zh) 柱狀半導體記憶裝置及其製造方法
JPWO2021176693A5 (ja)
CN112204717A (zh) 柱状半导体装置及其制造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190404

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200406

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200626

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20201001

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20201102

R150 Certificate of patent or registration of utility model

Ref document number: 6793409

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S631 Written request for registration of reclamation of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313631

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250