JP6738742B2 - ホール効果が促進された容量結合プラズマ源、軽減システム、および真空処理システム - Google Patents

ホール効果が促進された容量結合プラズマ源、軽減システム、および真空処理システム Download PDF

Info

Publication number
JP6738742B2
JP6738742B2 JP2016573648A JP2016573648A JP6738742B2 JP 6738742 B2 JP6738742 B2 JP 6738742B2 JP 2016573648 A JP2016573648 A JP 2016573648A JP 2016573648 A JP2016573648 A JP 2016573648A JP 6738742 B2 JP6738742 B2 JP 6738742B2
Authority
JP
Japan
Prior art keywords
plate
plasma source
wall
vacuum processing
magnets
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2016573648A
Other languages
English (en)
Other versions
JP2017515286A (ja
Inventor
マイケル エス コックス
マイケル エス コックス
ロンピン ワン
ロンピン ワン
ブライアン ウェスト
ブライアン ウェスト
ロジャー エム ジョンソン
ロジャー エム ジョンソン
コリン ジョン ディッキンソン
コリン ジョン ディッキンソン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/199,974 external-priority patent/US9230780B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2017515286A publication Critical patent/JP2017515286A/ja
Application granted granted Critical
Publication of JP6738742B2 publication Critical patent/JP6738742B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D53/00Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
    • B01D53/32Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols by electrical effects other than those provided for in group B01D61/00
    • B01D53/323Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols by electrical effects other than those provided for in group B01D61/00 by electrostatic effects or by high-voltage electric fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32596Hollow cathodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32669Particular magnets or magnet arrangements for controlling the discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32825Working under atmospheric pressure or higher
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • H01J37/32844Treating effluent gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2257/00Components to be removed
    • B01D2257/55Compounds of silicon, phosphorus, germanium or arsenic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2257/00Components to be removed
    • B01D2257/55Compounds of silicon, phosphorus, germanium or arsenic
    • B01D2257/553Compounds comprising hydrogen, e.g. silanes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2257/00Components to be removed
    • B01D2257/55Compounds of silicon, phosphorus, germanium or arsenic
    • B01D2257/556Organic compounds
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2258/00Sources of waste gases
    • B01D2258/02Other waste gases
    • B01D2258/0216Other waste gases from CVD treatment or semi-conductor manufacturing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02CCAPTURE, STORAGE, SEQUESTRATION OR DISPOSAL OF GREENHOUSE GASES [GHG]
    • Y02C20/00Capture or disposal of greenhouse gases
    • Y02C20/30Capture or disposal of greenhouse gases of perfluorocarbons [PFC], hydrofluorocarbons [HFC] or sulfur hexafluoride [SF6]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Chemical Vapour Deposition (AREA)
  • Treating Waste Gases (AREA)
  • Drying Of Semiconductors (AREA)
  • Combustion & Propulsion (AREA)

Description

本開示の実施形態は、一般に、半導体処理機器に関する。より詳細には、本開示の実施形態は、半導体プロセス内で生じる化合物を軽減するプラズマ源、軽減システム、および真空処理システムに関する。
半導体処理設備によって使用されるプロセスガスは、規制要件ならびに環境および安全上の問題のため、処分前に軽減または処置されなければならない多くの化合物を含む。典型的には、処理チャンバからくる化合物を軽減するために、処理チャンバに遠隔プラズマ源を結合することができる。エッチングまたは洗浄プロセスでは、ハロゲン含有プラズマおよびガスが使用されることが多く、処理チャンバおよび遠隔プラズマ源の構成要素は、ハロゲン含有プラズマおよびガスからの浸食の影響を受けやすい。浸食は、処理チャンバ構成要素および遠隔プラズマ源の耐用寿命を低減させ、それに加えて、望ましくない欠陥および汚染を処理環境内に持ち込む。
したがって、当技術分野で必要とされているのは、半導体プロセスで生じる化合物を軽減する改善されたプラズマ源および軽減システムである。
本明細書に開示する実施形態は、半導体プロセスで生じる化合物を軽減するプラズマ源、軽減システム、および真空処理システムを含む。一実施形態では、プラズマ源が開示される。プラズマ源は、外側エッジおよび内側エッジを有する第1の板と、第1の板に平行であり、外側エッジおよび内側エッジを有する第2の板と、第1および第2の板の外側エッジ間に配置された外壁と、第1および第2の板の内側エッジ間に配置された電極と、第1の板上に配置された第1の複数の磁石と、第2の板上に配置された第2の複数の磁石とを含む。
別の実施形態では、軽減システムが開示される。軽減システムは、第1の端部および第2の端部を有する本体を含むプラズマ源を含み、第1の端部は、フォアラインに結合するように構成され、第2の端部は、導管に結合するように構成される。プラズマ源は、本体内に配置された電極と、本体の第1の板上に配置された第1の複数の磁石と、本体の第2の板上に配置された第2の複数の磁石とをさらに含む。
別の実施形態では、真空処理システムが開示される。真空処理システムは、真空処理チャンバと、プラズマ源であって、外側エッジおよび内側エッジを有する第1の板、第1の板に平行であり、外側エッジおよび内側エッジを有する第2の板、第1および第2の板の外側エッジ間に配置された外壁、第1および第2の板の内側エッジ間に配置された電極、第1の板上に配置された第1の複数の磁石、ならびに第2の板上に配置された第2の複数の磁石を含むプラズマ源とを含む。
本開示の上記の特徴を詳細に理解することができるように、いくつかを添付の図面に示す実施形態を参照することによって、上記で簡単に要約した本開示のより具体的な説明を得ることができる。しかし、本開示は他の等しく有効な実施形態も許容することができるため、添付の図面は、本開示の典型的な実施形態のみを示し、したがって本開示の範囲を限定すると見なされるべきではないことに留意されたい。
プラズマ源を有する真空処理システムの概略側面図である。 図1Aのプラズマ源の断面図である。 プラズマ源の横断面斜視図である。 プラズマ源の横断面底面図である。 金属シールドの拡大図である。 プラズマ源の斜視図である。 プラズマ源に付随する構成要素を示す概略図である。 排気冷却装置の斜視図である。
理解を容易にするために、可能な場合、同一の参照番号を使用して図に共通の同一の要素を指す。一実施形態の要素および特徴は、さらに記述がなくても、他の実施形態に有益に組み込むことができることが企図される。
図1Aは、軽減システム193内で利用されるプラズマ源100を有する真空処理システム170の概略側面図である。真空処理システム170は、少なくとも、真空処理チャンバ190およびプラズマ源100を含む。軽減システム193は、少なくとも、プラズマ源100を含む。真空処理チャンバ190は概して、堆積プロセス、エッチングプロセス、プラズマ処置プロセス、事前洗浄プロセス、イオン注入プロセス、または他の集積回路製造プロセスなど、少なくとも1つの集積回路製造プロセスを実行するように構成される。真空処理チャンバ190内で実行されるプロセスは、プラズマ支援型とすることができる。たとえば、真空処理チャンバ190内で実行されるプロセスは、シリコンベースの材料を堆積させるプラズマ堆積プロセスとすることができる。
真空処理チャンバ190は、フォアライン192を介して軽減システム193のプラズマ源100に結合されたチャンバ排気口191を有する。プラズマ源100の排気は、排気導管194によって、図1Aに単一の参照番号196で概略的に示すポンプおよび排気設備に結合される。ポンプは、概して、真空処理チャンバ190を排気するために利用され、排気設備は、概して、真空処理チャンバ190の排出物を大気中に送り出す準備をするスクラバまたは他の排気洗浄装置を含む。
プラズマ源100は、真空処理チャンバ190から出るガスおよび/または他の材料上で軽減プロセスを実行するために利用され、その結果、そのようなガスおよび/または他の材料を、より環境および/またはプロセス機器に優しい組成物に変換することができる。プラズマ源100の詳細については、以下でさらに説明する。
いくつかの実施形態では、フォアライン192および/またはプラズマ源100に軽減試薬源114が結合される。軽減試薬源114は、プラズマ源100内へ軽減試薬を提供し、真空処理チャンバ190から出る材料と反応するように、または他の方法でそれらの材料をより環境および/もしくはプロセス機器に優しい組成物に変換するのを助けるように、軽減試薬にエネルギーを与えることができる。任意選択で、プラズマ源100内の構成要素上の堆積を低減させるために、プラズマ源100にパージガス源115を結合することができる。
プラズマ源100と排気導管194との間には、プラズマ源100からくる排気の温度を低減させるために、排気冷却装置117を結合することができる。一例では、排気冷却装置117は、軽減システム193の一部である。
任意選択で、プラズマ源100または排気導管194の少なくとも1つに圧力調整モジュール182を結合することができる。圧力調整モジュール182は、プラズマ源100内の圧力をより良好に制御することを可能にするAr、N、または他の適したガスなどの圧力調整ガスを注入し、それによってより効率的な軽減性能を提供する。一例では、圧力調整モジュール182は、軽減システム193の一部である。
図1Bは、一実施形態によるプラズマ源100の側面図である。プラズマ源100は、真空処理チャンバ190の下流に配置することができる。プラズマ源100内で生成されるプラズマは、真空処理チャンバ190からくる排出物中の化合物にエネルギーを与え、かつ/または排出物中の化合物を部分的もしくは完全に解離し、排出物中の化合物をより環境に優しい形態へ変換する。一実施形態では、高密度のプラズマを生じさせる能力のため、プラズマ源100は、処理チャンバの上流に配置された遠隔プラズマ源として、分子種または原子種、高密度のプラズマなどのプラズマの生成物を処理チャンバ内へ送出するように作用することができる。
プラズマ源100は、第1の端部104および第2の端部106を有する本体102を含むことができる。第1の端部104は、第2の端部106に平行とすることができる。第1の端部104は、フランジの有無にかかわらずフォアライン192に結合するように構成された開口120を有することができ、第2の端部106は、フランジに有無にかかわらず排気冷却装置117に結合するように構成された開口122を有することができる。フランジについては、図3を参照して以下でさらに図示および説明する。本体102は、円形、正方形、方形、または他の適した形状とすることができる。一実施形態では、本体102は、環状面の形状を有する。本体102を通って、開口108を形成することができる。開口108は、円形、正方形、方形、または他の適した形状とすることができる。一実施形態では、本体102は環状である。他の実施形態では、本体102は、開口108を含まない。
真空処理チャンバ190から出る排出物中の副生成物、またはプラズマ源100が遠隔プラズマ源である例では、遠隔プラズマを生成する前駆体および/もしくはキャリアガスなどの混合ガス110は、第1の端部104に位置する開口120を通ってプラズマ源100に入ることができる。混合ガス110は、プラズマ領域124内に形成されるプラズマによって解離し、軽減剤によって処置することができ、有害性の低い材料として、第2の端部106に位置する開口122を通って出ることができる。混合ガス110は、図1Bに経路「A」によって示すように、開口108によって2つの流れ110Aおよび110Bに分割することができ、次いで本体102から出るときに合流して流れ110Cになることができる。混合ガス110が真空処理チャンバから出る排出物中の副生成物である場合、図1Aに示す軽減試薬源114からプラズマ源100内へ、1つまたは複数の軽減剤を導入することができる。排出物中の副生成物は、シリコン、タングステン、チタン、またはアルミニウムを含有する材料を含むことがある。本明細書に開示するプラズマ源100を使用して軽減することができる排出物中に存在するシリコン含有物質例には、たとえば、酸化ケイ素(SiO)、二酸化ケイ素(SiO2)、シラン(SiH4)、ジシラン、四塩化ケイ素(SiCl4)、窒化ケイ素(SiNx)、ジクロロシラン(SiH2Cl2)、ヘキサクロロジシラン(Si2Cl6)、ビス(t−ブチルアミノ)シラン、トリシリルアミン、ジシリルメタン、トリシリルメタン、テトラシリルメタン、オルトケイ酸テトラエチル(TEOS)(Si(OEt)4)、ジシロキサン(SiH3OSiH3)、トリシロキサン(SiH3OSiH2OSiH3)、テトラシロキサン(SiH3OSiH2OSiH2OSiH3)、およびシクロトリシロキサン(−SiH2OSiH2OSiH2O−)などのジシロキサンが含まれる。本明細書に開示する方法を使用して軽減することができる排出物中に存在するタングステン含有物質の例には、たとえば、W(CO)6、WF6、WCl6、またはWBr6が含まれる。本明細書に開示する方法を使用して軽減することができる排出物中に存在するチタン含有物質の例には、たとえば、TiCl4およびTiBr4が含まれる。本明細書に開示する方法を使用して軽減することができる排出物中に存在するアルミニウム含有物質の例には、たとえば、トリメチルアルミニウムが含まれる。
軽減剤は、たとえば、CH4、H2O、H2、NF3、SF6、F2、HCl、HF、Cl2、HBr、H2、H2O、O2、N2、O3、CO、CO2、NH3、N2O、CH4、およびこれらの組合せを含むことができる。軽減剤はまた、CHxyとO2および/またはH2Oとの組合せならびにCFxとO2および/またはH2Oとの組合せを含むことができる。異なる組成物を有する排出物のために異なる軽減剤を使用することもできる。
図2Aは、一実施形態によるプラズマ源100の横断面斜視図である。図2Aに示すように、本体102は、外壁204、内壁206、第1の板203、および第2の板205を含むことができる。第1の板203および第2の板205は、リング形状を有することができ、外壁204および内壁206は、円筒形とすることができる。内壁206は、RF源(図示せず)に結合することができる中空の電極とすることができる。外壁204は、接地させることができる。第1の板203および第2の板205は、内壁206と同心円状とすることができる。第1の板203は、外側エッジ207および内側エッジ209を有することができ、第2の板205は、外側エッジ211および内側エッジ213を有することができる。外壁204は、第1の端部212および第2の端部214を有することができ、内壁206は、第1の端部216および第2の端部218を有することができる。内壁206の第1の端部216に隣接して、第1の絶縁リング230を配置することができ、内壁206の第2の端部218に隣接して、第2の絶縁リング232を配置することができる。絶縁リング230、232は、絶縁セラミック材料から作ることができる。第1の板203の外側エッジ207は、外壁204の第1の端部212に隣接することができ、第2の板205の外側エッジ211は、外壁204の第2の端部214に隣接することができる。一実施形態では、外壁204の端部212、214は、それぞれ外側エッジ207、211に接触する。第1の板203の内側エッジ209は、第1の絶縁リング230に隣接することができ、第2の板205の内側エッジ213は、第2の絶縁リング232に隣接することができる。外壁204と内壁206との間で第1の板203と第2の板205との間にプラズマ領域124が画定され、プラズマ領域124内に容量結合プラズマを形成することができる。
動作中に内壁206を低温で保つために、冷却ジャケット220を内壁206に結合することができる。内壁206は、外壁204に面する第1の表面242と、第1の表面とは反対の第2の表面244とを有することができる。一実施形態では、表面242、244はどちらも直線であり、冷却ジャケット220は、第2の表面244に結合される。一実施形態では、図2Bに示すように、第1の表面242は湾曲し、第2の表面244は直線である。冷却ジャケット220内には冷却チャネル208を形成することができ、冷却チャネル208は、冷却ジャケット220内との間で水などの冷却剤を流入および流出させるために、冷却剤入口217および冷却剤出口219に結合される。第1の板203上には、第1の複数の磁石210を配置することができる。一実施形態では、第1の複数の磁石210は、磁石のアレイを有するマグネトロンとすることができ、環状の形状を有することができる。第2の板205上には、第2の複数の磁石240を配置することができ、第2の複数の磁石240は、磁石のアレイを有するマグネトロンとすることができ、第1の複数の磁石210と同じ形状を有することができる。一実施形態では、第2の複数の磁石240はマグネトロンであり、環状の形状を有する。一実施形態では、磁石210、240は、端部104、106付近に形成された直線のアレイである。磁石210、240は、プラズマ領域124に面して反対の極性を有することができる。磁石210、240は、ネオジムセラミック磁石などの希土類磁石とすることができる。第1の板203または第1の板203および第2の板205上には、軽減剤および/またはパージングガスを注入するために、1つまたは複数のガス注入ポート270を形成することができる。パージガスは、シールド250、252(図2Bに示す)上の堆積を低減させることができる。ガス注入ポート270は、別法として、フォアライン192内に形成することができる。
図2Bは、一実施形態によるプラズマ源100の横断面底面図である。図2Bに示すように、内壁206の第1の表面242上には、複数の溝246が配置される。溝246は、連続するトレンチとすることができる。図2Bに示す第1の表面242は湾曲しているが、溝246は、図2Aに示すように、直線の第1の表面242上に形成することができる。動作中、内壁206は無線周波(RF)電源によって給電され、外壁204は接地され、印加される電力のタイプ、RFもしくは直流(DC)またはその間の何らかの周波数に応じて、発振しまたは一定である電界「E」を、プラズマ領域124内に形成する。また、両極性DCおよび両極性パルスDC電力を使用することができ、内壁および外壁は、2つの反対の電極を形成する。磁石210、240は、電界「E」に実質上直交するほぼ均一の磁界「B」をもたらす。この構成では、その結果得られる力により、普通なら電界「E」をたどるはずの電流が、端部106の方へ(紙面から出る方向に)湾曲し、この力は、プラズマ電子損失を接地壁に制限することによって、プラズマ密度を著しく上昇させる。RF電力が印加される場合、発振する環状の電流が、主として接地壁から離れる方へ誘導されるはずである。DC電力が印加される場合、一定の環状の電流が、主として接地壁から離れる方へ誘導されるはずである。こうして印加電界から電流が発散する効果は、「ホール効果」として知られている。プラズマ領域124内に形成されるプラズマは、第1の端部104の開口120から流れる排出物中の副生成物の少なくとも一部分を解離する。また、軽減剤を注入して、解離されたものと反応させ、有害性の低い化合物を形成することができる。一実施形態では、排出物はシランを含有し、軽減剤は、排出物中のシランをガラスに変える水または酸素とすることができる。
プラズマ領域124内で第1の板203に隣接して、第1の金属シールド250を配置することができ、プラズマ領域124内で第2の板205に隣接して、第2の金属シールド252を配置することができ、プラズマ領域内で外壁204に隣接して、第3の金属シールド259を配置することができる。シールド250、252、259は、その上に物質を堆積させることができるため、取り外し可能、交換可能、および/または再利用可能とすることができる。第1の金属シールド250および第2の金属シールド252は、類似の構成を有することができる。一実施形態では、第1の金属シールド250および第2の金属シールド252はどちらも、環状の形状を有する。第1の金属シールド250および第2の金属シールド252はそれぞれ、互いから相互に分離された金属板254a〜254eのスタックを含む。各金属板254a〜254e内には、金属板254a〜254eを変形させることなく膨張を可能にするために、1つまたは複数の間隙272(図2Aに示す)を形成することができる。図2Cは、一実施形態による金属シールド250の拡大図である。分かりやすくする目的で、1つまたは複数のガス注入ポート270など、プラズマ源100のいくつかの構成要素が省略されている。各板254a〜254eは、環状とすることができ、内側エッジ256および外側エッジ258を有することができる。金属板254a〜254eは、シールド表面の放射率を変化させるように被覆することができる。被覆は、耐薬品性、放射伝熱、および応力低減を改善するために、陽極酸化材料とすることができる。一実施形態では、金属板254a〜254eは、黒色の酸化アルミニウムで被覆される。金属板254aの内側部分274は、アーク放電の防止および寸法の安定性のために、セラミック材料から作ることができる。板254a〜254eの内側エッジ256は、絶縁ワッシャ260によって互いから分離され、したがって板254a〜254eは互いから相互に分離される。ワッシャ260はまた、板254eを第1の板203から分離する。金属板254a〜254eのスタックは、1つまたは複数のセラミックロッドまたはスペーサ(図示せず)によって固定することができる。1つまたは複数のセラミックロッドは、金属板254a〜254eのスタックおよびワッシャを貫通することができ、各ロッドの一方の端部は、内壁206に結合され、各ロッドの他方の端部は、第1の板203/第2の板205に結合される。
一実施形態では、板254aの内側エッジ256と外側エッジ258との間の距離「D1」は、板254bの内側エッジ256と外側エッジ258との間の距離「D2」より小さく、距離「D2」は、板254cの内側エッジ256と外側エッジ258との間の距離「D3」より小さく、距離「D3」は、板254dの内側エッジ256と外側エッジ258との間の距離「D4」より小さく、距離「D4」は、板254eの内側エッジ256と外側エッジ258との間の距離「D5」より小さい。言い換えれば、内側エッジ256と外側エッジ258との間の距離は、板の位置に関係し、すなわち、板がプラズマ領域284から遠くに配置されるほど、内側エッジ256と外側エッジ258との間の距離がより大きくなる。この構成では、内壁206と板254aの外側エッジ258との間、板254aの外側エッジ258と板254bの外側エッジ258との間、板254bの外側エッジ258と板254cの外側エッジ258との間、板254cの外側エッジ258と板254dの外側エッジ258との間、板254dの外側エッジ258と板254eの外側エッジ258との間、および板254eの外側エッジ258と外壁204との間に、6つの間隙があるため、内壁206と外壁204との間の電圧は6つに分割される。各間隙は小さい電位を有し、したがって間隙内の電界は小さく、それによりその領域が着火して印加電力を得る可能性はなく、したがって電力はプラズマ領域124に入り、プラズマ領域124内にプラズマをもたらす。上記のシールド250、252がなければ、内壁206の第1の端部216と外壁204の第1の端部212との間および内壁206の第2の端部218と外壁204の第2の端部214との間に局部的なプラズマ放電が生じる可能性があり、プラズマ領域124をプラズマで充填することができなくなる。
金属板254a〜254e間の空間は暗黒部となることがあり、この暗黒部は、板上に堆積した物質で埋められて、板を互いに短絡させることがある。これが生じるのを防止するために、一実施形態では、各金属板254a〜254eは、段262を含み、したがって各金属板254a〜254eの外側エッジ258は、隣接する板からさらに離れる。段262により、外側エッジ258は、内側エッジ256に対して直線ではなくなる。各段262は、隣接する金属板間に形成される暗黒部264を遮蔽し、したがって暗黒部264内に物質が堆積しないようにすることができる。
金属は半導体プロセスで使用されるほとんどの化学物質に耐性を有するため、外壁204、内壁206、およびシールド250、252、259はすべて、金属から作ることができる。使用される金属のタイプは、真空処理チャンバ内でプラズマ源100の上流で使用される化学物質に依存することができる。一実施形態では、塩素ベースの化学物質が使用され、金属は、316ステンレス鋼などのステンレス鋼とすることができる。塩素ベースの化学物質内の絶縁リング230、232は、石英から作ることができる。別の実施形態では、フッ素ベースの化学物質が使用され、金属は、アルミニウムとすることができ、絶縁リング230、232は、アルミナから作ることができる。内壁206は、陽極酸化させたアルミニウムまたは溶射被覆したアルミニウムから作ることができる。
一例では、プラズマ源は、第1の端部および第2の端部を有する円筒形の電極と、円筒形の電極を取り囲む円筒形の外壁とを含み、円筒形の外壁は、第1の端部および第2の端部を有する。プラズマ源は、内側エッジおよび外側エッジを有する第1の環状の板をさらに含み、内側エッジは、円筒形の電極の第1の端部に近接しており、外側エッジは、円筒形の外壁の第1の端部に隣接している。プラズマ源は、内側エッジおよび外側エッジを有する第2の環状の板をさらに含み、内側エッジは、円筒形の電極の第2の端部に近接しており、外側エッジは、円筒形の外壁の第2の端部に隣接している。円筒形の電極、円筒形の外壁、第1の環状の板、および第2の環状の板によって、プラズマ領域が画定される。プラズマ源は、第1の環状の板上に配置された第1の複数の磁石と、第2の環状の板上に配置された第2の複数の磁石とをさらに含む。
別の例では、プラズマ源は、外側エッジおよび内側エッジを有する第1の環状の板と、第1の環状の板に平行な第2の環状の板とを含み、第2の環状の板は、外側エッジおよび内側エッジを有し、第1の環状の板は、第2の環状の板に面する表面を有し、第2の環状の板は、第1の環状の板に面する表面を有する。プラズマ源は、第1および第2の環状の板の外側エッジ間に配置された円筒形の外壁と、第1および第2の環状の板の内側エッジ間に配置された円筒形の電極と、第1の環状の板の表面に隣接して配置された第1のシールドと、第2の環状の板の表面に隣接して配置された第2のシールドとをさらに含む。
図3は、プラズマ源100の斜視図である。プラズマ源100の第1の端部104および第2の端部106には、それぞれ入口フランジ302および出口フランジ304を結合することができる。図1Aに示すように、入口フランジ302は、フォアライン192に結合することができ、第2のフランジ304は、排気冷却装置117に結合することができる。フランジ302、304は、任意の適した方法によって、それぞれプラズマ源100の第1の端部104および第2の端部106に結合することができる。プラズマ源100上には、RF整合(図示せず)を密閉するためのボックス306を配置することができる。
図4は、プラズマ源100に付随する構成要素を概略的に示す。ラック400または他のコンテナ/支持構造が、交流配電ボックス402、RFジェネレータ404、およびコントローラ406を含むことができる。交流配電ボックス402は、RFジェネレータ404およびコントローラ406に給電する。RFジェネレータ404は、RF電力を生成し、このRF電力は、RF整合を介してプラズマ源100に供給することができる。コントローラ406は、半導体製造工具または半導体製造工場と通信し、RFジェネレータ404およびプロセスガスを制御する。
図5は、排気冷却装置117の斜視図である。プラズマ源100内のエネルギーを与えられた排出物は、第2の端部106を介してプラズマ源100から出るときに再結合することができ、この再結合反応は、エネルギーを解放し、プラズマ源100から出る排出物の温度を増大させる。摂氏150度を超えるような高い温度を有する排出物は、ポンプ196を損傷することがある。高い温度を有する排出物を冷却するために、プラズマ源100の第2の端部106に排気冷却装置117を結合することができる。別法として、排気冷却装置117は、排気導管194内で、プラズマ源100の下流で圧力調整モジュール182の上流に結合することができる。排気冷却装置117は、フランジ304に結合するための第1の端部502と、排気導管194に結合するための第2の端部504とを含むことができる。第1の端部502と第2の端部504との間には空胴505を形成することができ、空胴505内に冷却板506を配置することができる。冷却板506内には冷却チャネル(図示せず)を形成することができ、冷却板506上に冷却剤入口508および冷却剤出口510を配置することができる。水などの冷却剤を冷却剤入口508から冷却チャネルへ流し、冷却剤出口510から流出させることができる。冷却板内には、高温の排出物が通過するための複数の孔512を形成することができる。孔512の直径は、圧力の増大が最小からゼロになるのに十分なほど大きくすることができる。一実施形態では、孔512はそれぞれ、約0.5インチの直径を有し、圧力の制限は、約100ミリトル未満である。
上記は、本開示の実施形態を対象とするが、本開示の基本的な範囲から逸脱することなく、他のさらなる実施形態を考案することができ、本開示の範囲は、以下の特許請求の範囲によって決定される。

Claims (15)

  1. 上面、下面、外側エッジおよび内側エッジを有する第1の板、
    前記第1の板に平行であり、第2の上面、第2の下面、外側エッジおよび内側エッジを有する第2の板、
    第1の金属材料で形成され、前記第1および第2の板の前記外側エッジ間に配置された外壁であって、第1の開口と第2の開口が前記外壁に形成され、前記第1の開口と前記第2の開口は、前記第1の開口からプラズマ源の中にガスが流れ得るように構成されると共に前記第2の開口から前記プラズマ源の外にガスが流れ得るように構成されている、前記外壁、
    電極として構成される第2の金属材料から形成される内壁であって、前記第1および第2の板の前記内側エッジ間に配置された内壁
    前記第1の板の前記上面上に配置された第1の複数の磁石、ならびに
    前記第2の板の前記下面上に配置された第2の複数の磁石
    を含む、プラズマ源。
  2. 前記第1の板および前記第2の板が環状であり、第1の絶縁リングが、前記電極を前記第1の板から分離し、第2の絶縁リングが、前記電極を前記第2の板から分離する、請求項1に記載のプラズマ源。
  3. 前記外壁が、第1の端部および第2の端部を有し、前記第1の端部が、前記第1の板の前記外側エッジと接触しており、前記第2の端部が、前記第2の板の前記外側エッジと接触している、請求項1に記載のプラズマ源。
  4. 前記電極が、第1の端部および第2の端部を有し、前記第1の端部が、前記第1の板の前記内側エッジに近接しており、前記第2の端部が、前記第2の板の前記内側エッジに近接している、請求項3に記載のプラズマ源。
  5. 前記第1の複数の磁石が、環状の形状を有し、前記第2の複数の磁石が、環状の形状を有する、請求項1に記載のプラズマ源。
  6. 第1の金属材料から形成され、第1の端部および第2の端部を有する本体であって、前記第1の端部が真空処理チャンバを出る排出物を受け付けるためにフォアラインに結合するように構成され、前記第2の端部が導管に結合するように構成される、本体と、
    前記本体内に配置された電極として構成される第2の金属材料で形成される内壁と、
    前記本体の第1の板の上面上に配置された第1の複数の磁石と、
    前記本体の第2の板の下面上に配置された第2の複数の磁石と
    を備えるプラズマ源
    を備える軽減システム。
  7. 前記第1の複数の磁石が、環状の形状を有し、前記第2の複数の磁石が、環状の形状を有する、請求項6に記載の軽減システム。
  8. 前記電極が、円筒形で中空であり、内壁を有する、請求項6に記載の軽減システム。
  9. 前記プラズマ源に結合された排気冷却装置をさらに備える、請求項6に記載の軽減システム。
  10. 真空処理チャンバと、
    フォアラインを介して真空処理ポートの排気口に結合されたプラズマ源であって、
    上面、下面、外側エッジおよび内側エッジを有する第1の板、
    前記第1の板に平行であり、第2の上面、第2の下面、外側エッジおよび内側エッジを有する第2の板、
    前記第1および第2の板の前記外側エッジ間に配置された外壁あって、第1の開口と第2の開口が前記外壁に形成され、前記第1の開口と前記第2の開口は、前記第1の開口からプラズマ源の中にガスが流れ得るように構成されると共に前記第2の開口から前記プラズマ源の外にガスが流れ得るように構成されている、前記外壁、
    前記第1および第2の板の前記内側エッジ間に配置された電極として構成される第2の金属材料で形成される内壁
    前記第1の板の前記上面上に配置された第1の複数の磁石、ならびに
    前記第2の板の前記下面上に配置された第2の複数の磁石を備えるプラズマ源と
    を備える真空処理システム。
  11. 前記フォアラインおよび/または前記プラズマ源に結合された軽減試薬源をさらに備える、請求項10に記載の真空処理システム。
  12. 前記プラズマ源に結合された排気導管に結合された圧力調整モジュールをさらに備える、請求項10に記載の真空処理システム。
  13. 前記プラズマ源に結合された排気冷却装置をさらに備える、請求項10に記載の真空処理システム。
  14. 前記プラズマ源が、前記第1の板の前記下面に隣接して配置された第1のシールドと、前記第2の板の前記上面に隣接して配置された第2のシールドとをさらに備える、請求項13に記載の真空処理システム。
  15. 前記第1のシールド及び前記第2のシールドの各々は、絶縁体によって分離される板のスタックを備え、各板が、段、内側エッジ及び外側エッジを有し、前記外側エッジが、前記内側エッジに対して直線ではない、請求項14に記載の真空処理システム。
JP2016573648A 2014-03-06 2015-02-09 ホール効果が促進された容量結合プラズマ源、軽減システム、および真空処理システム Active JP6738742B2 (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US14/199,974 2014-03-06
US14/199,974 US9230780B2 (en) 2014-03-06 2014-03-06 Hall effect enhanced capacitively coupled plasma source
US201462050555P 2014-09-15 2014-09-15
US62/050,555 2014-09-15
US14/498,920 2014-09-26
US14/498,920 US9240308B2 (en) 2014-03-06 2014-09-26 Hall effect enhanced capacitively coupled plasma source, an abatement system, and vacuum processing system
PCT/US2015/015054 WO2015134157A1 (en) 2014-03-06 2015-02-09 Hall effect enhanced capacitively coupled plasma source, an abatement system, and vacuum processing system

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2020123507A Division JP7091394B2 (ja) 2014-03-06 2020-07-20 ホール効果が促進された容量結合プラズマ源、軽減システム、および真空処理システム

Publications (2)

Publication Number Publication Date
JP2017515286A JP2017515286A (ja) 2017-06-08
JP6738742B2 true JP6738742B2 (ja) 2020-08-12

Family

ID=54018050

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2016573648A Active JP6738742B2 (ja) 2014-03-06 2015-02-09 ホール効果が促進された容量結合プラズマ源、軽減システム、および真空処理システム
JP2020123507A Active JP7091394B2 (ja) 2014-03-06 2020-07-20 ホール効果が促進された容量結合プラズマ源、軽減システム、および真空処理システム
JP2022096473A Active JP7404445B2 (ja) 2014-03-06 2022-06-15 ホール効果が促進された容量結合プラズマ源、軽減システム、および真空処理システム

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2020123507A Active JP7091394B2 (ja) 2014-03-06 2020-07-20 ホール効果が促進された容量結合プラズマ源、軽減システム、および真空処理システム
JP2022096473A Active JP7404445B2 (ja) 2014-03-06 2022-06-15 ホール効果が促進された容量結合プラズマ源、軽減システム、および真空処理システム

Country Status (6)

Country Link
US (3) US9240308B2 (ja)
JP (3) JP6738742B2 (ja)
KR (2) KR102352727B1 (ja)
CN (2) CN106062925B (ja)
TW (3) TWI679922B (ja)
WO (1) WO2015134157A1 (ja)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9240308B2 (en) 2014-03-06 2016-01-19 Applied Materials, Inc. Hall effect enhanced capacitively coupled plasma source, an abatement system, and vacuum processing system
US9230780B2 (en) * 2014-03-06 2016-01-05 Applied Materials, Inc. Hall effect enhanced capacitively coupled plasma source
US10187966B2 (en) * 2015-07-24 2019-01-22 Applied Materials, Inc. Method and apparatus for gas abatement
CN108780733A (zh) * 2016-02-01 2018-11-09 雷特罗萨米科技有限责任公司 用于过程反应室的排气通道的清洁装置
CN108701583B (zh) * 2016-04-13 2023-12-01 应用材料公司 用于排气冷却的设备
US11332824B2 (en) * 2016-09-13 2022-05-17 Lam Research Corporation Systems and methods for reducing effluent build-up in a pumping exhaust system
US10435787B2 (en) 2016-11-14 2019-10-08 Applied Materials, Inc. Hydrogen partial pressure control in a vacuum process chamber
KR102185315B1 (ko) * 2016-12-09 2020-12-01 어플라이드 머티어리얼스, 인코포레이티드 포어라인 고체 형성 정량화를 위한 수정 진동자 마이크로밸런스 활용
US10777394B2 (en) 2016-12-09 2020-09-15 Applied Materials, Inc. Virtual sensor for chamber cleaning endpoint
JP6918146B2 (ja) * 2017-05-19 2021-08-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 液体および固体の排出物を収集して後に反応させて気体の排出物にする装置
KR102017811B1 (ko) * 2017-08-18 2019-09-03 주식회사 뉴파워 프라즈마 배기가스 처리를 위한 플라즈마 챔버
CN107578977A (zh) * 2017-09-27 2018-01-12 北京北方华创微电子装备有限公司 反应腔室以及电容耦合等离子体设备
US10889891B2 (en) * 2018-05-04 2021-01-12 Applied Materials, Inc. Apparatus for gaseous byproduct abatement and foreline cleaning
US11221182B2 (en) 2018-07-31 2022-01-11 Applied Materials, Inc. Apparatus with multistaged cooling
WO2020123050A1 (en) 2018-12-13 2020-06-18 Applied Materials, Inc. Heat exchanger with multi stag ed cooling
WO2020172179A1 (en) 2019-02-22 2020-08-27 Applied Materials, Inc. Reduction of br2 and cl2 in semiconductor processes
WO2021149212A1 (ja) * 2020-01-23 2021-07-29 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理装置の運転方法
US11875974B2 (en) * 2020-05-30 2024-01-16 Preservation Tech, LLC Multi-channel plasma reaction cell
TWI801058B (zh) * 2021-12-23 2023-05-01 明遠精密科技股份有限公司 一種複合式電漿源及其運作方法

Family Cites Families (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5575905A (en) * 1978-11-30 1980-06-07 Takaoka Ind Ltd Ozone generating tube
US4572759A (en) * 1984-12-26 1986-02-25 Benzing Technology, Inc. Troide plasma reactor with magnetic enhancement
JPH04251922A (ja) * 1991-01-09 1992-09-08 Fujitsu Ltd マグネトロン反応性イオンエッチング装置
JPH05196300A (ja) * 1992-01-21 1993-08-06 Sekisui Chem Co Ltd 電熱変換器
JP2524461B2 (ja) * 1992-03-18 1996-08-14 インターナショナル・ビジネス・マシーンズ・コーポレイション 高密度プラズマ処理装置
JP2900713B2 (ja) * 1992-07-23 1999-06-02 日新電機株式会社 プラズマcvd装置
JPH0737537A (ja) * 1993-07-27 1995-02-07 Tatsuo Shiyouji 線状荷電粒子ビーム発生装置
US5453125A (en) 1994-02-17 1995-09-26 Krogh; Ole D. ECR plasma source for gas abatement
JP2604684B2 (ja) * 1994-02-22 1997-04-30 木下 治久 プラズマプロセス装置
US5427747A (en) * 1994-06-08 1995-06-27 Lockheed Idaho Technologies Company Method and apparatus for producing oxygenates from hydrocarbons
US6888040B1 (en) 1996-06-28 2005-05-03 Lam Research Corporation Method and apparatus for abatement of reaction products from a vacuum processing chamber
US6150628A (en) * 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
JP2000026971A (ja) * 1998-07-10 2000-01-25 Kokusai Electric Co Ltd Cvd装置の排ガス処理装置
WO2000007215A2 (en) * 1998-07-29 2000-02-10 Applied Materials, Inc. A method of allowing a stable power transmission into a plasma processing chamber
JP3513463B2 (ja) * 1999-04-16 2004-03-31 三菱重工業株式会社 有害成分分解装置及びそれを用いた排ガス浄化装置
SE516336C2 (sv) * 1999-04-28 2001-12-17 Hana Barankova Apparat för plasmabehandling av ytor
US6740853B1 (en) * 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
EP1252358A4 (en) * 1999-11-19 2008-02-13 Nano Scale Surface Systems Inc SYSTEM AND METHOD FOR SEPARATING INORGANIC / ORGANIC DIELECTRIC FILMS
US6291938B1 (en) 1999-12-31 2001-09-18 Litmas, Inc. Methods and apparatus for igniting and sustaining inductively coupled plasma
US6156667A (en) 1999-12-31 2000-12-05 Litmas, Inc. Methods and apparatus for plasma processing
JP2002064064A (ja) * 2000-08-21 2002-02-28 Hitachi Kokusai Electric Inc プラズマ処理装置
US6514870B2 (en) * 2001-01-26 2003-02-04 Applied Materials, Inc. In situ wafer heat for reduced backside contamination
JP2002273168A (ja) * 2001-03-15 2002-09-24 Alpha Tekku:Kk 除害装置及び除害方法
JP2003086575A (ja) * 2001-09-10 2003-03-20 Hitachi Ltd プラズマ処理装置と金属面シールド方法
US6855906B2 (en) * 2001-10-16 2005-02-15 Adam Alexander Brailove Induction plasma reactor
JP2003197616A (ja) * 2001-12-27 2003-07-11 Hitachi Ltd 半導体装置の製造装置および半導体装置の製造方法
JP2003245520A (ja) * 2002-02-26 2003-09-02 Seiko Epson Corp Pfc分解方法、pfc分解装置及び半導体装置の製造方法
JP2003282465A (ja) * 2002-03-26 2003-10-03 Hitachi Ltd 半導体装置の製造方法
US6707051B2 (en) * 2002-07-10 2004-03-16 Wintek Corporation RF loaded line type capacitive plasma source for broad range of operating gas pressure
JP2004063866A (ja) * 2002-07-30 2004-02-26 Nec Kansai Ltd 排気ガス処理装置
JP4180896B2 (ja) * 2002-12-03 2008-11-12 キヤノンアネルバ株式会社 プラズマ処理装置
JP2004241472A (ja) * 2003-02-04 2004-08-26 Seiko Epson Corp ガス処理装置、ガス処理方法および半導体装置の製造方法
US6872909B2 (en) * 2003-04-16 2005-03-29 Applied Science And Technology, Inc. Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel
US6815674B1 (en) 2003-06-03 2004-11-09 Monitor Instruments Company, Llc Mass spectrometer and related ionizer and methods
JP2005000193A (ja) * 2003-06-09 2005-01-06 Metocean Environment Inc 有害物質の浄化処理装置及び方法
EP1649923A4 (en) * 2003-06-17 2007-05-16 Nittetsu Mining Co Ltd GAS TREATMENT METHOD AND GAS TREATMENT DEVICE USING AN OXIDATION CATALYST AND LOW TEMPERATURE PLASMA
JP3982474B2 (ja) * 2003-09-26 2007-09-26 松下電器産業株式会社 真空処理装置用の防着板及び真空処理装置
US7021903B2 (en) * 2003-12-31 2006-04-04 The Boc Group, Inc. Fore-line preconditioning for vacuum pumps
JP2006314869A (ja) * 2005-05-10 2006-11-24 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 半導体プロセスチャンバからの排ガスを除害するためのシステム
GB2444655A (en) * 2005-07-29 2008-06-11 Univ Delaware Hollow cathode plasma source for bio and chemical decontamination of air and surfaces
GB0516695D0 (en) * 2005-08-15 2005-09-21 Boc Group Plc Microwave plasma reactor
GB0522088D0 (en) * 2005-10-28 2005-12-07 Boc Group Plc Plasma abatement device
JP5036354B2 (ja) * 2006-04-04 2012-09-26 東京エレクトロン株式会社 成膜装置の排気系構造、成膜装置、および排ガスの処理方法
US8932430B2 (en) 2011-05-06 2015-01-13 Axcelis Technologies, Inc. RF coupled plasma abatement system comprising an integrated power oscillator
GB0714025D0 (en) * 2007-07-19 2007-08-29 Edwards Ltd Plasma reactor
JP2009231589A (ja) * 2008-03-24 2009-10-08 Ulvac Japan Ltd 反応性イオンエッチング装置
JP5410950B2 (ja) * 2009-01-15 2014-02-05 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP4955027B2 (ja) * 2009-04-02 2012-06-20 クリーン・テクノロジー株式会社 排ガス処理装置における磁場によるプラズマの制御方法
JP2011034705A (ja) * 2009-07-30 2011-02-17 Canon Anelva Corp プラズマ処理装置
KR20110029500A (ko) * 2009-09-15 2011-03-23 삼성에스디아이 주식회사 플라즈마 성막 장치
EP2312612B1 (en) * 2009-10-16 2017-03-08 Korea Institute Of Machinery & Materials Plasma reactor for abating hazardous materials and driving method thereof
US8742665B2 (en) * 2009-11-18 2014-06-03 Applied Materials, Inc. Plasma source design
US8771538B2 (en) 2009-11-18 2014-07-08 Applied Materials, Inc. Plasma source design
US8747762B2 (en) 2009-12-03 2014-06-10 Applied Materials, Inc. Methods and apparatus for treating exhaust gas in a processing system
US8987678B2 (en) * 2009-12-30 2015-03-24 Fei Company Encapsulation of electrodes in solid media
US20110204023A1 (en) * 2010-02-22 2011-08-25 No-Hyun Huh Multi inductively coupled plasma reactor and method thereof
US8508134B2 (en) * 2010-07-29 2013-08-13 Evgeny Vitalievich Klyuev Hall-current ion source with improved ion beam energy distribution
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
KR20130025224A (ko) * 2011-09-01 2013-03-11 한국기계연구원 고밀도 플라즈마를 이용한 증착 장치 및 방법
KR102016190B1 (ko) * 2011-11-17 2019-10-21 램 리써치 코포레이션 분포된 다중존 플라즈마 소스 시스템들, 방법들 및 장치
WO2013076966A1 (ja) * 2011-11-22 2013-05-30 株式会社神戸製鋼所 プラズマ発生源及びこれを備えた真空プラズマ処理装置
CN102568635A (zh) * 2012-01-01 2012-07-11 徐宽 粒子回旋加速式原子炉
DE102012103425A1 (de) * 2012-04-19 2013-10-24 Roth & Rau Ag Mikrowellenplasmaerzeugungsvorrichtung und Verfahren zu deren Betrieb
US9867238B2 (en) 2012-04-26 2018-01-09 Applied Materials, Inc. Apparatus for treating an exhaust gas in a foreline
US20140262033A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Gas sleeve for foreline plasma abatement system
US9622375B2 (en) * 2013-12-31 2017-04-11 Applied Materials, Inc. Electrostatic chuck with external flow adjustments for improved temperature distribution
US9230780B2 (en) * 2014-03-06 2016-01-05 Applied Materials, Inc. Hall effect enhanced capacitively coupled plasma source
US9240308B2 (en) * 2014-03-06 2016-01-19 Applied Materials, Inc. Hall effect enhanced capacitively coupled plasma source, an abatement system, and vacuum processing system

Also Published As

Publication number Publication date
JP2020188010A (ja) 2020-11-19
TW202025860A (zh) 2020-07-01
TWI747069B (zh) 2021-11-21
TWI679922B (zh) 2019-12-11
JP2017515286A (ja) 2017-06-08
US10176973B2 (en) 2019-01-08
TWI806214B (zh) 2023-06-21
JP7404445B2 (ja) 2023-12-25
KR20220009485A (ko) 2022-01-24
CN111508809A (zh) 2020-08-07
CN106062925B (zh) 2020-03-10
KR20150105250A (ko) 2015-09-16
US9552967B2 (en) 2017-01-24
JP2022140436A (ja) 2022-09-26
US20160133442A1 (en) 2016-05-12
TW202209409A (zh) 2022-03-01
WO2015134157A1 (en) 2015-09-11
CN106062925A (zh) 2016-10-26
KR102435471B1 (ko) 2022-08-22
US9240308B2 (en) 2016-01-19
CN111508809B (zh) 2023-04-28
KR102352727B1 (ko) 2022-01-17
JP7091394B2 (ja) 2022-06-27
US20150255256A1 (en) 2015-09-10
TW201536114A (zh) 2015-09-16
US20170133208A1 (en) 2017-05-11

Similar Documents

Publication Publication Date Title
JP7404445B2 (ja) ホール効果が促進された容量結合プラズマ源、軽減システム、および真空処理システム
US9543124B2 (en) Capacitively coupled plasma source for abating compounds produced in semiconductor processes
JP7277418B2 (ja) 重原子を含有する化合物のプラズマ軽減
KR101655900B1 (ko) 진공펌프와 배기부 세정 및 pfc 제거를 위한 융합형 플라즈마 정화장치

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180130

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20181212

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20181220

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190320

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190520

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20191024

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20200124

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20200324

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200424

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200618

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200720

R150 Certificate of patent or registration of utility model

Ref document number: 6738742

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250