CN111508809B - 霍尔效应增强电容耦合等离子体源、消除系统及真空处理系统 - Google Patents

霍尔效应增强电容耦合等离子体源、消除系统及真空处理系统 Download PDF

Info

Publication number
CN111508809B
CN111508809B CN202010085736.9A CN202010085736A CN111508809B CN 111508809 B CN111508809 B CN 111508809B CN 202010085736 A CN202010085736 A CN 202010085736A CN 111508809 B CN111508809 B CN 111508809B
Authority
CN
China
Prior art keywords
plate
plasma source
disposed
plasma
inner edge
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202010085736.9A
Other languages
English (en)
Other versions
CN111508809A (zh
Inventor
迈克尔·S·考克斯
王荣平
布赖恩·韦斯特
罗杰·M·约翰逊
科林·约翰·迪金森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/199,974 external-priority patent/US9230780B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN202010085736.9A priority Critical patent/CN111508809B/zh
Publication of CN111508809A publication Critical patent/CN111508809A/zh
Application granted granted Critical
Publication of CN111508809B publication Critical patent/CN111508809B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D53/00Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
    • B01D53/32Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols by electrical effects other than those provided for in group B01D61/00
    • B01D53/323Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols by electrical effects other than those provided for in group B01D61/00 by electrostatic effects or by high-voltage electric fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32596Hollow cathodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32669Particular magnets or magnet arrangements for controlling the discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32825Working under atmospheric pressure or higher
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • H01J37/32844Treating effluent gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2257/00Components to be removed
    • B01D2257/55Compounds of silicon, phosphorus, germanium or arsenic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2257/00Components to be removed
    • B01D2257/55Compounds of silicon, phosphorus, germanium or arsenic
    • B01D2257/553Compounds comprising hydrogen, e.g. silanes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2257/00Components to be removed
    • B01D2257/55Compounds of silicon, phosphorus, germanium or arsenic
    • B01D2257/556Organic compounds
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2258/00Sources of waste gases
    • B01D2258/02Other waste gases
    • B01D2258/0216Other waste gases from CVD treatment or semi-conductor manufacturing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02CCAPTURE, STORAGE, SEQUESTRATION OR DISPOSAL OF GREENHOUSE GASES [GHG]
    • Y02C20/00Capture or disposal of greenhouse gases
    • Y02C20/30Capture or disposal of greenhouse gases of perfluorocarbons [PFC], hydrofluorocarbons [HFC] or sulfur hexafluoride [SF6]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • General Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Chemical Vapour Deposition (AREA)
  • Treating Waste Gases (AREA)
  • Drying Of Semiconductors (AREA)
  • Combustion & Propulsion (AREA)

Abstract

本公开内容涉及霍尔效应增强电容耦合等离子体源、消除系统及真空处理系统。本文中公开的实施方式包括消除系统,所述消除系统用于消除在半导体工艺中产生的化合物。消除系统包括等离子体源,所述等离子体源具有第一板件及平行于第一板件的第二板件。电极安置于第一板件与第二板件之间,且外壁安置于第一板件与第二板件之间,所述外壁围绕所述电极。等离子体源具有安置于第一板件上的第一多个磁体,及安置于第二板件上的第二多个磁体。由第一多个磁体及第二多个磁体产生的磁场大体上垂直于在电极与外壁之间产生的电场。在此配置中,产生密集等离子体。

Description

霍尔效应增强电容耦合等离子体源、消除系统及真空处理系统
本申请是申请日为2015年2月9日、申请号为201580012315.0、发明名称为“霍尔效应增强电容耦合等离子体源、消除系统及真空处理系统”的发明专利申请的分案申请。
技术领域
本公开内容的实施方式一般涉及半导体处理设备。更特定而言,本公开内容的实施方式涉及等离子体源、消除系统,及真空处理系统,上述各者用于消除在半导体工艺中产生的化合物。
背景技术
半导体处理设施使用的工艺气体包含许多种化合物,由于法规要求及对于环境及安全问题的考虑,这些化合物须在弃置(disposal)之前予以消除(abate)或处理。通常,远程等离子体源可耦接至处理腔室以消除从处理腔室中出来的化合物。含卤素的等离子体及气体频繁用于蚀刻或清洁工艺,且处理腔室的部件及远程等离子体源易受由含卤素的等离子体及气体所引起的腐蚀的影响。腐蚀缩短处理腔室部件及远程等离子体源的可用寿命,且额外地将不良缺陷及污染引入处理环境。
因此,本领域内需要的是:用于消除半导体工艺中所产生的化合物的经改良的等离子体源及消除系统。
发明内容
本文所公开的实施方式包括等离子体源、消除系统及真空处理系统,上述各者用于消除在半导体工艺中产生的化合物。在一个实施方式中,公开了等离子体源。等离子体源包含第一板件、平行于第一板件的第二板件、外壁、电极、第一多个磁体及第二多个磁体,所述第一板件具有外边缘及内边缘,所述第二板件具有外边缘及内边缘,所述外壁安置于第一板件的外边缘与第二板件的外边缘之间,所述电极安置于第一板件的内边缘与第二板件的内边缘之间,所述第一多个磁体安置于第一板件上,且所述第二多个磁体安置于第二板件上。
在另一个实施方式中,公开了消除系统。消除系统包含等离子体源,所述等离子体源包含主体,所述主体具有第一端部及第二端部,且所述第一端部经配置以耦接至前级管道,及所述第二端部经配置以耦接至导管。等离子体源进一步包含安置于主体内的电极、安置于主体的第一板件上的第一多个磁体及安置于主体的第二板件上的第二多个磁体。
在另一个实施方式中,公开了真空处理系统。真空处理系统包含真空处理腔室及等离子体源,所述等离子体源包含第一板件、平行于第一板件的第二板件、外壁、电极、第一多个磁体及第二多个磁体,所述第一板件具有外边缘及内边缘,所述第二板件具有外边缘及内边缘,所述外壁安置于第一板件的外边缘与第二板件的外边缘之间,所述电极安置于第一板件的内边缘与第二板件的内边缘之间,所述第一多个磁体安置于第一板件上,且所述第二多个磁体安置于第二板件上。
附图说明
以上简要概述的本公开内容的上述特征能够被详细理解的方式、以及本公开内容更详细的描述,可通过参考实施方式获得,本公开内容的实施方式中的一些实施方式在附图中示出。然而,应当注意,附图仅图示本公开内容的典型实施方式,因而不应被视为对本公开内容的范围的限制,因为本公开内容可允许其它等效的实施方式。
图1A是真空处理系统的示意侧视图,该真空处理系统具有等离子体源。
图1B是图1A中的等离子体源的截面图。
图2A是等离子体源的横截面透视图。
图2B是等离子体源的横截面仰视图。
图2C是金属护罩的放大视图。
图3是等离子体源的透视图。
图4示意性地图示了与等离子体源相关联的部件。
图5是排放冷却装置的透视图。
为了便于理解,尽可能的使用了相同数字符号以标示附图中共通的相同元件。考虑到一个实施方式的元件及特征在没有进一步描述的情况下可以有益地并入其它实施方式。
具体实施方式
图1A是真空处理系统170的示意侧视图,真空处理系统170具有消除系统193中所使用的等离子体源100。真空处理系统170包含至少一个真空处理腔室190及等离子体源100。消除系统193至少包含等离子体源100。对真空处理腔室190进行一般性地配置以执行至少一种集成电路制造工艺,例如沉积工艺、蚀刻工艺、等离子体处理工艺、预清洁工艺、离子注入工艺,或其它集成电路制造工艺。在真空处理腔室190中执行的工艺可为等离子体辅助工艺。例如,在真空处理腔室190中执行的工艺可为用于沉积硅基材料的等离子体沉积工艺。
真空处理腔室190具有腔室排放口191,腔室排放口191经由前级管道192耦接至消除系统193的等离子体源100。等离子体源100的排放装置借由排放导管194耦接至泵及设施排放装置,图1A中采用单个元件符号196示例性地标示该泵及设施排放装置。一般采用泵对真空处理腔室190进行抽真空,而为了对真空处理腔室190的排出物进入大气做准备,设施排放装置一般包括洗涤器或其它排放清洁装置。
采用等离子体源100对离开真空处理腔室190的气体和/或其它材料实施消除工艺,使得这些气体和/或其它材料可转变成更环保和/或对于工艺设备更有利的组成物。等离子体源100的细节进一步描述如下。
在一些实施方式中,消除剂源114耦接至前级管道192和/或等离子体源100。消除剂源114向等离子体源100内提供消除剂,该消除剂可受到激发以与将要离开真空处理腔室190的材料反应,或协助将上述材料转变至更环保且/或对工艺设备更有利的组成物。可选地,净化气源115可耦接至等离子体源100,用于减少等离子体源100内的部件上的沉积。
排放冷却装置117可耦接在等离子体源100与排放导管194之间,用于降低从等离子体源100中排出的排出物的温度。在一个实例中,排放冷却装置117是消除系统193的一部分。
可选地,可将压力调节模块182耦接至等离子体源100或排放导管194至少之一。压力调节模块182注入压力调节气体,诸如氩、氮或使等离子体源100内的压力能受到更好控制的其它的合适气体,且由此提供更高效的消除效能。在一个实例中,压力调节模块182是消除系统193的一部分。
图1B是根据一个实施方式的等离子体源100的侧视图。等离子体源100可安置于真空处理腔室190的下游。等离子体源100中产生的等离子体部分地或完全地激发和/或分解从真空处理腔室190中排出的排出物中的化合物,并且将排出物中的化合物转变成更良性的形式。在一个实施方式中,由于等离子体源100的产生密集等离子体的能力,等离子体源100可充当远程等离子体源,该远程等离子体源安置于处理腔室上游以将诸如分子或原子物种之类的等离子体产物(亦即密集等离子体)输送至处理腔室中。
等离子体源100可包括主体102,主体102具有第一端部104及第二端部106。第一端部104可平行于第二端部106。第一端部104可具有开口120,开口120经配置以在有或没有法兰的情况下耦接至前级管道192,且第二端部106可具有开口122,开口122经配置以在有或没有法兰的情况下耦接至排放冷却装置117。法兰通过参照图3在下文中得到进一步图示及描述。主体102可为圆形、正方形、矩形,或成其它合适的形状。在一个实施方式中,主体102具有圆环面的(toroidal)形状。开口108可贯穿主体102而形成。开口108可为圆形、正方形、矩形,或成其它合适的形状。在一个实施方式中,主体102是环形的(annular)。在其它实施方式中,主体102不包括开口108。
气体混合物110可经由第一端部104处的开口120进入等离子体源100,该气体混合物为例如离开真空处理腔室190的排出物中的副产物,或是在等离子体源100为远程等离子体源的实例中用于产生远程等离子体的前驱物和/或载气。气体混合物110可由在等离子体区124中形成的等离子体分解并且被消除剂处理,且作为危险性降低的材料经由第二端部106处的开口122离开。气体混合物110可由开口108分为两股流110A及110B,然后在离开主体102时合并为流110C,这由图1B中所图示的路径“A”表示。若气体混合物110是离开真空处理腔室的排出物中的副产物,则可从图1A中所示的消除剂源114将一或多种消除剂引入等离子体源100中。排出物中的副产物可包括含有硅、钨、钛,或铝的材料。存在于排出物中可通过采用本文所公开的等离子体源100得以消除的含硅材料的实例包括:例如氧化硅(SiO)、二氧化硅(SiO2)、硅烷(SiH4)、乙硅烷、四氯化硅(SiCl4)、氮化硅(SiNx)、二氯硅烷(SiH2Cl2)、六氯二硅烷(Si2Cl6)、双(叔丁基氨基)硅烷、三甲硅基胺(trisilylamine)、二甲硅基甲烷(disilylmethane)、三甲硅基甲烷(trisilylmethane)、四甲硅基甲烷(tetrasilylmethane)、四乙氧基硅烷(tetraethyl orthosilicate)(TEOS)(Si(OEt)4)、二硅氧烷类(举例而言,二硅氧烷(SiH3OSiH3)、三硅氧烷(SiH3OSiH2OSiH3)、四硅氧烷(SiH3OSiH2OSiH2OSiH3),及环三硅氧烷(cyclotrisiloxane;-SiH2OSiH2OSiH2O-))。存在于排出物中可通过采用本文所公开的方法得以消除的含钨材料的实例包括:例如W(CO)6、WF6、WCl6,或WBr6。存在于排出物中可通过采用本文所公开的方法得以消除的含钛材料的实例包括:例如TiCl4及TiBr4。存在于排出物中可通过采用本文所公开的方法得以消除的含铝材料的实例包括:例如三甲基铝。
消除剂可包括:例如CH4、H2O、H2、NF3、SF6、F2、HCl、HF、Cl2、HBr、H2、H2O、O2、N2、O3、CO、CO2、NH3、N2O、CH4,以及上述各者的组合。消除剂也可包括CHxFy与O2和/或H2O的组合,及CFx与O2和/或H2O的组合。不同消除剂可用于具有不同组成的排出物。
图2A是根据一个实施方式的等离子体源100的横截面透视图。如图2A所示,主体102可包括外壁204、内壁206、第一板件203及第二板件205。第一板件203及第二板件205可具有环形形状,且外壁204及内壁206可为圆筒状。内壁206可为中空电极,该中空电极可耦接至RF源(未图示)。外壁204可接地。第一板件203及第二板件205可与内壁206同心。第一板件203可具有外边缘207及内边缘209,且第二板件205可具有外边缘211及内边缘213。外壁204可具有第一端部212及第二端部214,且内壁206可具有第一端部216及第二端部218。第一绝缘环230可邻接于内壁206的第一端部216设置,且第二绝缘环232可邻接于内壁206的第二端部218设置。绝缘环230、232可由绝缘陶瓷材料制成。第一板件203的外边缘207可邻接于外壁204的第一端部212,且第二板件205的外边缘211可邻接于外壁204的第二端部214。在一个实施方式中,外壁204的端部212、214分别与外边缘207、211相接触。第一板件203的内边缘209可邻接于第一绝缘环230,且第二板件205的内边缘213可邻接于第二绝缘环232。等离子体区124界定在外壁204与内壁206之间、及第一板件203与第二板件205之间,且电容耦合等离子体可在等离子体区124中形成。
为了在操作期间使内壁206保持冷却,冷却套管(jacket)220可耦接至内壁206。内壁206可具有第一表面242及第二表面244,该第一表面面对外壁204,该第二表面与第一表面相对。在一个实施方式中,两个表面242、244都为线形的,且冷却套管220耦接至第二表面244。在一个实施方式中,第一表面242是弯曲的,而第二表面244是直线形的,如图2B中所示。冷却套管220中可形成有冷却通道208,且冷却通道208耦接至冷却剂入口217及冷却剂出口219,用于使诸如水之类的冷却剂流入及流出冷却套管220。第一多个磁体210可安置于第一板件203上。在一个实施方式中,第一多个磁体210可为磁控管,该磁控管具有磁体阵列,并且该第一多个磁体可具有环形的形状。第二多个磁体240可安置于第二板件205上,且第二多个磁体240可为磁控管,该磁控管具有磁体阵列,并且该第二多个磁体可具有与第一多个磁体210相同的形状。在一个实施方式中,第二多个磁体240是磁控管且具有环形的形状。在一个实施方式中,磁体210、240是在端部104、106附近处形成的线性阵列。磁体210、240可具有面对等离子体区124的相反的极性。磁体210、240可为稀土磁体,如钕陶瓷磁体。一或多个注气口270可以在第一板件203或第一及第二板件203、205上形成,用于注入消除剂和/或净化气体。净化气体可减少(图2B中所示)护罩250、252上的沉积。或者,注气口270可在前级管道192中形成。
图2B是根据一个实施方式的等离子体源100的横截面仰视图。如图2B中所示,内壁206的第一表面242上设置有多个凹槽246。凹槽246可为连续沟槽。尽管图2B中图示的第一表面242是弯曲的,但凹槽246可在直线形的第一表面242上形成,如图2A中所示。在操作期间,内壁206由射频(RF)电源供电,且外壁204接地,从而在等离子体区124中形成依施加的功率类型而定的振荡或恒定电场“E”所施加的功率类型即为,是RF的还是直流(DC)的,或是介于两者之间的某个频率的。双极直流电及双极脉冲直流电也可与内壁及外壁一起用,内壁及外壁形成两个相对的电极。磁体210、240产生大体均匀的磁场“B”,该磁场“B”基本上垂直于电场“E”。在此配置中,合力使原本会沿电场“E”方向的电流朝向端部106弯曲(出向纸外),且这种力通过限制接地壁的等离子体电子损失来显著升高等离子体密度。在施加射频功率的情况下,这会导致环形振荡电流被大量引导离开接地壁。在施加直流电功率的情况下,这会导致恒定的环形电流被大量引导离开接地壁。由所施加的电场产生的这种电流偏离(current divergence)的效应被称作“霍尔效应”。在等离子体区124中形成的等离子体分解排出物中的副产物的至少一部分,该排出物从第一端部104处的开口120流入。消除剂也可被注入以与分解产物反应及形成的危险性更低的化合物。在一个实施方式中,排出物包含硅烷,且消除剂可为水或氧,该消除剂把排出物中的硅烷转变为玻璃。
可以将第一金属护罩250安置于等离子体区124内侧邻近于第一板件203之处,可以将第二金属护罩252安置于等离子体区124内侧邻近于第二板件205之处,以及可以将第三金属护罩259安置于等离子体区内邻近于外壁204之处。由于材料可沉积在护罩250、252、259上,所以这些护罩可为可移除的、可替换的和/或可重复使用的。第一金属护罩250与第二金属护罩252可具有相似的配置。在一个实施方式中,第一金属护罩250及第二金属护罩252都具有环形形状。第一金属护罩250及第二金属护罩252各自包括金属板254a至254e的堆叠(stack),这些金属板彼此相互隔离。一或多个间隙272(在图2A中图示)可在金属板254a至254e的每一块金属板中形成,以容许膨胀而不使金属板254a至254e变形。图2C是根据一个实施方式的金属护罩250的放大视图。为清楚起见,省略了等离子体源100的一些部件,例如一或多个注气口270。板254a至254e中的每块板可为环形,且可具有内边缘256及外边缘258。可以对金属板254a至254e进行涂布以改变护罩表面辐射率(shield surfaceemissivity)。涂层可为阳极化材料以改善耐化学性、辐射热传递及应力降低(reduction)。在一个实施方式中,采用黑色氧化铝涂布金属板254a至254e。金属板254a的内部部分274可由陶瓷材料制成,用于防止产生电弧和获得尺寸稳定性。金属板254a至254e的内边缘256借助于绝缘垫圈260而互相隔开,因此金属板254a至254e彼此相互隔离。垫圈260也将板254e与第一板件203相隔开。金属板254a至254e的堆叠可由一或多个陶瓷棒或间隔件(未图示)紧固。一或多个陶瓷棒可穿过垫圈和金属板254a至254e的堆叠,并且每个陶瓷棒的一端耦接至内壁206,而每个陶瓷棒的另一端耦接至第一板件203/第二板件205。
在一个实施方式中,板254a的内边缘256与外边缘258之间的距离“D1”小于板254b的内边缘256与外边缘258之间的距离“D2”,距离“D2”小于板254c的内边缘256与外边缘258之间的距离“D3”,距离“D3”小于板254d的内边缘256与外边缘258之间的距离“D4”,距离“D4”小于板254e的内边缘256与外边缘258之间的距离“D5”。换而言之,板件的内边缘256与外边缘258之间的距离与板位置有关,即,板距离等离子体区124设置得越远,则内边缘256与外边缘258之间的距离越大。在此配置中,由于存在六个间隙,所以内壁206与外壁204之间的电压被除以六,这六个间隙如下:内壁206与板254a的外边缘258之间的间隙、板254a的外边缘258与板254b的外边缘258之间的间隙、板254b的外边缘258与板254c的外边缘258之间的间隙、板254c的外边缘258与板254d的外边缘258之间的间隙、板254d的外边缘258与板254e的外边缘258之间的间隙,及板254e的外边缘258与外壁204之间的间隙。每一处间隙具有较小电势,因此整个该间隙的电场小,这样的区域无法点亮且消耗所施加的功率,由此促使功率进入等离子体区124,在等离子体区124中产生等离子体。在没有如上所述的护罩250、252的情况下,内壁206的第一端部216与外壁204的第一端部212之间及内壁206的第二端部218与外壁204的第二端部214之间可能存在局部的等离子体放电,而且等离子体区124可能不会充满等离子体。
金属板254a至254e之间的空间可为暗区,这些暗区可由沉积在这些板上的材料桥接,从而导致这些板互相短路。为了阻止此情况发生,在一个实施方式中,金属板254a至254e的每一块金属板包括梯级262,因此金属板254a至254e的每一块金属板的外边缘258与相邻的板相距更远。梯级262使外边缘258变得对于内边缘256为非直线性的。每一个梯级262对在相邻金属板之间形成的暗区264起到保护作用,因此在暗区264内不会沉积材料。
外壁204、内壁206及护罩250、252、259可全部由金属制成,因为金属对半导体工艺中使用的大多数化学品具有耐受性。所使用的金属类型可依据在等离子体源100上游的真空处理腔室中使用的化学品而定。在一个实施方式中,采用氯基(chlorine based)化学品,则金属可为不锈钢,例如316不锈钢。氯基化学品中的绝缘环230、232可由石英制成。在另一个实施方式中,采用氟基化学品,则金属可为铝,且绝缘环230、232可由氧化铝制成。内壁206可由阳极化铝(anodized aluminum)或喷涂铝制成。
在一个实例中,等离子体源包括圆筒形电极,该圆筒形电极具有第一端部及第二端部,圆筒状外壁围绕该圆筒形电极,且该圆筒状外壁具有第一端部及第二端部。等离子体源进一步包括第一环形板,该第一环形板具有内边缘及外边缘,且内边缘与圆筒形电极的第一端部接近,且外边缘与圆筒状外壁的第一端部相邻近。等离子体源进一步包括第二环形板,该第二环形板具有内边缘及外边缘,且内边缘与圆筒形电极的第二端部接近,且外边缘与圆筒状外壁的第二端部相邻近。等离子体区由圆筒形电极、圆筒状外壁、第一环形板及第二环形板界定。等离子体源进一步包括第一多个磁体及第二多个磁体,该第一多个磁体安置于第一环形板上,该第二多个磁体安置于第二环形板上。
在另一个实例中,等离子体源包括第一环形板、平行于第一环形板的第二环形板,该第一环形板具有外边缘及内边缘,该第二环形板具有外边缘及内边缘,且其中该第一环形板具有面对第二环形板的表面,该第二环形板具有面对第一环形板的表面。等离子体源进一步包括圆筒状外壁、圆筒形电极、第一护罩及第二护罩,该圆筒状外壁安置于第一环形板与第二环形板的外边缘之间,该圆筒形电极安置于第一环形板与第二环形板的内边缘之间,该第一护罩邻近于第一环形板的表面安置,且该第二护罩邻近于第二环形板的表面安置。
图3是等离子体源100的透视图。入口法兰302及出口法兰304可分别耦接至等离子体源100的第一端部104及第二端部106。入口法兰302可耦接至前级管道192,而第二法兰304可耦接至排放冷却装置117,如图1A中所示。法兰302、304可由任何合适的方法分别耦接至等离子体源100的第一端部104及第二端部106。盒306可安置于等离子体源100上以用于围封射频匹配器(未图示)。
图4示例性地图示与等离子体源100关联的部件。机架400或其它容器/支撑结构可包括交流配电箱402、射频发生器404及控制器406。交流配电箱402向射频发生器404及控制器406馈电。射频发生器404产生射频功率,该射频功率可经由射频匹配器被供应至等离子体源100。控制器406与半导体制造工具或半导体制造设备通信,且控制射频发生器404及工艺气体。
图5是排放冷却装置117的透视图。当等离子体源100中经激发的排出物经由第二端部106离开等离子体源100时,该经激发的排出物可复合(recombine),并且复合反应释放能量及导致离开等离子体源100的排出物升温。具有诸如高于150℃的高温的排出物可损伤泵196。为了冷却具有高温的排出物,排放冷却装置117可耦接至等离子体源100的第二端部106。或者,排放冷却装置117可耦接至等离子体源100的下游及压力调节模块182的上游的排放导管194中。排放冷却装置117可包括第一端部502及第二端部504,第一端部502用于耦接至法兰304,且第二端部504用于耦接至排放导管194。可以在第一端部502与第二端部504之间形成空腔505,且可以将冷却板506安置于空腔505中。冷却板506可包括在冷却板506中形成的冷却通道(未图示),且冷却剂入口508及冷却剂出口510可设置于冷却板506上。诸如水之类的冷却剂可自冷却剂入口508流入冷却通道,且从冷却剂出口510流出。可以在冷却板中形成多个孔512,用以使热排出物从这些孔中穿过。孔512的直径可足够大,以使得有最小至没有的压力积累(pressure build-up)。在一个实施方式中,孔512各自具有约0.5英寸的直径,且压力限制(pressure restriction)小于约100毫托。
尽管前述是针对本公开内容的实施方式,但在不脱离本公开内容的基本范围的前提下,可设计本公开内容的其它的和进一步的实施方式,且本公开内容的范围由以下权利要求确定。

Claims (19)

1.一种等离子体源,所述等离子体源包含:
第一板件,所述第一板件具有外边缘和内边缘;
第二板件,所述第二板件平行于所述第一板件,其中所述第二板件具有外边缘及内边缘;
外壁,所述外壁安置于所述第一板件的外边缘与所述第二板件的外边缘之间;
电极,所述电极安置于所述第一板件的内边缘与所述第二板件的内边缘之间,其中等离子体区界定在所述电极、所述外壁、所述第一板件与所述第二板件之间;
第一金属护罩,所述第一金属护罩安置于所述等离子体区内邻近于所述第一板件之处;及
第二金属护罩,所述第二金属护罩安置于所述等离子体区内邻近于所述第二板件之处,其中所述第一金属护罩和所述第二金属护罩各自包括彼此相互隔离的金属板件的堆叠。
2.如权利要求1所述的等离子体源,其中所述第一板件与所述第二板件是环形的。
3.如权利要求1所述的等离子体源,其中所述外壁具有第一端部及第二端部,其中所述第一端部与所述第一板件的所述外边缘相接触,且所述第二端部与所述第二板件的所述外边缘相接触。
4.如权利要求3所述的等离子体源,其中所述电极具有第一端部及第二端部,其中所述第一端部与所述第一板件的所述内边缘接近,且所述第二端部与所述第二板件的所述内边缘接近。
5.如权利要求4所述的等离子体源,进一步包含:第一绝缘环,所述第一绝缘环安置于所述电极的所述第一端部与所述第一板件的所述内边缘之间。
6.如权利要求5所述的等离子体源,进一步包含:第二绝缘环,所述第二绝缘环安置于所述电极的所述第二端部与所述第二板件的所述内边缘之间。
7.如权利要求1所述的等离子体源,进一步包含:第一多个磁体,所述第一多个磁体安置于所述第一板件上;及第二多个磁体,所述第二多个磁体安置于所述第二板件上。
8.如权利要求7所述的等离子体源,其中所述第一多个磁体具有环形形状,且所述第二多个磁体具有环形形状。
9.如权利要求8所述的等离子体源,其中所述第一多个磁体面对所述等离子体区的极性与所述第二多个磁体面对所述等离子体区的极性相反。
10.一种等离子体源,所述等离子体源包含:
第一环形板件,所述第一环形板件具有外边缘和内边缘;
第二环形板件,所述第二环形板件平行于所述第一环形板件,其中所述第二环形板件具有外边缘及内边缘;
圆筒状外壁,所述圆筒状外壁安置于所述第一环形板件的外边缘与所述第二环形板件的外边缘之间;
圆筒状电极,所述圆筒状电极安置于所述第一环形板件的内边缘与所述第二环形板件的内边缘之间,其中等离子体区界定在所述圆筒状电极、所述圆筒状外壁、所述第一环形板件与所述第二环形板件之间;
第一金属护罩,所述第一金属护罩安置于所述等离子体区内邻近于所述第一环形板件之处;及
第二金属护罩,所述第二金属护罩安置于所述等离子体区内邻近于所述第二环形板件的表面之处,其中所述第一金属护罩和所述第二金属护罩各自包括彼此相互隔离的金属板件的堆叠。
11.如权利要求10所述的等离子体源,进一步包含:第三护罩,所述第三护罩安置于邻近于所述圆筒状外壁之处。
12.如权利要求10所述的等离子体源,其中所述金属板件是环形的且各自具有内边缘和外边缘。
13.如权利要求12所述的等离子体源,其中各金属板件在所述内边缘与所述外边缘之间具有不同的距离。
14.如权利要求13所述的等离子体源,其中各金属板件具有梯级,且所述外边缘对于所述内边缘是非线性的。
15.一种真空处理系统,所述真空处理系统包含:
真空处理腔室;
前级管道,所述前级管道耦接至所述真空处理腔室;及
等离子体源,所述等离子体源耦接至所述前级管道,所述等离子体源包含:
第一板件,所述第一板件具有外边缘及内边缘;
第二板件,所述第二板件平行于所述第一板件,其中所述第二板件具有外边缘及内边缘;
外壁,所述外壁安置于所述第一板件的外边缘与所述第二板件的外边缘之间;
电极,所述电极安置于所述第一板件的内边缘与所述第二板件的内边缘之间,其中等离子体区界定在所述电极、所述外壁、所述第一板件与所述第二板件之间;
第一护罩,所述第一护罩安置于所述等离子体区内邻近于所述第一板件之处;及
第二护罩,所述第二护罩安置于所述等离子体区内邻近于所述第二板件之处。
16.如权利要求15所述的真空处理系统,进一步包含消除剂源,所述消除剂源耦接至所述前级管道。
17.如权利要求15所述的真空处理系统,进一步包含消除剂源,所述消除剂源耦接至所述等离子体源。
18.如权利要求15所述的真空处理系统,进一步包含:第一多个磁体,所述第一多个磁体安置于所述第一板件上;及第二多个磁体,所述第二多个磁体安置于所述第二板件上。
19.如权利要求15所述的真空处理系统,其中所述等离子体源进一步包含冷却套管,所述冷却套管耦接至所述电极。
CN202010085736.9A 2014-03-06 2015-02-09 霍尔效应增强电容耦合等离子体源、消除系统及真空处理系统 Active CN111508809B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202010085736.9A CN111508809B (zh) 2014-03-06 2015-02-09 霍尔效应增强电容耦合等离子体源、消除系统及真空处理系统

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US14/199,974 US9230780B2 (en) 2014-03-06 2014-03-06 Hall effect enhanced capacitively coupled plasma source
US14/199,974 2014-03-06
US201462050555P 2014-09-15 2014-09-15
US62/050,555 2014-09-15
US14/498,920 2014-09-26
US14/498,920 US9240308B2 (en) 2014-03-06 2014-09-26 Hall effect enhanced capacitively coupled plasma source, an abatement system, and vacuum processing system
CN201580012315.0A CN106062925B (zh) 2014-03-06 2015-02-09 霍尔效应增强电容耦合等离子体源、消除系统及真空处理系统
PCT/US2015/015054 WO2015134157A1 (en) 2014-03-06 2015-02-09 Hall effect enhanced capacitively coupled plasma source, an abatement system, and vacuum processing system
CN202010085736.9A CN111508809B (zh) 2014-03-06 2015-02-09 霍尔效应增强电容耦合等离子体源、消除系统及真空处理系统

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201580012315.0A Division CN106062925B (zh) 2014-03-06 2015-02-09 霍尔效应增强电容耦合等离子体源、消除系统及真空处理系统

Publications (2)

Publication Number Publication Date
CN111508809A CN111508809A (zh) 2020-08-07
CN111508809B true CN111508809B (zh) 2023-04-28

Family

ID=54018050

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202010085736.9A Active CN111508809B (zh) 2014-03-06 2015-02-09 霍尔效应增强电容耦合等离子体源、消除系统及真空处理系统
CN201580012315.0A Active CN106062925B (zh) 2014-03-06 2015-02-09 霍尔效应增强电容耦合等离子体源、消除系统及真空处理系统

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201580012315.0A Active CN106062925B (zh) 2014-03-06 2015-02-09 霍尔效应增强电容耦合等离子体源、消除系统及真空处理系统

Country Status (6)

Country Link
US (3) US9240308B2 (zh)
JP (3) JP6738742B2 (zh)
KR (2) KR102352727B1 (zh)
CN (2) CN111508809B (zh)
TW (3) TWI806214B (zh)
WO (1) WO2015134157A1 (zh)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9240308B2 (en) 2014-03-06 2016-01-19 Applied Materials, Inc. Hall effect enhanced capacitively coupled plasma source, an abatement system, and vacuum processing system
US9230780B2 (en) * 2014-03-06 2016-01-05 Applied Materials, Inc. Hall effect enhanced capacitively coupled plasma source
US10187966B2 (en) * 2015-07-24 2019-01-22 Applied Materials, Inc. Method and apparatus for gas abatement
CN108780733A (zh) * 2016-02-01 2018-11-09 雷特罗萨米科技有限责任公司 用于过程反应室的排气通道的清洁装置
WO2017177398A1 (en) * 2016-04-13 2017-10-19 Applied Materials, Inc. Apparatus for exhaust cooling
US11332824B2 (en) * 2016-09-13 2022-05-17 Lam Research Corporation Systems and methods for reducing effluent build-up in a pumping exhaust system
US10435787B2 (en) 2016-11-14 2019-10-08 Applied Materials, Inc. Hydrogen partial pressure control in a vacuum process chamber
US10777394B2 (en) 2016-12-09 2020-09-15 Applied Materials, Inc. Virtual sensor for chamber cleaning endpoint
KR102185315B1 (ko) * 2016-12-09 2020-12-01 어플라이드 머티어리얼스, 인코포레이티드 포어라인 고체 형성 정량화를 위한 수정 진동자 마이크로밸런스 활용
JP6918146B2 (ja) * 2017-05-19 2021-08-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 液体および固体の排出物を収集して後に反応させて気体の排出物にする装置
KR102017811B1 (ko) * 2017-08-18 2019-09-03 주식회사 뉴파워 프라즈마 배기가스 처리를 위한 플라즈마 챔버
CN107578977A (zh) * 2017-09-27 2018-01-12 北京北方华创微电子装备有限公司 反应腔室以及电容耦合等离子体设备
US10889891B2 (en) * 2018-05-04 2021-01-12 Applied Materials, Inc. Apparatus for gaseous byproduct abatement and foreline cleaning
US11221182B2 (en) 2018-07-31 2022-01-11 Applied Materials, Inc. Apparatus with multistaged cooling
US11306971B2 (en) 2018-12-13 2022-04-19 Applied Materials, Inc. Heat exchanger with multistaged cooling
US11551917B2 (en) 2019-02-22 2023-01-10 Applied Materials, Inc. Reduction of Br2 and Cl2 in semiconductor processes
US11244803B2 (en) * 2020-01-23 2022-02-08 Hitachi High-Tech Corporation Plasma processing apparatus and operating method of plasma processing apparatus
US11875974B2 (en) * 2020-05-30 2024-01-16 Preservation Tech, LLC Multi-channel plasma reaction cell
TWI801058B (zh) * 2021-12-23 2023-05-01 明遠精密科技股份有限公司 一種複合式電漿源及其運作方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4572759A (en) * 1984-12-26 1986-02-25 Benzing Technology, Inc. Troide plasma reactor with magnetic enhancement
CN1816383A (zh) * 2003-06-03 2006-08-09 监测仪器有限责任公司 质谱仪和相关的离子发生器及方法
CN101856581A (zh) * 2009-04-02 2010-10-13 澄明科技有限公司 利用磁场的等离子体的控制方法和使用它的排气处理装置
CN102568635A (zh) * 2012-01-01 2012-07-11 徐宽 粒子回旋加速式原子炉
CN102714913A (zh) * 2009-11-18 2012-10-03 应用材料公司 等离子体源的设计

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5575905A (en) * 1978-11-30 1980-06-07 Takaoka Ind Ltd Ozone generating tube
JPH04251922A (ja) * 1991-01-09 1992-09-08 Fujitsu Ltd マグネトロン反応性イオンエッチング装置
JPH05196300A (ja) * 1992-01-21 1993-08-06 Sekisui Chem Co Ltd 電熱変換器
JP2524461B2 (ja) * 1992-03-18 1996-08-14 インターナショナル・ビジネス・マシーンズ・コーポレイション 高密度プラズマ処理装置
JP2900713B2 (ja) * 1992-07-23 1999-06-02 日新電機株式会社 プラズマcvd装置
JPH0737537A (ja) * 1993-07-27 1995-02-07 Tatsuo Shiyouji 線状荷電粒子ビーム発生装置
US5453125A (en) 1994-02-17 1995-09-26 Krogh; Ole D. ECR plasma source for gas abatement
JP2604684B2 (ja) * 1994-02-22 1997-04-30 木下 治久 プラズマプロセス装置
US5427747A (en) * 1994-06-08 1995-06-27 Lockheed Idaho Technologies Company Method and apparatus for producing oxygenates from hydrocarbons
US6888040B1 (en) 1996-06-28 2005-05-03 Lam Research Corporation Method and apparatus for abatement of reaction products from a vacuum processing chamber
US6150628A (en) * 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
JP2000026971A (ja) * 1998-07-10 2000-01-25 Kokusai Electric Co Ltd Cvd装置の排ガス処理装置
JP2003523072A (ja) * 1998-07-29 2003-07-29 アプライド マテリアルズ インコーポレイテッド プラズマ処理チャンバ内への安定な電力伝達を可能にする方法
JP3513463B2 (ja) * 1999-04-16 2004-03-31 三菱重工業株式会社 有害成分分解装置及びそれを用いた排ガス浄化装置
SE516336C2 (sv) * 1999-04-28 2001-12-17 Hana Barankova Apparat för plasmabehandling av ytor
US6740853B1 (en) * 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
JP2003530481A (ja) * 1999-11-19 2003-10-14 ナノ スケール サーフェイス システムズ インコーポレイテッド 無機/有機誘電体フィルムを堆積させるシステム及び方法
US6291938B1 (en) 1999-12-31 2001-09-18 Litmas, Inc. Methods and apparatus for igniting and sustaining inductively coupled plasma
US6156667A (en) 1999-12-31 2000-12-05 Litmas, Inc. Methods and apparatus for plasma processing
JP2002064064A (ja) * 2000-08-21 2002-02-28 Hitachi Kokusai Electric Inc プラズマ処理装置
US6514870B2 (en) * 2001-01-26 2003-02-04 Applied Materials, Inc. In situ wafer heat for reduced backside contamination
JP2002273168A (ja) * 2001-03-15 2002-09-24 Alpha Tekku:Kk 除害装置及び除害方法
JP2003086575A (ja) * 2001-09-10 2003-03-20 Hitachi Ltd プラズマ処理装置と金属面シールド方法
US6855906B2 (en) * 2001-10-16 2005-02-15 Adam Alexander Brailove Induction plasma reactor
JP2003197616A (ja) * 2001-12-27 2003-07-11 Hitachi Ltd 半導体装置の製造装置および半導体装置の製造方法
JP2003245520A (ja) * 2002-02-26 2003-09-02 Seiko Epson Corp Pfc分解方法、pfc分解装置及び半導体装置の製造方法
JP2003282465A (ja) * 2002-03-26 2003-10-03 Hitachi Ltd 半導体装置の製造方法
US6707051B2 (en) 2002-07-10 2004-03-16 Wintek Corporation RF loaded line type capacitive plasma source for broad range of operating gas pressure
JP2004063866A (ja) * 2002-07-30 2004-02-26 Nec Kansai Ltd 排気ガス処理装置
JP4180896B2 (ja) * 2002-12-03 2008-11-12 キヤノンアネルバ株式会社 プラズマ処理装置
JP2004241472A (ja) * 2003-02-04 2004-08-26 Seiko Epson Corp ガス処理装置、ガス処理方法および半導体装置の製造方法
US6872909B2 (en) * 2003-04-16 2005-03-29 Applied Science And Technology, Inc. Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel
JP2005000193A (ja) * 2003-06-09 2005-01-06 Metocean Environment Inc 有害物質の浄化処理装置及び方法
EP1649923A4 (en) * 2003-06-17 2007-05-16 Nittetsu Mining Co Ltd GAS TREATMENT METHOD AND GAS TREATMENT DEVICE USING AN OXIDATION CATALYST AND LOW TEMPERATURE PLASMA
JP3982474B2 (ja) 2003-09-26 2007-09-26 松下電器産業株式会社 真空処理装置用の防着板及び真空処理装置
US7021903B2 (en) * 2003-12-31 2006-04-04 The Boc Group, Inc. Fore-line preconditioning for vacuum pumps
JP2006314869A (ja) * 2005-05-10 2006-11-24 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 半導体プロセスチャンバからの排ガスを除害するためのシステム
GB2444655A (en) * 2005-07-29 2008-06-11 Univ Delaware Hollow cathode plasma source for bio and chemical decontamination of air and surfaces
GB0516695D0 (en) * 2005-08-15 2005-09-21 Boc Group Plc Microwave plasma reactor
GB0522088D0 (en) * 2005-10-28 2005-12-07 Boc Group Plc Plasma abatement device
JP5036354B2 (ja) * 2006-04-04 2012-09-26 東京エレクトロン株式会社 成膜装置の排気系構造、成膜装置、および排ガスの処理方法
US8932430B2 (en) 2011-05-06 2015-01-13 Axcelis Technologies, Inc. RF coupled plasma abatement system comprising an integrated power oscillator
GB0714025D0 (en) * 2007-07-19 2007-08-29 Edwards Ltd Plasma reactor
JP2009231589A (ja) * 2008-03-24 2009-10-08 Ulvac Japan Ltd 反応性イオンエッチング装置
JP5410950B2 (ja) * 2009-01-15 2014-02-05 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP2011034705A (ja) * 2009-07-30 2011-02-17 Canon Anelva Corp プラズマ処理装置
KR20110029500A (ko) * 2009-09-15 2011-03-23 삼성에스디아이 주식회사 플라즈마 성막 장치
JP5473001B2 (ja) 2009-10-16 2014-04-16 コリア・インスティテュート・オブ・マシナリー・アンド・マテリアルズ 汚染物質除去用プラズマ反応器及び駆動方法
US8771538B2 (en) 2009-11-18 2014-07-08 Applied Materials, Inc. Plasma source design
US8747762B2 (en) 2009-12-03 2014-06-10 Applied Materials, Inc. Methods and apparatus for treating exhaust gas in a processing system
US8987678B2 (en) * 2009-12-30 2015-03-24 Fei Company Encapsulation of electrodes in solid media
US20110204023A1 (en) * 2010-02-22 2011-08-25 No-Hyun Huh Multi inductively coupled plasma reactor and method thereof
US8508134B2 (en) * 2010-07-29 2013-08-13 Evgeny Vitalievich Klyuev Hall-current ion source with improved ion beam energy distribution
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
KR20130025224A (ko) * 2011-09-01 2013-03-11 한국기계연구원 고밀도 플라즈마를 이용한 증착 장치 및 방법
WO2013074354A1 (en) * 2011-11-17 2013-05-23 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
WO2013076966A1 (ja) * 2011-11-22 2013-05-30 株式会社神戸製鋼所 プラズマ発生源及びこれを備えた真空プラズマ処理装置
DE102012103425A1 (de) * 2012-04-19 2013-10-24 Roth & Rau Ag Mikrowellenplasmaerzeugungsvorrichtung und Verfahren zu deren Betrieb
US9867238B2 (en) 2012-04-26 2018-01-09 Applied Materials, Inc. Apparatus for treating an exhaust gas in a foreline
US20140262033A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Gas sleeve for foreline plasma abatement system
US9622375B2 (en) * 2013-12-31 2017-04-11 Applied Materials, Inc. Electrostatic chuck with external flow adjustments for improved temperature distribution
US9230780B2 (en) * 2014-03-06 2016-01-05 Applied Materials, Inc. Hall effect enhanced capacitively coupled plasma source
US9240308B2 (en) * 2014-03-06 2016-01-19 Applied Materials, Inc. Hall effect enhanced capacitively coupled plasma source, an abatement system, and vacuum processing system

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4572759A (en) * 1984-12-26 1986-02-25 Benzing Technology, Inc. Troide plasma reactor with magnetic enhancement
CN1816383A (zh) * 2003-06-03 2006-08-09 监测仪器有限责任公司 质谱仪和相关的离子发生器及方法
CN101856581A (zh) * 2009-04-02 2010-10-13 澄明科技有限公司 利用磁场的等离子体的控制方法和使用它的排气处理装置
CN102714913A (zh) * 2009-11-18 2012-10-03 应用材料公司 等离子体源的设计
CN102568635A (zh) * 2012-01-01 2012-07-11 徐宽 粒子回旋加速式原子炉

Also Published As

Publication number Publication date
US9552967B2 (en) 2017-01-24
JP2020188010A (ja) 2020-11-19
KR102435471B1 (ko) 2022-08-22
CN106062925A (zh) 2016-10-26
KR20150105250A (ko) 2015-09-16
TW202025860A (zh) 2020-07-01
JP6738742B2 (ja) 2020-08-12
KR20220009485A (ko) 2022-01-24
KR102352727B1 (ko) 2022-01-17
TWI747069B (zh) 2021-11-21
TW201536114A (zh) 2015-09-16
JP7404445B2 (ja) 2023-12-25
TWI679922B (zh) 2019-12-11
CN111508809A (zh) 2020-08-07
TWI806214B (zh) 2023-06-21
US20150255256A1 (en) 2015-09-10
US20170133208A1 (en) 2017-05-11
JP2022140436A (ja) 2022-09-26
TW202209409A (zh) 2022-03-01
JP2017515286A (ja) 2017-06-08
US10176973B2 (en) 2019-01-08
WO2015134157A1 (en) 2015-09-11
JP7091394B2 (ja) 2022-06-27
CN106062925B (zh) 2020-03-10
US20160133442A1 (en) 2016-05-12
US9240308B2 (en) 2016-01-19

Similar Documents

Publication Publication Date Title
CN111508809B (zh) 霍尔效应增强电容耦合等离子体源、消除系统及真空处理系统
US9543124B2 (en) Capacitively coupled plasma source for abating compounds produced in semiconductor processes
US11185815B2 (en) Plasma abatement of compounds containing heavy atoms
US8168128B2 (en) Plasma reactor

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant