KR102435471B1 - 홀 효과 강화 용량성 결합된 플라즈마 소스, 저감 시스템, 및 진공 프로세싱 시스템 - Google Patents

홀 효과 강화 용량성 결합된 플라즈마 소스, 저감 시스템, 및 진공 프로세싱 시스템 Download PDF

Info

Publication number
KR102435471B1
KR102435471B1 KR1020220004706A KR20220004706A KR102435471B1 KR 102435471 B1 KR102435471 B1 KR 102435471B1 KR 1020220004706 A KR1020220004706 A KR 1020220004706A KR 20220004706 A KR20220004706 A KR 20220004706A KR 102435471 B1 KR102435471 B1 KR 102435471B1
Authority
KR
South Korea
Prior art keywords
plate
plasma source
disposed
plasma
vacuum processing
Prior art date
Application number
KR1020220004706A
Other languages
English (en)
Other versions
KR20220009485A (ko
Inventor
마이클 에스. 콕스
롱핑 왕
브라이언 웨스트
로거 엠. 존슨
콜린 존 딕킨슨
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/199,974 external-priority patent/US9230780B2/en
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20220009485A publication Critical patent/KR20220009485A/ko
Application granted granted Critical
Publication of KR102435471B1 publication Critical patent/KR102435471B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • H01J37/32844Treating effluent gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D53/00Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
    • B01D53/32Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols by electrical effects other than those provided for in group B01D61/00
    • B01D53/323Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols by electrical effects other than those provided for in group B01D61/00 by electrostatic effects or by high-voltage electric fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32596Hollow cathodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32669Particular magnets or magnet arrangements for controlling the discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32825Working under atmospheric pressure or higher
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2257/00Components to be removed
    • B01D2257/55Compounds of silicon, phosphorus, germanium or arsenic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2257/00Components to be removed
    • B01D2257/55Compounds of silicon, phosphorus, germanium or arsenic
    • B01D2257/553Compounds comprising hydrogen, e.g. silanes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2257/00Components to be removed
    • B01D2257/55Compounds of silicon, phosphorus, germanium or arsenic
    • B01D2257/556Organic compounds
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2258/00Sources of waste gases
    • B01D2258/02Other waste gases
    • B01D2258/0216Other waste gases from CVD treatment or semi-conductor manufacturing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02CCAPTURE, STORAGE, SEQUESTRATION OR DISPOSAL OF GREENHOUSE GASES [GHG]
    • Y02C20/00Capture or disposal of greenhouse gases
    • Y02C20/30Capture or disposal of greenhouse gases of perfluorocarbons [PFC], hydrofluorocarbons [HFC] or sulfur hexafluoride [SF6]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Treating Waste Gases (AREA)
  • Combustion & Propulsion (AREA)

Abstract

본원에 개시된 실시예들은 반도체 프로세스들에서 생성된 화합물들을 저감하기 위한 저감 시스템을 포함한다. 저감 시스템은, 제 1 플레이트 및 제 1 플레이트에 대해 평행한 제 2 플레이트를 갖는 플라즈마 소스를 포함한다. 제 1 및 제 2 플레이트들 사이에는 전극이 배치되며, 전극을 둘러싸는 외측 벽이 제 1 및 제 2 플레이트들 사이에 배치된다. 플라즈마 소스는 제 1 플레이트 상에 배치된 복수의 제 1 자석들 및 제 2 플레이트 상에 배치된 복수의 제 2 자석들을 갖는다. 복수의 제 1 및 제 2 자석들에 의해 생성된 자기장은 전극과 외측 벽 사이에 생성된 전기장에 대해 실질적으로 직각을 이룬다. 이러한 구성에서, 밀도가 높은 플라즈마가 생성된다.

Description

홀 효과 강화 용량성 결합된 플라즈마 소스, 저감 시스템, 및 진공 프로세싱 시스템{HALL EFFECT ENHANCED CAPACITIVELY COUPLED PLASMA SOURCE, AN ABATEMENT SYSTEM, AND VACUUM PROCESSING SYSTEM}
[0001] 본 개시내용의 실시예들은 일반적으로 반도체 프로세싱 장비에 관한 것이다. 보다 구체적으로, 본 개시내용의 실시예들은 반도체 프로세스들에서 생성된 화합물들을 저감시키기 위한, 플라즈마 소스, 저감 시스템, 및 진공 프로세싱 시스템에 관한 것이다.
[0002] 반도체 프로세싱 설비들에 의해 이용되는 프로세스 가스들은 많은 화합물들을 포함하는데, 이 화합물들은 규제 요건들 및 환경 및 안전 우려들로 인해, 처분하기 전에 저감되거나 처리되어야 한다. 전형적으로, 원격 플라즈마 소스가 프로세싱 챔버에 결합되어, 그 프로세싱 챔버에서 나오는 화합물들을 저감시킬 수 있다. 할로겐 함유 플라즈마 및 가스들이 종종 에칭 또는 세정 프로세스들에서 이용되며, 프로세싱 챔버의 컴포넌트들 및 원격 플라즈마 소스는 할로겐 함유 플라즈마 및 가스들로부터의 부식에 민감하다. 부식은 프로세싱 챔버 컴포넌트들 및 원격 플라즈마 소스의 사용가능 수명을 감소시키며, 부가적으로 프로세싱 환경에 바람직하지 않은 결함들 및 오염물질을 도입한다.
[0003] 따라서, 기술 분야에 요구되는 것은, 반도체 프로세스들에서 생성된 화합물들을 저감시키기 위한, 개선된 플라즈마 소스 및 저감 시스템이다.
[0004] 본원에 개시된 실시예들은 반도체 프로세스들에서 생성된 화합물들을 저감시키기 위한, 플라즈마 소스, 저감 시스템 및 진공 프로세싱 시스템을 포함한다. 일 실시예에서, 플라즈마 소스가 개시된다. 플라즈마 소스는 외측 엣지 및 내측 엣지를 갖는 제 1 플레이트, 제 1 플레이트에 대해 평행한 제 2 플레이트 ― 제 2 플레이트는 외측 엣지 및 내측 엣지를 가짐 ―, 제 1 및 제 2 플레이트들의 외측 엣지들 사이에 배치된 외측 벽, 제 1 및 제 2 플레이트들의 내측 엣지들 사이에 배치된 전극, 제 1 플레이트 상에 배치된 복수의 제 1 자석들, 및 제 2 플레이트 상에 배치된 복수의 제 2 자석들을 포함한다.
[0005] 다른 실시예에서, 저감 시스템이 개시된다. 저감 시스템은 플라즈마 소스를 포함하고, 플라즈마 소스는 제 1 단부 및 제 2 단부를 갖는 본체를 포함하며, 제 1 단부는 포어라인에 결합되도록 구성되고, 제 2 단부는 도관에 결합되도록 구성된다. 플라즈마 소스는 본체 내에 배치된 전극, 본체의 제 1 플레이트 상에 배치된 복수의 제 1 자석들, 및 본체의 제 2 플레이트 상에 배치된 복수의 제 2 자석들을 더 포함한다.
[0006] 다른 실시예에서, 진공 프로세싱 시스템이 개시된다. 진공 프로세싱 시스템은 진공 프로세싱 챔버, 및 플라즈마 소스를 포함하고, 플라즈마 소스는 외측 엣지 및 내측 엣지를 갖는 제 1 플레이트, 제 1 플레이트에 대해 평행한 제 2 플레이트 ― 제 2 플레이트는 외측 엣지 및 내측 엣지를 가짐 ―, 제 1 및 제 2 플레이트들의 외측 엣지들 사이에 배치된 외측 벽, 제 1 및 제 2 플레이트들의 내측 엣지들 사이에 배치된 전극, 제 1 플레이트 상에 배치된 복수의 제 1 자석들, 및 제 2 플레이트 상에 배치된 복수의 제 2 자석들을 포함한다.
[0007] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 전형적인 실시예들을 예시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0008] 도 1a는 플라즈마 소스를 갖는 진공 프로세싱 시스템의 개략적 측면도이다.
[0009] 도 1b는 도 1a의 플라즈마 소스의 단면도이다.
[0010] 도 2a는 플라즈마 소스의 단면 사시도이다.
[0011] 도 2b는 플라즈마 소스의 저면 단면도이다.
[0012] 도 2c는 금속 실드의 확대도이다.
[0013] 도 3은 플라즈마 소스의 사시도이다.
[0014] 도 4는 플라즈마 소스와 연관된 컴포넌트들을 개략적으로 예시한다.
[0015] 도 5는 배출 냉각 장치의 사시도이다.
[0016] 이해를 용이하게 하기 위해, 가능한 한 동일한 참조 번호들을 사용하여, 도면들에 대해 공통적인 동일한 엘리먼트들을 지시하였다. 일 실시예의 엘리먼트들 및 특징들은 추가 설명 없이, 다른 실시예들에 유리하게 포함될 수 있는 것으로 생각된다.
[0017] 도 1a는 저감 시스템(193)에서 이용되는 플라즈마 소스(100)를 갖는 진공 프로세싱 시스템(170)의 개략적 측면도이다. 진공 프로세싱 시스템(170)은, 적어도, 진공 프로세싱 챔버(190) 및 플라즈마 소스(100)를 포함한다. 저감 시스템(193)은 적어도 플라즈마 소스(100)를 포함한다. 일반적으로, 진공 프로세싱 챔버(190)는 적어도 하나의 집적 회로 제조 프로세스, 이를테면 증착 프로세스, 에칭 프로세스, 플라즈마 처리 프로세스, 사전세정 프로세스, 이온 주입 프로세스, 또는 다른 집적 회로 제조 프로세스를 수행하도록 구성된다. 진공 프로세싱 챔버(190)에서 수행되는 프로세스는 플라즈마 보조될(plasma assisted) 수 있다. 예를 들면, 진공 프로세싱 챔버(190) 내에서 수행되는 프로세스는 실리콘 기반 물질을 증착하기 위한 플라즈마 증착 프로세스일 수 있다.
[0018] 진공 프로세싱 챔버(190)는, 포어라인(192)을 통해 저감 시스템(193)의 플라즈마 소스(100)에 결합되는 챔버 배출 포트(191)를 갖는다. 플라즈마 소스(100)의 배출부는, 배출 도관(194)에 의해, 도 1a에서 단일 참조 번호 196으로 개략적으로 지시된, 펌프들 및 설비 배출부에 결합된다. 펌프들은 일반적으로 진공 프로세싱 챔버(190)를 배기하기 위해 이용되는 반면, 설비 배출부는 일반적으로, 진공 프로세싱 챔버(190)의 유출물(effluent)이 대기로 들어가도록 준비하기 위한, 스크러버(scrubber)들 또는 다른 배출 세정 장치를 포함한다.
[0019] 플라즈마 소스(100)를 이용하여, 진공 프로세싱 챔버(190)를 빠져나가는, 가스들 및/또는 다른 물질들에 대한 저감 프로세스를 수행하며, 그에 따라, 그러한 가스들 및/또는 다른 물질들이 보다 환경적으로 및/또는 프로세스 장비 친화적 조성으로 변환될 수 있다. 플라즈마 소스(100)의 세부사항들은 하기에서 더 설명된다.
[0020] 일부 실시예에서, 저감 시약 소스(abating reagent source)(114)가 포어라인(192) 및/또는 플라즈마 소스(100)에 결합된다. 저감 시약 소스(114)는 플라즈마 소스(100) 내로 저감 시약을 제공하며, 저감 시약은 진공 프로세싱 챔버(190)를 빠져나온 물질들과 반응하도록 또는 그렇지 않으면 이러한 물질들을 보다 환경적으로 및/또는 프로세스 장비 친화적 조성으로 변환시키는 것을 돕도록 에너자이징될 수 있다. 선택적으로, 퍼지 가스 소스(115)가 플라즈마 소스(100) 내의 컴포넌트들에 대한 증착을 감소시키기 위해 플라즈마 소스(100)에 결합될 수 있다.
[0021] 플라즈마 소스(100)에서 나오는 배출물(exhuast)의 온도를 낮추기 위해, 배출 냉각 장치(117)가 플라즈마 소스(100)와 배출 도관(194) 사이에 결합될 수 있다. 일 예에서, 배출 냉각 장치(117)는 저감 시스템(193)의 일부이다.
[0022] 선택적으로, 플라즈마 소스(100) 또는 배출 도관(194) 중 적어도 하나에 압력 조절 모듈(182)이 결합될 수 있다. 압력 조절 모듈(182)은, 플라즈마 소스(100) 내의 압력이 보다 잘 제어되도록 허용하고 그에 따라 보다 효율적인 저감 성능을 제공하는, 이를테면 Ar, N, 또는 다른 적합한 가스와 같은 압력 조절 가스를 주입한다. 일 예에서, 압력 조절 모듈(182)은 저감 시스템(193)의 일부이다.
[0023] 도 1b는 일 실시예에 따른 플라즈마 소스(100)의 측면도이다. 플라즈마 소스(100)는 진공 프로세싱 챔버(190)의 하류에 배치될 수 있다. 플라즈마 소스(100) 내에서 생성된 플라즈마는 진공 프로세싱 챔버(190)에서 나오는 유출물 내의 화합물들을, 부분적으로 또는 완전히, 에너자이징하고 그리고/또는 해리하여(dissociate), 유출물 내의 화합물들을 보다 양호한(benign) 형태로 변환시킨다. 일 실시예에서, 밀도가 높은(dense) 플라즈마를 생성하는 능력으로 인해, 플라즈마 소스(100)는 프로세싱 챔버의 상류에 배치되는 원격 플라즈마 소스로서의 역할을 할 수 있으며, 그에 따라 플라즈마의 생성물들, 이를테면 분자 또는 원자 종, 밀도가 높은 플라즈마를 프로세싱 챔버 내로 전달할 수 있다.
[0024] 플라즈마 소스(100)는, 제 1 단부(104) 및 제 2 단부(106)를 갖는 본체(102)를 포함할 수 있다. 제 1 단부(104)는 제 2 단부(106)에 대해 평행할 수 있다. 제 1 단부(104)는, 플랜지를 갖거나 갖지 않고 포어라인(192)에 결합되도록 구성되는 개구(120)를 가질 수 있으며, 그리고 제 2 단부(106)는, 플랜지를 갖거나 갖지 않고 배출 냉각 장치(117)에 결합되도록 구성되는 개구(122)를 가질 수 있다. 플랜지들은 도 3에 관하여 도시되고 하기에서 추가로 설명된다. 본체(102)는 원형, 정사각형, 직사각형, 또는 다른 적합한 형상일 수 있다. 일 실시예에서, 본체(102)는 환상(toroidal) 형상을 갖는다. 본체(102)를 통하여 개구(108)가 형성될 수 있다. 개구(108)는 원형, 정사각형, 직사각형, 또는 다른 적합한 형상일 수 있다. 일 실시예에서, 본체(102)는 환형(annular)이다. 다른 실시예들에서, 본체(102)는 개구(108)를 포함하지 않는다.
[0025] 진공 프로세싱 챔버(190)를 빠져나가는 유출물 내의 부산물들과 같은, 또는 플라즈마 소스(100)가 원격 플라즈마 소스인 예에서는, 원격 플라즈마를 발생시키기 위한 전구체 및/또는 캐리어 가스들과 같은, 가스 혼합물(110)이, 제 1 단부(104)의 개구(120)를 통해 플라즈마 소스(100)로 들어갈 수 있다. 가스 혼합물(110)은 플라즈마 영역(124)에서 형성된 플라즈마에 의해 해리될 수 있고, 저감제들(abating agents)에 의해 처리될 수 있으며, 제 2 단부(106)의 개구(122)를 통해 보다 덜 위험한 물질로서 빠져나갈 수 있다. 가스 혼합물(110)은 개구(108)에 의해 2개의 스트림들(110A 및 110B)로 분할된 후, 본체(102)를 빠져나갈 때, 도 1b에 도시된 경로("A")에 의해 표시된 스트림(110C)으로 결합될 수 있다. 가스 혼합물(110)이, 진공 프로세싱 챔버를 빠져나가는 유출물 내의 부산물들인 경우, 하나 또는 그 초과의 저감제들이, 도 1a에 도시된 저감 시약 소스(114)로부터 플라즈마 소스(100) 내로 도입될 수 있다. 유출물 내의 부산물들은 실리콘, 텅스텐, 티타늄, 또는 알루미늄 함유 물질들을 포함할 수 있다. 본원에 개시된 플라즈마 소스(100)를 이용하여 저감될 수 있는, 유출물 내에 존재하는 실리콘 함유 물질들의 예시들에는, 예를 들면, 실리콘 산화물(SiO), 실리콘 이산화물(SiO2), 실란(SiH4), 디실란, 실리콘 테트라클로라이드(SiCl4), 실리콘 질화물(SiNx), 디클로로실란(SiH2Cl2), 헥사클로로디실란(Si2Cl6), 비스(t-부틸 아미노)실란, 트리실릴아민(trisilylamine), 디실릴메탄(disilylmethane), 트리실릴메탄(trisilylmethane), 테트라실릴메탄(tetrasilylmethane), 테트라에틸 오소실리케이트(TEOS)(Si(OEt)4), 디실록산들(disiloxanes), 이를테면 디실록산(SiH3OSiH3), 트리실록산(SiH3OSiH2OSiH3), 테트라실록산(SiH3OSiH2OSiH2OSiH3), 및 시클로트리실록산(-SiH2OSiH2OSiH2O-)이 포함된다. 본원에 개시된 방법들을 이용하여 저감될 수 있는, 유출물에 존재하는 텅스텐 함유 물질들의 예시들에는, 예를 들면 W(CO)6, WF6, WCl6, 또는 WBr6이 포함된다. 본원에 개시된 방법들을 이용하여 저감될 수 있는, 유출물에 존재하는 티타늄 함유 물질들의 예시들에는, 예를 들면 TiCl4 및 TiBr4이 포함된다. 본원에 개시된 방법들을 이용하여 저감될 수 있는, 유출물에 존재하는 알루미늄 함유 물질들의 예시들에는, 예를 들면 트리메틸 알루미늄이 포함된다.
[0026] 저감제에는, 예를 들면, CH4, H2O, H2, NF3, SF6, F2, HCl, HF, Cl2, HBr, H2, H2O, O2, N2, O3, CO, CO2, NH3, N2O, CH4, 및 이들의 조합들이 포함될 수 있다. 저감제에는 또한 CHxFy와 O2 및/또는 H2O의 조합, 및 CFx와 O2 및/또는 H2O의 조합이 포함될 수 있다. 상이한 조성들을 갖는 유출물에 대해 상이한 저감제가 이용될 수 있다.
[0027] 도 2a는 일 실시예에 따른 플라즈마 소스(100)의 단면 사시도이다. 도 2a에 도시된 바와 같이, 본체(102)는 외측 벽(204), 내측 벽(206), 제 1 플레이트(203) 및 제 2 플레이트(205)를 포함할 수 있다. 제 1 플레이트(203) 및 제 2 플레이트(205)는 링 형상을 가질 수 있으며, 외측 및 내측 벽들(204, 206)은 원통형일 수 있다. 내측 벽(206)은 RF 소스(미도시)에 결합될 수 있는 중공형 전극일 수 있다. 외측 벽(204)은 접지될 수 있다. 제 1 플레이트(203) 및 제 2 플레이트(205)는 내측 벽(206)과 동심일 수 있다. 제 1 플레이트(203)는 외측 엣지(207) 및 내측 엣지(209)를 가질 수 있으며, 제 2 플레이트(205)는 외측 엣지(211) 및 내측 엣지(213)를 가질 수 있다. 외측 벽(204)은 제 1 단부(212) 및 제 2 단부(214)를 가질 수 있으며, 내측 벽(206)은 제 1 단부(216) 및 제 2 단부(218)를 가질 수 있다. 내측 벽(206)의 제 1 단부(216) 근처에 제 1 절연 링(230)이 배치될 수 있고, 내측 벽(206)의 제 2 단부(218) 근처에 제 2 절연 링(232)이 배치될 수 있다. 절연 링들(230, 232)은 절연 세라믹 물질로 제조될 수 있다. 제 1 플레이트(203)의 외측 엣지(207)는 외측 벽(204)의 제 1 단부(212) 근처에 있을 수 있으며, 제 2 플레이트(205)의 외측 엣지(211)는 외측 벽(204)의 제 2 단부(214) 근처에 있을 수 있다. 일 실시예에서, 외측 벽(204)의 단부들(212, 214)은, 각각 외측 엣지들(207, 211)과 접촉해 있다. 제 1 플레이트(203)의 내측 엣지(209)는 제 1 절연 링(230) 근처에 있을 수 있고, 제 2 플레이트(205)의 내측 엣지(213)는 제 2 절연 링(232) 근처에 있을 수 있다. 플라즈마 영역(124)은 외측 벽(204)과 내측 벽(206) 사이에 그리고 제 1 플레이트(203)와 제 2 플레이트(205) 사이에 정의되며, 플라즈마 영역(124) 내에서 용량성 결합된 플라즈마가 형성될 수 있다.
[0028] 동작중에 내측 벽(206)을 저온으로(cool) 유지하기 위해, 내측 벽(206)에 냉각 재킷(220)이 결합될 수 있다. 내측 벽(206)은 외측 벽(204)을 대면하는 제 1 표면(242) 및 제 1 표면에 대향하는 제 2 표면(244)을 가질 수 있다. 일 실시예에서, 양쪽 표면들(242, 244)은 선형적이며, 냉각 재킷(220)은 제 2 표면(244)에 결합된다. 일 실시예에서, 도 2b에 도시된 바와 같이, 제 1 표면(242)은 곡선형이며, 제 2 표면(244)은 선형적이다. 냉각 재킷(220)은 그 내부에 형성된 냉각 채널(208)을 가질 수 있으며, 냉각 채널(208)은 냉각 재킷(220)의 내부로 및 외부로 물과 같은 냉각제(coolant)를 유동시키기 위한, 냉각제 유입구(217) 및 냉각제 배출구(219)에 결합된다. 제 1 플레이트(203) 상에는 복수의 제 1 자석들(210)이 배치될 수 있다. 일 실시예에서, 복수의 제 1 자석들(210)은 자석들의 어레이를 갖는 마그네트론일 수 있으며, 환형 형상을 가질 수 있다. 제 2 플레이트(205) 상에는 복수의 제 2 자석들(240)이 배치되고, 복수의 제 2 자석들(240)은 자석들의 어레이를 갖는 마그네트론일 수 있으며, 복수의 제 1 자석들(210)과 동일한 형상을 가질 수 있다. 일 실시예에서, 복수의 제 2 자석들(240)은 마그네트론이며, 환형 형상을 갖는다. 일 실시예에서, 자석들(210, 240)은 단부들(104, 106) 근처에 형성된 선형 어레이들이다. 자석들(210, 240)은, 플라즈마 영역(124)을 대면하는 반대 극성을 가질 수 있다. 자석들(210, 240)은 네오디뮴 세라믹 자석들과 같은 희토류 자석들일 수 있다. 저감제 및/또는 퍼징 가스를 주입하기 위해, 제 1 플레이트(203) 또는 제 1 및 제 2 플레이트들(203, 205) 상에 하나 또는 그 초과의 가스 주입 포트들(270)이 형성될 수 있다. 퍼지 가스는 (도 2b에 도시된) 실드들(250, 252) 상의 증착을 감소시킬 수 있다. 가스 주입 포트들(270)은 대안적으로 포어라인(192)에 형성될 수 있다.
[0029] 도 2b는 일 실시예에 따른 플라즈마 소스(100)의 저면 단면도이다. 도 2b에 도시된 바와 같이, 내측 벽(206)의 제 1 표면(242)은 그 위에 배치된 복수의 그로브들(groves)(246)을 갖는다. 그로브들(246)은 연속적인 트렌치일 수 있다. 도 2b에 도시된 제 1 표면(242)이 곡선형이라 할지라도, 그로브들(246)은, 도 2a에 도시된 바와 같이 제 1 선형 표면(242) 상에 형성될 수 있다. 작동중에, 내측 벽(206)은 무선 주파수(RF) 전력 소스에 의해 전력공급되고, 외측 벽(204)은 접지되어, 인가되는 전력의 유형, 즉 RF 또는 직류(DC), 또는 그 사이의 어떤(some) 주파수에 따라, 플라즈마 영역(124) 내에 진동하는(oscillating) 또는 일정한 전기장("E")을 형성한다. 바이폴라 DC 및 바이폴라 펄싱 DC 전력이 또한, 내측 및 외측 벽들과 함께 이용되어, 2개의 대향하는 전기적 극들(electrical poles)을 형성할 수 있다. 자석들(210, 240)은, 전기장("E")에 실질적으로 직각을 이루는, 대체로 균일한 자기장("B")을 생성한다. 이러한 구성에서, 결과적인 힘(resulting force)은, 일반적으로 전기장("E")을 따르는 전류가 (지면의 외부의) 단부(106)를 향하여 만곡되게 하며, 이러한 힘은 플라즈마 전자 손실들을 접지된 벽으로 제한함으로써 플라즈마 밀도를 상당히 높인다. RF 전력이 인가된 경우, 이는 접지된 벽으로부터 대체로 멀어지게 지향되는 환형 진동 전류를 초래할 것이다. DC 전력이 인가된 경우, 이는 접지된 벽으로부터 대체로 멀어지게 지향되는 일정한 환형 전류를 초래할 것이다. 인가된 전기장으로부터의 이러한 전류 발산(current divergence) 효과는 "홀 효과(Hall effect)"로 공지되어 있다. 플라즈마 영역(124)에서 형성된 플라즈마는, 제 1 단부(104)의 개구(120)로부터 흘러 들어오는 유출물 내의 부산물들의 적어도 일부를 해리시킨다. 저감제가 또한 주입되어, 해리된 부산물들과 반응하고 덜 위험한 화합물들을 형성할 수 있다. 일 실시예에서, 유출물은 실란을 포함하며, 저감제는, 유출물 내의 실란을 유리로 바꾸는 물 또는 산소일 수 있다.
[0030] 제 1 플레이트(203)에 인접한 플라즈마 영역(124) 내에 제 1 금속 실드(250)가 배치될 수 있고, 제 2 플레이트(205)에 인접한 플라즈마 영역(124) 내에 제 2 금속 실드(252)가 배치될 수 있으며, 외측 벽(204)에 인접한 플라즈마 영역 내에 제 3 금속 실드(259)가 배치될 수 있다. 실드들(250, 252, 259)은, 그 위에 물질들이 증착될 수 있기 때문에, 제거가능하고, 교체가능하고 그리고/또는 재사용가능할 수 있다. 제 1 금속 실드(250) 및 제 2 금속 실드(252)는 유사한 구성을 가질 수 있다. 일 실시예에서, 제 1 금속 실드(250) 및 제 2 금속 실드(252)는 모두 환형 형상을 갖는다. 제 1 금속 실드(250) 및 제 2 금속 실드(252)는 각각, 상호간에 서로 격리되는 금속 플레이트들(254a - 254e)의 스택을 포함한다. 하나 또는 그 초과의 갭들(272)(도 2a에 도시됨)이 각각의 금속 플레이트(254a - 254e)에 형성되어, 금속 플레이트들(254a - 254e)을 변형시키지 않고 팽창을 허용할 수 있다. 도 2c는 일 실시예에 따른 금속 실드(250)의 확대도이다. 명확성을 위해, 플라즈마 소스(100)의 일부 컴포넌트들, 이를테면 하나 또는 그 초과의 가스 주입 포트들(270)은 생략된다. 각각의 플레이트(254a - 254e)는 환형일 수 있으며, 내측 엣지(256) 및 외측 엣지(258)를 가질 수 있다. 금속 플레이트들(254a - 254e)은 실드 표면 방사율(shield surface emissivity)을 변화시키도록 코팅될 수 있다. 코팅은 화학 저항, 복사열 전달, 및 응력 감소를 개선하기 위한 양극 산화 물질(anodizing material)일 수 있다. 일 실시예에서, 금속 플레이트들(254a - 254e)은 흑색 알루미늄 산화물로 코팅된다. 금속 플레이트(254a)의 내측 부분(274)은 아킹(arcing) 방지 및 치수 안정성(dimensional stability)을 위해 세라믹 재료로 제조될 수 있다. 플레이트들(254a - 254e)의 내측 엣지들(256)은 절연 와셔(insulating washer)(260)에 의해 서로 분리되어서, 플레이트들(254a - 254e)이 상호간에 서로 격리된다. 와셔(260)는 또한 제 1 플레이트(203)로부터 플레이트(254e)를 분리시킨다. 금속 플레이트들(254a - 254e)의 스택은 하나 또는 그 초과의 세라믹 로드들 또는 스페이서들(미도시)에 의해 고정될 수 있다. 하나 또는 그 초과의 세라믹 로드들은 와셔들 및 금속 플레이트들(254a - 254e)의 스택을 통과할 수 있으며, 각각의 로드의 일 단부는 내측 벽(206)에 결합되는 반면, 각각의 로드의 타 단부는 제 1/제 2 플레이트(203, 205)에 결합된다.
[0031] 일 실시예에서, 플레이트(254a)의 내측 엣지(256)와 외측 엣지(258) 사이의 거리("D1")는 플레이트(254b)의 내측 엣지(256)와 외측 엣지(258) 사이의 거리("D2")보다 짧고, 거리("D2")는 플레이트(254c)의 내측 엣지(256)와 외측 엣지(258) 사이의 거리("D3")보다 더 짧으며, 거리("D3")는 플레이트(254d)의 내측 엣지(256)와 외측 엣지(258) 사이의 거리("D4")보다 짧으며, 거리("D4")는 플레이트(254e)의 내측 엣지(256)와 외측 엣지(258) 사이의 거리("D5")보다 짧다. 다시 말해, 내측 엣지(256)와 외측 엣지(258) 사이의 거리는 플레이트의 위치에 관련되며, 즉 플라즈마 영역(124)으로부터 추가의 플레이트가 배치될수록, 내측 엣지(256)와 외측 엣지(258) 사이의 거리가 더 커진다. 이러한 구성에서, 내측 벽(206)과 플레이트(254a)의 외측 엣지(258) 사이, 플레이트(254a)의 외측 엣지(258)와 플레이트(254b)의 외측 엣지(258) 사이, 플레이트(254b)의 외측 엣지(258)와 플레이트(254c)의 외측 엣지(258) 사이, 플레이트(254c)의 외측 엣지(258)와 플레이트(254d)의 외측 엣지(258) 사이, 플레이트(254d)의 외측 엣지(258)와 플레이트(254e)의 외측 엣지(258) 사이, 및 플레이트(254e)의 외측 엣지(258)와 외측 벽(204) 사이에 6개의 갭들이 있기 때문에, 내측 벽(206)과 외측 벽(204) 사이의 전기 전압은 6으로 나눠진다. 각각의 갭은 작은 전기 전위를 가져서, 갭에 걸친 전기장은 작으며, 그러한 영역은 점화될(light up) 수 없고 인가된 전력을 취할 수 없으며, 따라서 플라즈마 영역(124) 내로 전력이 들어가게 하고, 플라즈마 영역(124)에서 플라즈마를 생성한다. 전술된 바와 같은 실드들(250, 252)이 없으면, 내측 벽(206)의 제 1 단부(216)와 외측 벽(204)의 제 1 단부(212) 사이에 그리고 내측 벽(206)의 제 2 단부(218)와 외측 벽(204)의 제 2 단부(214) 사이에 국소화된 플라즈마 방전이 존재할 수 있으며, 플라즈마 영역(124)은 플라즈마로 충진되지 못할 수도 있다.
[0032] 금속 플레이트들(254a - 254e) 사이의 공간들은 암 공간(dark space)들일 수 있으며, 이러한 암 공간들은 플레이트들 상에 증착된 물질들로 브릿징되어서 플레이트들이 서로에 대해 단락되게(shorted out)할 수 있다. 이러한 일이 발생하는 것을 방지하기 위해, 일 실시예에서, 각각의 금속 플레이트(254a - 254e)는 단차(step)(262)를 포함하여서, 각각의 금속 플레이트(254a - 254e)의 외측 엣지(258)는 인접하는 플레이트로부터 더 멀리 떨어져 있다. 단차(262)는 외측 엣지(258)가 내측 엣지(256)와 비-선형이 되게 한다. 각각의 단차(262)는 인접하는 금속 플레이트들 사이에 형성된 암 공간(264)을 차폐하여, 암 공간(264) 내에 어떠한 물질도 증착될 수 없다.
[0033] 외측 벽(204), 내측 벽(206), 및 실드들(250, 252, 259)은 모두 금속으로 제조될 수 있는데, 이는 금속이 반도체 프로세스들에서 이용되는 대부분의 화학물질들에 대해 내성이 있기 때문이다. 이용되는 금속의 유형은 플라즈마 소스(100)의 상류의 진공 프로세싱 챔버에서 이용되는 화학물질(chemistry)에 좌우될 수 있다. 일 실시예에서, 염소 기반 화학물질(chlorine based chemistry)이 이용되며, 금속은 스테인리스 스틸, 이를테면 316 스테인리스 스틸일 수 있다. 염소 기반 화학물질 내의 절연 링들(230, 232)은 석영으로 제조될 수 있다. 다른 실시예에서, 불소 기반 화학물질이 이용되며, 금속은 알루미늄일 수 있으며, 절연 링들(230, 232)은 알루미나로 제조될 수 있다. 내측 벽(206)은 양극 산화된 알루미늄 또는 스프레이 코팅된 알루미늄으로 제조될 수 있다.
[0034] 일 예에서, 플라즈마 소스는, 제 1 단부 및 제 2 단부를 갖는 원통형 전극, 및 원통형 전극을 둘러싸는 외측 원통형 벽을 포함하며, 외측 원통형 벽은 제 1 단부 및 제 2 단부를 갖는다. 플라즈마 소스는, 내측 엣지 및 외측 엣지를 갖는 제 1 환형 플레이트를 더 포함하고, 내측 엣지는 원통형 전극의 제 1 단부에 근접해 있고, 외측 엣지는 외측 원통형 벽의 제 1 단부에 인접해 있다. 플라즈마 소스는, 내측 엣지 및 외측 엣지를 갖는 제 2 환형 플레이트를 더 포함하고, 내측 엣지는 원통형 전극의 제 2 단부에 근접해 있고, 외측 엣지는 외측 원통형 벽의 제 2 단부에 인접해 있다. 플라즈마 영역은 원통형 전극, 외측 원통형 벽, 제 1 환형 플레이트, 및 제 2 환형 플레이트에 의해 정의된다. 플라즈마 소스는 제 1 환형 플레이트 상에 배치된 복수의 제 1 자석들 및 제 2 환형 플레이트 상에 배치된 복수의 제 2 자석들을 더 포함한다.
[0035] 다른 예시에서, 플라즈마 소스는 외측 엣지 및 내측 엣지를 갖는 제 1 환형 플레이트, 및 제 1 환형 플레이트에 대해 평행한 제 2 환형 플레이트 ― 제 2 환형 플레이트는 외측 엣지 및 내측 엣지를 가짐 ― 를 포함하고, 그리고 제 1 환형 플레이트는 제 2 환형 플레이트를 대면하는 표면을 가지며, 제 2 환형 플레이트는 제 1 환형 플레이트를 대면하는 표면을 갖는다. 플라즈마 소스는 제 1 및 제 2 환형 플레이트들의 외측 엣지들 사이에 배치된 외측 원통형 벽, 제 1 및 제 2 환형 플레이트들의 내측 엣지들 사이에 배치된 원통형 전극, 제 1 환형 플레이트의 표면 근처에 배치된 제 1 실드, 및 제 2 환형 플레이트의 표면 근처에 배치된 제 2 실드를 더 포함한다.
[0036] 도 3은 플라즈마 소스(100)의 사시도이다. 유입구 플랜지(302) 및 배출구 플랜지(304)는, 플라즈마 소스(100)의 제 1 단부(104) 및 제 2 단부(106)에 각각 결합될 수 있다. 도 1a에 도시된 바와 같이, 유입구 플랜지(302)는 포어라인(192)에 결합될 수 있고, 제 2 플랜지(304)는 배출 냉각 장치(117)에 결합될 수 있다. 플랜지들(302, 304)은, 임의의 적합한 방법에 의해 플라즈마 소스(100)의 제 1 단부(104) 및 제 2 단부(106)에 각각 결합될 수 있다. RF 매치(미도시)를 둘러싸기 위해 플라즈마 소스(100) 상에 박스(306)가 배치될 수 있다.
[0037] 도 4는 플라즈마 소스(100)와 연관된 컴포넌트들을 개략적으로 예시한다. 랙(400), 또는 다른 컨테이너/지지 구조물이 AC 분배 박스(402), RF 발생기(404), 및 제어기(406)를 포함할 수 있다. AC 분배 박스(402)는 RF 발생기(404) 및 제어기(406)에 전력을 피딩한다. RF 발생기(404)는, RF 매치를 통해 플라즈마 소스(100)에 공급될 수 있는 RF 전력을 발생시킨다. 제어기(406)는 반도체 제조 툴 또는 반도체 제조 플랜트와 통신하며, RF 발생기(404) 및 프로세스 가스들을 제어한다.
[0038] 도 5는 배출 냉각 장치(117)의 사시도이다. 플라즈마 소스(100) 내의 에너자이징된 유출물은, 제 2 단부(106)를 통해 플라즈마 소스(100)를 빠져나갈 때 재결합될 수 있으며, 재결합 반응은 에너지를 방출하고, 플라즈마 소스(100)를 빠져나가는 유출물의 온도가 증가되게 한다. 150℃ 초과와 같이 상승된 온도를 갖는 유출물은 펌프들(196)을 손상시킬 수 있다. 상승된 온도를 갖는 유출물을 냉각시키기 위해, 배출 냉각 장치(117)가 플라즈마 소스(100)의 제 2 단부(106)에 결합될 수 있다. 대안적으로, 배출 냉각 장치(117)는, 플라즈마 소스(100)의 하류의 그리고 압력 조절 모듈(182)의 상류의 배출 도관(194)에 결합될 수 있다. 배출 냉각 장치(117)는 플랜지(304)에 결합하기 위한 제 1 단부(502) 및 배출 도관(194)에 결합하기 위한 제 2 단부(504)를 포함할 수 있다. 제 1 단부(502)와 제 2 단부(504) 사이에는 공동(505)이 형성될 수 있으며, 공동(505) 내에는 냉각 플레이트(506)가 배치될 수 있다. 냉각 플레이트(506)는 그 내부에 형성된 냉각 채널(미도시)을 포함할 수 있으며, 냉각 플레이트(506) 상에는 냉각제 유입구(508) 및 냉각제 배출구(510)가 배치될 수 있다. 물과 같은 냉각제가 냉각제 유입구(508)로부터 냉각 채널 내로 그리고 냉각제 배출구(510)의 외부로 유동될 수 있다. 고온 유출물이 통과하도록, 냉각 플레이트에 복수의 홀들(512)이 형성될 수 있다. 홀들(512)의 직경들은 충분히 커서, 압력 증강(build-up)이 최소이거나 전혀 없을 수 있다. 일 실시예에서, 홀들(512)은 각각 약 0.5 인치의 직경을 가지며, 압력 제한은 약 100 mTorr 미만이다.
[0039] 전술한 내용은 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 기본 범위로부터 벗어나지 않고 다른 및 추가의 실시예들이 안출될 수 있으며, 본 개시내용의 범위는 하기의 청구항들에 의해 결정된다.

Claims (20)

  1. 저감 시스템(abatement system)으로서:
    플라즈마 소스로서, 상기 플라즈마 소스는,
    제 1 단부 및 제 2 단부를 갖는 본체 ― 상기 제 1 단부는 포어라인에 커플링되도록 구성됨 ―;
    상기 본체에 배치된 전극을 포함하는 것인, 플라즈마 소스;
    배출 도관; 및
    상기 플라즈마 소스와 상기 배출 도관 사이에 커플링되는 배출 냉각 장치를 포함하는,
    저감 시스템.
  2. 제 1 항에 있어서,
    상기 플라즈마 소스의 본체는:
    제 1 플레이트; 및
    제 2 플레이트를 더 포함하고, 상기 제 1 플레이트는 상기 제 2 플레이트에 평행한 것인,
    저감 시스템.
  3. 제 2 항에 있어서,
    상기 플라즈마 소스는:
    상기 본체의 제 1 플레이트 상에 배치된 제 1 복수의 자석들; 및
    상기 본체의 제 2 플레이트 상에 배치된 제 2 복수의 자석들을 더 포함하는 것인,
    저감 시스템.
  4. 제 2 항에 있어서,
    상기 플라즈마 소스는:
    상기 제 1 플레이트에 인접하게 배치된 제 1 실드; 및
    상기 제 2 플레이트에 인접하게 배치된 제 2 실드를 더 포함하는 것인,
    저감 시스템.
  5. 제 4 항에 있어서,
    상기 제 1 실드 및 상기 제 2 실드는 각각 플레이트들의 스택(stack)을 포함하는 것인,
    저감 시스템.
  6. 제 5 항에 있어서,
    상기 제 1 및 제2 실드들의 플레이트들은 환형이고 각각의 플레이트는 내측 에지 및 외측 에지를 가지며, 각각의 플레이트는 상기 내측 에지와 상기 외측 에지 사이에서 상이한 거리를 가지는 것인,
    저감 시스템.
  7. 제 1 항에 있어서,
    상기 배출 냉각 장치는:
    상기 플라즈마 소스에 커플링되도록 구성된 제 1 단부;
    도관에 커플링되도록 구성된 제 2 단부 ― 상기 제 1 단부와 상기 제 2 단부 사이에 공동이 형성됨 ―; 및
    상기 공동에 배치된 냉각 플레이트를 포함하는 것인,
    저감 시스템.
  8. 제 7 항에 있어서,
    상기 냉각 플레이트는 복수의 홀들(holes)을 포함하는 것인,
    저감 시스템.
  9. 진공 프로세싱 시스템으로서,
    진공 플라즈마 프로세싱 챔버;
    포어라인을 통해 상기 진공 플라즈마 프로세싱 챔버에 커플링되는 플라즈마 소스로서, 상기 플라즈마 소스는,
    제 1 단부 및 제 2 단부를 가지는 본체 ― 상기 제 1 단부는 포어라인에 커플링되도록 구성됨 ―;
    상기 본체에 배치된 전극을 포함하는 것인, 플라즈마 소스;
    배출 도관; 및
    상기 플라즈마 소스와 상기 배출 도관 사이에 커플링되는 배출 냉각 장치를 포함하는,
    진공 프로세싱 시스템.
  10. 제 9 항에 있어서,
    상기 포어라인 및/또는 상기 플라즈마 소스에 커플링되는 저감 시약 소스(abatement reagent source)를 더 포함하는,
    진공 프로세싱 시스템.
  11. 제 9 항에 있어서,
    상기 배출 냉각 장치에 커플링되는 배출 도관에 커플링된 압력 조절 모듈을 더 포함하는,
    진공 프로세싱 시스템.
  12. 제 9 항에 있어서,
    상기 플라즈마 소스의 상기 본체는:
    제 1 플레이트; 및
    제 2 플레이트를 더 포함하고, 상기 제 1 플레이트는 상기 제 2 플레이트에 평행한 것인,
    진공 프로세싱 시스템.
  13. 제 12 항에 있어서,
    상기 플라즈마 소스는:
    상기 본체의 제 1 플레이트 상에 배치된 제 1 복수의 자석들; 및
    상기 본체의 제 2 플레이트 상에 배치된 제 2 복수의 자석들을 더 포함하는 것인,
    진공 프로세싱 시스템.
  14. 제 12 항에 있어서,
    상기 플라즈마 소스는:
    상기 제 1 플레이트에 인접하게 배치된 제 1 실드; 및
    상기 제 2 플레이트에 인접하게 배치된 제 2 실드를 더 포함하는 것인,
    진공 프로세싱 시스템.
  15. 제 9 항에 있어서,
    상기 배출 냉각 장치는:
    상기 플라즈마 소스에 커플링되도록 구성된 제 1 단부;
    도관에 커플링되도록 구성된 제 2 단부 ― 상기 제 1 단부와 상기 제 2 단부 사이에 공동이 형성됨 ―; 및
    상기 공동에 배치된 냉각 플레이트를 포함하는 것인,
    진공 프로세싱 시스템.
  16. 제 15 항에 있어서,
    상기 냉각 플레이트는 복수의 홀들을 포함하는 것인,
    진공 프로세싱 시스템.
  17. 플라즈마 소스로서:
    외측 엣지 및 내측 엣지를 갖는 제 1 플레이트;
    상기 제 1 플레이트에 대해 평행한 제 2 플레이트 ― 상기 제 2 플레이트는 외측 엣지 및 내측 엣지를 가짐 ―;
    상기 제 1 및 제 2 플레이트들의 외측 엣지들 사이에 배치된 외측 벽;
    상기 제 1 및 제 2 플레이트들의 내측 엣지들 사이에 배치된 전극 ― 플라즈마 영역이 상기 전극, 상기 외측 벽, 상기 제 1 플레이트 및 상기 제 2 플레이트에 의해 규정됨 ―;
    상기 플라즈마 영역에서 상기 제 1 플레이트에 인접하게 배치된 제 1 실드 ― 상기 제 1 실드는 상기 제 1 플레이트와 접촉하지 않음 ―; 및
    상기 플라즈마 영역에서 상기 제 2 플레이트에 인접하게 배치된 제 2 실드 ― 상기 제 2 실드는 상기 제 2 플레이트와 접촉하지 않음 ― 를 포함하는,
    플라즈마 소스.
  18. 플라즈마 소스로서:
    외측 엣지 및 내측 엣지를 갖는 제 1 환형 플레이트;
    상기 제 1 환형 플레이트에 대해 평행한 제 2 환형 플레이트 ― 상기 제 2 환형 플레이트는 외측 엣지 및 내측 엣지를 가짐 ―;
    상기 제 1 및 제 2 환형 플레이트들의 외측 엣지들 사이에 배치된 외측 원통형 벽;
    상기 제 1 및 제 2 환형 플레이트들의 내측 엣지들 사이에 배치된 원통형 전극 ― 플라즈마 영역이 상기 원통형 전극, 상기 외측 원통형 벽, 상기 제 1 환형 플레이트 및 상기 제 2 환형 플레이트에 의해 규정됨 ―;
    상기 플라즈마 영역에서 상기 제 1 환형 플레이트에 인접하게 배치된 제 1 실드 ― 상기 제 1 실드는 상기 제 1 환형 플레이트와 접촉하지 않음 ―; 및
    상기 플라즈마 영역에서 상기 제 2 환형 플레이트에 인접하게 배치된 제 2 실드 ― 상기 제 2 실드는 상기 제 2 환형 플레이트와 접촉하지 않음 ― 를 포함하는,
    플라즈마 소스.
  19. 조성물(composition)을 냉각하는 방법으로서,
    플라즈마 소스의 본체에 배치된 전극에 RF 전력을 인가함으로써 플라즈마 소스의 저감제(abating agent)를 에너자이징(energizing)하는 단계 ― 상기 플라즈마 소스는 진공 처리 챔버의 하류에 배치됨 ―;
    에너자이징된 저감제를 상기 진공 처리 챔버를 빠져나가는 가스들과 반응시켜 조성물을 형성하는 단계; 및
    배출 냉각 장치를 통해 상기 조성물을 유동시키는 단계를 포함하고,
    상기 배출 냉각 장치는 제 1 단부, 제 2 단부, 및 상기 제 1 단부와 상기 제 2 단부 사이에 배치된 냉각 플레이트를 포함하고, 상기 냉각 플레이트는 복수의 홀들 및 상기 제 1 단부로부터 상기 제 2 단부까지 연장하는 축에 실질적으로 수직인 주 표면을 포함하는 것인,
    조성물을 냉각하는 방법.
  20. 조성물을 냉각하는 방법으로서,
    플라즈마 소스의 본체에 배치된 전극에 RF 전력을 인가함으로써 플라즈마 소스의 저감제를 에너자이징하는 단계 ― 상기 플라즈마 소스는 진공 처리 챔버의 하류에 배치됨 ―;
    에너자이징된 저감제를 상기 진공 처리 챔버를 빠져나가는 가스들과 반응시켜 조성물을 형성하는 단계; 및
    배출 냉각 장치를 통해 상기 조성물을 유동시키는 단계를 포함하고,
    상기 배출 냉각 장치는:
    제 1 단부;
    제 2 단부 ― 상기 제 1 단부와 상기 제 2 단부 사이에 공동이 형성됨 ―; 및
    상기 공동에 배치된 냉각 플레이트를 포함하고, 상기 냉각 플레이트는 복수의 홀들 및 상기 제 1 단부로부터 상기 제 2 단부까지 연장하는 축에 실질적으로 수직인 주 표면을 포함하고, 상기 조성물은 상기 복수의 홀들을 통해 유동되는 것인,
    조성물을 냉각하는 방법.
KR1020220004706A 2014-03-06 2022-01-12 홀 효과 강화 용량성 결합된 플라즈마 소스, 저감 시스템, 및 진공 프로세싱 시스템 KR102435471B1 (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US14/199,974 2014-03-06
US14/199,974 US9230780B2 (en) 2014-03-06 2014-03-06 Hall effect enhanced capacitively coupled plasma source
US201462050555P 2014-09-15 2014-09-15
US62/050,555 2014-09-15
US14/498,920 2014-09-26
US14/498,920 US9240308B2 (en) 2014-03-06 2014-09-26 Hall effect enhanced capacitively coupled plasma source, an abatement system, and vacuum processing system
KR1020150031628A KR102352727B1 (ko) 2014-03-06 2015-03-06 홀 효과 강화 용량성 결합된 플라즈마 소스, 저감 시스템, 및 진공 프로세싱 시스템

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020150031628A Division KR102352727B1 (ko) 2014-03-06 2015-03-06 홀 효과 강화 용량성 결합된 플라즈마 소스, 저감 시스템, 및 진공 프로세싱 시스템

Publications (2)

Publication Number Publication Date
KR20220009485A KR20220009485A (ko) 2022-01-24
KR102435471B1 true KR102435471B1 (ko) 2022-08-22

Family

ID=54018050

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020150031628A KR102352727B1 (ko) 2014-03-06 2015-03-06 홀 효과 강화 용량성 결합된 플라즈마 소스, 저감 시스템, 및 진공 프로세싱 시스템
KR1020220004706A KR102435471B1 (ko) 2014-03-06 2022-01-12 홀 효과 강화 용량성 결합된 플라즈마 소스, 저감 시스템, 및 진공 프로세싱 시스템

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020150031628A KR102352727B1 (ko) 2014-03-06 2015-03-06 홀 효과 강화 용량성 결합된 플라즈마 소스, 저감 시스템, 및 진공 프로세싱 시스템

Country Status (6)

Country Link
US (3) US9240308B2 (ko)
JP (3) JP6738742B2 (ko)
KR (2) KR102352727B1 (ko)
CN (2) CN106062925B (ko)
TW (3) TWI679922B (ko)
WO (1) WO2015134157A1 (ko)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9240308B2 (en) 2014-03-06 2016-01-19 Applied Materials, Inc. Hall effect enhanced capacitively coupled plasma source, an abatement system, and vacuum processing system
US9230780B2 (en) * 2014-03-06 2016-01-05 Applied Materials, Inc. Hall effect enhanced capacitively coupled plasma source
US10187966B2 (en) * 2015-07-24 2019-01-22 Applied Materials, Inc. Method and apparatus for gas abatement
CN108780733A (zh) * 2016-02-01 2018-11-09 雷特罗萨米科技有限责任公司 用于过程反应室的排气通道的清洁装置
CN108701583B (zh) * 2016-04-13 2023-12-01 应用材料公司 用于排气冷却的设备
US11332824B2 (en) * 2016-09-13 2022-05-17 Lam Research Corporation Systems and methods for reducing effluent build-up in a pumping exhaust system
US10435787B2 (en) 2016-11-14 2019-10-08 Applied Materials, Inc. Hydrogen partial pressure control in a vacuum process chamber
KR102185315B1 (ko) * 2016-12-09 2020-12-01 어플라이드 머티어리얼스, 인코포레이티드 포어라인 고체 형성 정량화를 위한 수정 진동자 마이크로밸런스 활용
US10777394B2 (en) 2016-12-09 2020-09-15 Applied Materials, Inc. Virtual sensor for chamber cleaning endpoint
JP6918146B2 (ja) * 2017-05-19 2021-08-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 液体および固体の排出物を収集して後に反応させて気体の排出物にする装置
KR102017811B1 (ko) * 2017-08-18 2019-09-03 주식회사 뉴파워 프라즈마 배기가스 처리를 위한 플라즈마 챔버
CN107578977A (zh) * 2017-09-27 2018-01-12 北京北方华创微电子装备有限公司 反应腔室以及电容耦合等离子体设备
US10889891B2 (en) * 2018-05-04 2021-01-12 Applied Materials, Inc. Apparatus for gaseous byproduct abatement and foreline cleaning
US11221182B2 (en) 2018-07-31 2022-01-11 Applied Materials, Inc. Apparatus with multistaged cooling
WO2020123050A1 (en) 2018-12-13 2020-06-18 Applied Materials, Inc. Heat exchanger with multi stag ed cooling
WO2020172179A1 (en) 2019-02-22 2020-08-27 Applied Materials, Inc. Reduction of br2 and cl2 in semiconductor processes
WO2021149212A1 (ja) * 2020-01-23 2021-07-29 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理装置の運転方法
US11875974B2 (en) * 2020-05-30 2024-01-16 Preservation Tech, LLC Multi-channel plasma reaction cell
TWI801058B (zh) * 2021-12-23 2023-05-01 明遠精密科技股份有限公司 一種複合式電漿源及其運作方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000026971A (ja) * 1998-07-10 2000-01-25 Kokusai Electric Co Ltd Cvd装置の排ガス処理装置
JP2003197616A (ja) * 2001-12-27 2003-07-11 Hitachi Ltd 半導体装置の製造装置および半導体装置の製造方法
JP2009231589A (ja) * 2008-03-24 2009-10-08 Ulvac Japan Ltd 反応性イオンエッチング装置
JP2010504847A (ja) * 2004-12-03 2010-02-18 エドワーズ・バキューム・インコーポレーテッド 真空排気装置

Family Cites Families (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5575905A (en) * 1978-11-30 1980-06-07 Takaoka Ind Ltd Ozone generating tube
US4572759A (en) * 1984-12-26 1986-02-25 Benzing Technology, Inc. Troide plasma reactor with magnetic enhancement
JPH04251922A (ja) * 1991-01-09 1992-09-08 Fujitsu Ltd マグネトロン反応性イオンエッチング装置
JPH05196300A (ja) * 1992-01-21 1993-08-06 Sekisui Chem Co Ltd 電熱変換器
JP2524461B2 (ja) * 1992-03-18 1996-08-14 インターナショナル・ビジネス・マシーンズ・コーポレイション 高密度プラズマ処理装置
JP2900713B2 (ja) * 1992-07-23 1999-06-02 日新電機株式会社 プラズマcvd装置
JPH0737537A (ja) * 1993-07-27 1995-02-07 Tatsuo Shiyouji 線状荷電粒子ビーム発生装置
US5453125A (en) 1994-02-17 1995-09-26 Krogh; Ole D. ECR plasma source for gas abatement
JP2604684B2 (ja) * 1994-02-22 1997-04-30 木下 治久 プラズマプロセス装置
US5427747A (en) * 1994-06-08 1995-06-27 Lockheed Idaho Technologies Company Method and apparatus for producing oxygenates from hydrocarbons
US6888040B1 (en) 1996-06-28 2005-05-03 Lam Research Corporation Method and apparatus for abatement of reaction products from a vacuum processing chamber
US6150628A (en) * 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
WO2000007215A2 (en) * 1998-07-29 2000-02-10 Applied Materials, Inc. A method of allowing a stable power transmission into a plasma processing chamber
JP3513463B2 (ja) * 1999-04-16 2004-03-31 三菱重工業株式会社 有害成分分解装置及びそれを用いた排ガス浄化装置
SE516336C2 (sv) * 1999-04-28 2001-12-17 Hana Barankova Apparat för plasmabehandling av ytor
US6740853B1 (en) * 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
EP1252358A4 (en) * 1999-11-19 2008-02-13 Nano Scale Surface Systems Inc SYSTEM AND METHOD FOR SEPARATING INORGANIC / ORGANIC DIELECTRIC FILMS
US6291938B1 (en) 1999-12-31 2001-09-18 Litmas, Inc. Methods and apparatus for igniting and sustaining inductively coupled plasma
US6156667A (en) 1999-12-31 2000-12-05 Litmas, Inc. Methods and apparatus for plasma processing
JP2002064064A (ja) * 2000-08-21 2002-02-28 Hitachi Kokusai Electric Inc プラズマ処理装置
US6514870B2 (en) * 2001-01-26 2003-02-04 Applied Materials, Inc. In situ wafer heat for reduced backside contamination
JP2002273168A (ja) * 2001-03-15 2002-09-24 Alpha Tekku:Kk 除害装置及び除害方法
JP2003086575A (ja) * 2001-09-10 2003-03-20 Hitachi Ltd プラズマ処理装置と金属面シールド方法
US6855906B2 (en) * 2001-10-16 2005-02-15 Adam Alexander Brailove Induction plasma reactor
JP2003245520A (ja) * 2002-02-26 2003-09-02 Seiko Epson Corp Pfc分解方法、pfc分解装置及び半導体装置の製造方法
JP2003282465A (ja) * 2002-03-26 2003-10-03 Hitachi Ltd 半導体装置の製造方法
US6707051B2 (en) * 2002-07-10 2004-03-16 Wintek Corporation RF loaded line type capacitive plasma source for broad range of operating gas pressure
JP2004063866A (ja) * 2002-07-30 2004-02-26 Nec Kansai Ltd 排気ガス処理装置
JP4180896B2 (ja) * 2002-12-03 2008-11-12 キヤノンアネルバ株式会社 プラズマ処理装置
JP2004241472A (ja) * 2003-02-04 2004-08-26 Seiko Epson Corp ガス処理装置、ガス処理方法および半導体装置の製造方法
US6872909B2 (en) * 2003-04-16 2005-03-29 Applied Science And Technology, Inc. Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel
US6815674B1 (en) 2003-06-03 2004-11-09 Monitor Instruments Company, Llc Mass spectrometer and related ionizer and methods
JP2005000193A (ja) * 2003-06-09 2005-01-06 Metocean Environment Inc 有害物質の浄化処理装置及び方法
EP1649923A4 (en) * 2003-06-17 2007-05-16 Nittetsu Mining Co Ltd GAS TREATMENT METHOD AND GAS TREATMENT DEVICE USING AN OXIDATION CATALYST AND LOW TEMPERATURE PLASMA
JP3982474B2 (ja) * 2003-09-26 2007-09-26 松下電器産業株式会社 真空処理装置用の防着板及び真空処理装置
JP2006314869A (ja) * 2005-05-10 2006-11-24 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 半導体プロセスチャンバからの排ガスを除害するためのシステム
GB2444655A (en) * 2005-07-29 2008-06-11 Univ Delaware Hollow cathode plasma source for bio and chemical decontamination of air and surfaces
GB0516695D0 (en) * 2005-08-15 2005-09-21 Boc Group Plc Microwave plasma reactor
GB0522088D0 (en) * 2005-10-28 2005-12-07 Boc Group Plc Plasma abatement device
JP5036354B2 (ja) * 2006-04-04 2012-09-26 東京エレクトロン株式会社 成膜装置の排気系構造、成膜装置、および排ガスの処理方法
US8932430B2 (en) 2011-05-06 2015-01-13 Axcelis Technologies, Inc. RF coupled plasma abatement system comprising an integrated power oscillator
GB0714025D0 (en) * 2007-07-19 2007-08-29 Edwards Ltd Plasma reactor
JP5410950B2 (ja) * 2009-01-15 2014-02-05 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP4955027B2 (ja) * 2009-04-02 2012-06-20 クリーン・テクノロジー株式会社 排ガス処理装置における磁場によるプラズマの制御方法
JP2011034705A (ja) * 2009-07-30 2011-02-17 Canon Anelva Corp プラズマ処理装置
KR20110029500A (ko) * 2009-09-15 2011-03-23 삼성에스디아이 주식회사 플라즈마 성막 장치
EP2312612B1 (en) * 2009-10-16 2017-03-08 Korea Institute Of Machinery & Materials Plasma reactor for abating hazardous materials and driving method thereof
US8742665B2 (en) * 2009-11-18 2014-06-03 Applied Materials, Inc. Plasma source design
US8771538B2 (en) 2009-11-18 2014-07-08 Applied Materials, Inc. Plasma source design
US8747762B2 (en) 2009-12-03 2014-06-10 Applied Materials, Inc. Methods and apparatus for treating exhaust gas in a processing system
US8987678B2 (en) * 2009-12-30 2015-03-24 Fei Company Encapsulation of electrodes in solid media
US20110204023A1 (en) * 2010-02-22 2011-08-25 No-Hyun Huh Multi inductively coupled plasma reactor and method thereof
US8508134B2 (en) * 2010-07-29 2013-08-13 Evgeny Vitalievich Klyuev Hall-current ion source with improved ion beam energy distribution
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
KR20130025224A (ko) * 2011-09-01 2013-03-11 한국기계연구원 고밀도 플라즈마를 이용한 증착 장치 및 방법
KR102016190B1 (ko) * 2011-11-17 2019-10-21 램 리써치 코포레이션 분포된 다중존 플라즈마 소스 시스템들, 방법들 및 장치
WO2013076966A1 (ja) * 2011-11-22 2013-05-30 株式会社神戸製鋼所 プラズマ発生源及びこれを備えた真空プラズマ処理装置
CN102568635A (zh) * 2012-01-01 2012-07-11 徐宽 粒子回旋加速式原子炉
DE102012103425A1 (de) * 2012-04-19 2013-10-24 Roth & Rau Ag Mikrowellenplasmaerzeugungsvorrichtung und Verfahren zu deren Betrieb
US9867238B2 (en) 2012-04-26 2018-01-09 Applied Materials, Inc. Apparatus for treating an exhaust gas in a foreline
US20140262033A1 (en) 2013-03-13 2014-09-18 Applied Materials, Inc. Gas sleeve for foreline plasma abatement system
US9622375B2 (en) * 2013-12-31 2017-04-11 Applied Materials, Inc. Electrostatic chuck with external flow adjustments for improved temperature distribution
US9230780B2 (en) * 2014-03-06 2016-01-05 Applied Materials, Inc. Hall effect enhanced capacitively coupled plasma source
US9240308B2 (en) * 2014-03-06 2016-01-19 Applied Materials, Inc. Hall effect enhanced capacitively coupled plasma source, an abatement system, and vacuum processing system

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000026971A (ja) * 1998-07-10 2000-01-25 Kokusai Electric Co Ltd Cvd装置の排ガス処理装置
JP2003197616A (ja) * 2001-12-27 2003-07-11 Hitachi Ltd 半導体装置の製造装置および半導体装置の製造方法
JP2010504847A (ja) * 2004-12-03 2010-02-18 エドワーズ・バキューム・インコーポレーテッド 真空排気装置
JP2009231589A (ja) * 2008-03-24 2009-10-08 Ulvac Japan Ltd 反応性イオンエッチング装置

Also Published As

Publication number Publication date
JP2020188010A (ja) 2020-11-19
TW202025860A (zh) 2020-07-01
TWI747069B (zh) 2021-11-21
TWI679922B (zh) 2019-12-11
JP2017515286A (ja) 2017-06-08
US10176973B2 (en) 2019-01-08
TWI806214B (zh) 2023-06-21
JP7404445B2 (ja) 2023-12-25
KR20220009485A (ko) 2022-01-24
JP6738742B2 (ja) 2020-08-12
CN111508809A (zh) 2020-08-07
CN106062925B (zh) 2020-03-10
KR20150105250A (ko) 2015-09-16
US9552967B2 (en) 2017-01-24
JP2022140436A (ja) 2022-09-26
US20160133442A1 (en) 2016-05-12
TW202209409A (zh) 2022-03-01
WO2015134157A1 (en) 2015-09-11
CN106062925A (zh) 2016-10-26
US9240308B2 (en) 2016-01-19
CN111508809B (zh) 2023-04-28
KR102352727B1 (ko) 2022-01-17
JP7091394B2 (ja) 2022-06-27
US20150255256A1 (en) 2015-09-10
TW201536114A (zh) 2015-09-16
US20170133208A1 (en) 2017-05-11

Similar Documents

Publication Publication Date Title
KR102435471B1 (ko) 홀 효과 강화 용량성 결합된 플라즈마 소스, 저감 시스템, 및 진공 프로세싱 시스템
KR102111914B1 (ko) 중원자들을 함유하는 화합물들의 플라즈마 저감
US9543124B2 (en) Capacitively coupled plasma source for abating compounds produced in semiconductor processes
KR101655900B1 (ko) 진공펌프와 배기부 세정 및 pfc 제거를 위한 융합형 플라즈마 정화장치

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant