JP5283673B2 - 半導体装置の製造方法、成膜方法および基板処理装置 - Google Patents

半導体装置の製造方法、成膜方法および基板処理装置 Download PDF

Info

Publication number
JP5283673B2
JP5283673B2 JP2010206362A JP2010206362A JP5283673B2 JP 5283673 B2 JP5283673 B2 JP 5283673B2 JP 2010206362 A JP2010206362 A JP 2010206362A JP 2010206362 A JP2010206362 A JP 2010206362A JP 5283673 B2 JP5283673 B2 JP 5283673B2
Authority
JP
Japan
Prior art keywords
reaction chamber
gas
process gas
substrate
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2010206362A
Other languages
English (en)
Other versions
JP2010283388A (ja
Inventor
和幸 奥田
泰志 八木
徹 加賀谷
正憲 境
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Priority to JP2010206362A priority Critical patent/JP5283673B2/ja
Publication of JP2010283388A publication Critical patent/JP2010283388A/ja
Application granted granted Critical
Publication of JP5283673B2 publication Critical patent/JP5283673B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

本発明は半導体装置の製造方法、成膜方法および基板処理装置に係り、特に成膜に寄与する複数種類のガスを交互に流して、積層された基板上に成膜する工程を備える半導体装置の製造方法、成膜方法および当該方法に好適に使用される基板処理装置に関するものである。
図7は、成膜に寄与する複数種類のガスを交互に流して成膜を行なう半導体装置製造装置の従来例である原子層成膜装置(以下、単にALD(Atomic Layer Deposition)装置という)を示す。これは、例えば成膜に寄与する2種のプロセスガスa、bを反応室1内に交互に供給しつつ排気して、反応室1内の基板上に吸着、反応させることにより成膜するものである。この場合、プロセスガスa、bのガス供給量はガス供給配管7、8に設けたマスフローコントローラ(MFC)2、3による流量制御によって行なっている。また、反応室1内の圧力は排気配管9に設けた排気バルブ6の開度調整により排気量を制御することで行なっている。
しかしながら、上述した従来のALD装置では、特に、反応室にプロセスガスを供給する場合、反応室からの排気量を制御しつつ供給されているため、短時間でガスの圧力を上昇させることが出来ず、このガス圧上昇の遅れのため、吸着、反応速度が遅くなるという欠点があった。この欠点は1〜2枚程度の基板を同時処理する枚葉式のALD装置では反応室の容積が小さいので余り問題にはならないが、特に、積層された多数の基板を同時処理するバッチ式の縦型ALD装置にあっては、反応室の容積が大きいため、吸着、反応速度の遅延が顕著になり、スループットが大幅に低下するという問題があった。
なお、従来の技術としては、枚葉式であって、反応室内に酸素(O)ラジカルを流し続け、TEOSガスを間欠的に約2秒づつ供給し、凝集膜を形成する成膜装置がある。この装置には、TEOSボンベから反応室へ供給されるガス供給系にガス溜り303、304が設けられ、ガス溜りに溜めたTEOSガスを反応室へ供給させるようになっている。また、ガス溜りを2つ設けることで、一方のガス溜りの使用中に他方のガス溜りにガスを溜めることが可能となり、スループットを向上している。しかし、このガス溜りを設けた装置は反応室容積の小さい枚葉装置についてのものであり、反応室容積の大きな縦型装置についてのものではない。また、反応室内にプロセスガスa、bを交互に供給するALD装置についてのものでもない。
本発明の主な目的は、成膜に寄与する複数種類のガスを交互に流して成膜する工程を備える半導体装置の製造方法において、上述した従来技術の問題点を解消して、スループットを向上することが可能な半導体装置の製造方法、成膜方法および基板処理装置を提供することにある。
本発明によれば、
基板を収容した反応室にプラズマ励起されていない第1のプロセスガスを供給し前記反応室の圧力を昇圧しつつ前記基板を前記第1のプロセスガスに晒す工程と、
その後、前記反応室内の雰囲気を排気する第1の排気工程と、
前記反応室にプラズマ励起された第2のプロセスガスを供給し前記反応室の圧力を所定圧に維持しつつ前記基板を前記第2のプロセスガスに晒す工程と、
その後、前記反応室の雰囲気を排気する第2の排気工程と、
を有する半導体装置の製造方法が提供される。
また、本発明によれば、
基板を収容した反応室に、少なくとも予めガス溜りに溜めたプラズマ励起されていない第1のプロセスガスを供給し前記反応室の圧力を昇圧しつつ前記基板を前記第1のプロセスガスに晒す工程と、
その後、前記反応室内の雰囲気を排気する第1の排気工程と、
前記反応室にプラズマ励起された第2のプロセスガスを供給し前記反応室の圧力を所定圧に維持しつつ前記基板を前記第2のプロセスガスに晒す工程と、
その後、前記反応室の雰囲気を排気する第2の排気工程と
を有する半導体装置の製造方法が提供される。
また、本発明によれば、
基板を収容した反応室にプラズマ励起されていない第1のプロセスガスを供給し前記反応室の圧力を昇圧しつつ前記基板を前記第1のプロセスガスに晒す工程と、
その後、前記反応室内の雰囲気を排気する第1の排気工程と、
前記反応室にプラズマ励起された第2のプロセスガスを供給し前記反応室の圧力を所定圧に維持しつつ前記基板を前記第2のプロセスガスに晒す工程と、
その後、前記反応室の雰囲気を排気する第2の排気工程と、
を有する成膜方法が提供される。
また、本発明によれば、
基板を収容した反応室に、少なくとも予めガス溜りに溜めたプラズマ励起されていない第1のプロセスガスを供給し前記反応室の圧力を昇圧しつつ前記基板を前記第1のプロセスガスに晒す工程と、
その後、前記反応室内の雰囲気を排気する第1の排気工程と、
前記反応室にプラズマ励起された第2のプロセスガスを供給し前記反応室の圧力を所定圧に維持しつつ前記基板を前記第2のプロセスガスに晒す工程と、
その後、前記反応室の雰囲気を排気する第2の排気工程と、
を有する成膜方法が提供される。
また、本発明によれば、
基板を収容する反応室と、
前記反応室を、排気バルブを介して排気する排気路と、
前記反応室に第1供給バルブを介して第1のプロセスガスを供給する第1の供給路と、
前記反応室に第2供給バルブを介して第2のプロセスガスを供給する第2の供給路と、
前記第2のプロセスガスをプラズマ励起するプラズマ励起手段と、
前記反応室にプラズマ励起されていない第1のプロセスガスを供給し前記反応室の圧力を昇圧しつつ前記基板を第1のプロセスガスに晒し、前記反応室内の雰囲気を排気し、前記反応室にプラズマ励起された第2のプロセスガスを供給し前記反応室の圧力を所定圧に維持しつつ前記基板を前記第2のプロセスガスに晒し、前記反応室の雰囲気を排気するよう、前記排気バルブ、前記第1供給バルブ、前記第2供給バルブおよび前記プラズマ励起手段を制御する制御手段と、を備えた基板処理装置が提供される。
また、本発明によれば、
基板を収容する反応室と、
前記反応室を、排気バルブを介して排気する排気路と、
前記反応室に第1供給バルブを介して第1のプロセスガスを供給する第1の供給路と、
前記反応室に第2供給バルブを介して第2のプロセスガスを供給する第2の供給路と、
前記第1の供給路に設けられ、前記第1のプロセスガスを溜めるガス溜りと、
前記第2のプロセスガスをプラズマ励起するプラズマ励起手段と、
前記反応室に少なくとも予めガス溜りに溜めたプラズマ励起されていない第1のプロセスガスを供給し前記反応室の圧力を昇圧しつつ前記基板を第1のプロセスガスに晒し、前記反応室内の雰囲気を排気し、前記反応室にプラズマ励起された第2のプロセスガスを供給し前記反応室の圧力を所定圧に維持しつつ前記基板を前記第2のプロセスガスに晒し、前記反応室の雰囲気を排気するよう、前記排気バルブ、前記第1供給バルブ、前記第2供給バルブおよび前記プラズマ励起手段を制御する制御手段と、を備えた基板処理装置が提供される。
気を止めた状態で第1の種類のガスを反応室に供給することにより、反応室を昇圧状態とする。したがって、排気量を制御しつつ反応室を昇圧させるものと比べて、反応室容積の大きな装置であっても、短時間に反応室を昇圧させることができ、また高い昇圧状態を容易に得ることができる。昇圧時間が短く、昇圧させる圧力が高いほど、基板への吸着、成膜速度を上げることが可能となり、スループットの向上が図れる。
ましくは、前記第2の種類のガスはアンモニアである。この場合に、好ましくは、前記アンモニアガスを供給した場合の前記反応室の圧力を10〜100Paとする。さらに好ましくは、前記アンモニアガスを供給した場合の前記反応室の圧力を30〜60Paとする。好ましくは、前記第1の種類のガスはジクロルシランである。
好ましくは、前記第1供給路には、前記第1の種類のガスを溜めるガス溜りを有し、前記制御手段は、前記第1の種類のガスを反応室に供給する際には前記第1の種類のガスを前記第1供給路に流して前記ガス溜りに溜め、前記反応室の排気を止めた状態で前記ガス溜りから該ガス溜りに溜めた前記第1の種類のガスを前記反応室に供給することにより、該反応室内の前記複数の基板を前記第1の種類のガスに晒す。
この構成によれば、ガス溜りに第1の種類のガスを溜め、排気を止めた状態でガス溜りに溜めた第1の種類のガスを反応室に供給することにより、反応室を昇圧状態とする。したがって、排気量を制御しつつ反応室を昇圧させるものと比べて、反応室容積の大きな装置であっても、瞬間的に反応室を昇圧させることができ、また、高い昇圧状態をより容易に得ることができる。基板への吸着、成膜速度をより上げることが可能となり、スループットの大幅な向上が図れる。
好ましくは、前記ガス溜りの圧力を20,000Pa以上とする。
また、好ましくは、前記ガス溜りの容積を前記反応室の容積の1/1000〜3/1000とする。
本発明によれば、スループットを向上できる。
実施の形態による縦型半導体製造装置の概略構成図である。 実施の形態によるDCS、およびNHのガス供給例であって、NHを炉内に供給しつつ排気するとともに、DCSをガス溜りに溜めるステップを示す説明図である。 実施の形態によるDCS、およびNHのガス供給例であって、炉内を排気するとともに、引き続きDCSをガス溜りに溜めるステップを示す説明図である。 実施の形態によるDCS、およびNHのガス供給例であって、排気バルブを閉めて、ガス溜りのDCSを炉内に供給するステップを示す説明図である。 吸着量と成膜速度の関係を示す従来と本発明との比較特性図である。 実施の形態による縦型ALD装置の概略構成図である。 従来のALD装置の概略構成図である。
(発明の実施の形態)
以下に本発明の実施の形態を説明する。
図6は、実施の形態による縦型ALD装置の基本構成図を示し、(a)は縦断面が示された概略図、(b)は横断面が示された概略図である。ヒータ31の内側に、基板を処理する反応室を構成する反応管32が設けられる。反応管32の下端開口はシールキャップ35により気密に閉塞され、シールキャップ35にボート39が立設されて反応管32内に挿入される。ボート39にはバッチ処理される複数の基板Wが水平姿勢で管軸方向に多段に積載される。前記ヒータ31は反応管32内の基板Wを所定の温度に加熱する。
反応管32内に複数種類、ここでは2種類のガスを供給する供給路としての2本のガス供給配管が設けられる。ここでは第1のガス供給配管41はリモートプラズマユニットを介さないで、また第2のガス供給配管38はリモートプラズマユニット37を介して反応管32の一側にそれぞれ接続されている。したがって反応管32内の複数の基板Wに供給されるガスには、プラズマにより励起しないで供給するガスと、プラズマ励起することにより活性種として供給するガスとの2種類がある。なお、反応管32の他側に反応室を排気する排気路としての排気配管40が設けられ、排気配管40には図示しない真空排気手段としての真空ポンプが接続されている。
リモートプラズマユニット37は、反応管32内にボート39に沿って立設されたノズル30に接続される。このノズル30には、多段に積載された多数枚の各基板と対向するように多数の出口穴34がノズル軸方向に沿って設けられる。
出口穴34は、ガス上流の基板Wからガス下流の基板Wまで励起されたガス、または励起されないガスを均一に供給するために、ガス上流の出口穴径を小さくし、ガス下流の出口穴径を大きくすることによりコンダクタンスを変化させて、上流でも下流でも均等にガスが吹き出す構造とする。
また、2種類のガスの流し方、及び基板Wの成膜温度を制御する制御手段が設けられる。制御手段は、2種類のガスを一種類ずつ交互に繰り返し流すように制御するガス供給制御手段43と、ヒータ加熱による成膜温度を制御する温度制御手段42とを有している。
次に上述した基本構成の縦型ALD装置を用いて成膜する方法を説明する。膜はSi膜を形成する。反応ガスはDCS(SiHCl:ジクロルシラン)とプラズマ処理したNHである。
まず成膜しようとする基板Wをボート39に装填し、反応管32内(以下、単に炉内ともいう)に搬入する。次に基板上にSi膜の成膜を行なう。このときの炉内温度は、下地膜と密着性がよく界面の欠陥の少ない膜が形成される温度、例えば350〜600℃である。この成膜には、NHとDCSとを交互に流して1原子層づつ膜を形成するALD法を用いる。
まず第2ガス供給配管38からNHを供給する。NHはDCSよりも反応温度が高いため、上記炉内温度では反応しない。そこで、NHをリモートプラズマユニット37でプラズマ励起することにより活性種としてから流すようにして、上記炉内温度でも反応するようにする。このとき、炉内圧力は比較的低い圧力30〜60Paに維持しつつ、プラズマ励起することにより活性種としたNHを5〜120秒間供給する。炉内に流しているのはプラズマ励起することにより活性種としたNHだけで、DCSは存在しない。したがって、プラズマ励起することにより活性種としたNHは、気相反応を起こすことなく、基板W上の下地膜と表面反応する。
つぎに第1ガス供給配管41からDCSを供給する。この時には炉内からの排気は止めておく。DCSは上記炉内温度で反応するので、リモートプラズマ37によるプラズマ励起の必要はない。このときの炉内圧力はNHのときよりも高い圧力266〜931Paに昇圧する。DCSの供給により下地膜上のNHとDCSが表面反応して、Si膜が成膜される。
上述したNHとDCSとを交互に流す工程を1サイクルとする。このサイクルを繰り返すことにより、所定厚のSi膜が形成される。ALD法では、成膜に寄与する2種のガスが同時に気相中に存在しないため、ガスは下地表面に吸着し、下地膜と反応する。このため下地膜との密着性が良い膜が得られ、2種のガスを同時に流すCVD(Chemical Vapor Deposition)法で成膜するよりも界面の欠陥が減少する。また、複数種類のガスのうちプラズマ励起の必要なNHガスをプラズマ励起することにより活性種として流すようにしたので、プラズマ励起の必要のないDCSガスによる反応温度で成膜できるので、350〜600℃という低温で成膜できる。
さて、一般的な縦型CVD装置では、例えば成膜ガスであるDCSガスを供給する場合、反応室からの排気量を制御しつつ供給するが、もしここで反応室内からの排気を止めてしまうと、DCSガス供給の上流側の基板では膜厚が厚くなり、DCSガス供給の下流側に行くに従って基板への成膜される膜厚が薄くなり、複数のウェーハ間での膜厚均一性が大幅に低減してしまう恐れがある。また成膜ガスを排気せずに供給するとパーティクルの発生の原因にもなりかねなく、成膜ガスを排気せずに供給することは実施されていなかったので、従来の縦型ALD装置でも、反応室にプロセスガスを供給する場合、反応室内からの排気量を制御しつつ供給していた。
しかし、鋭意研究し実験を重ねた結果、上述した本発明のバッチ式の縦型ALD装置では反応室内からの排気を止めても複数のウェーハ間での均一性が良好であり、パーティクル発生の問題も生じないことが判明した。また更に本発明のバッチ式の縦型ALD装置では、一度に多数の基板(100枚〜150枚)を処理するために、1〜3枚を処理する枚葉式に比べて反応室の容積が極端に大きいために、反応室を排気した減圧状態から昇圧する際、排気配管40からの排気を止めているので、短時間でガスの圧力を上昇させることが出来、このガス圧上昇のため、吸着、反応速度が早くなり、基板の処理効率が格段に向上した。
また更に、本実施の形態の縦型ALD装置では、図6の基本構成に加えて、図1に示すように、第1供給配管41にガス溜り21を設けて、ガス溜り21からDCSガスを供給するようにしている。
以下、図1の構成を詳述する。縦型ALD装置は、積層された多数の基板Wを処理する縦型の反応炉20を有する。反応炉20には、真空ポンプ26に連通して反応炉20を排気する排気配管40と、DCSを反応炉20に供給する第1供給配管41と、NHをプラズマ励起することにより活性種として反応炉20に供給する第2供給配管38とを備える。
また、DCSを流す第1供給配管41の途中にDCSを溜めるガス溜り21を設ける。このガス溜り21は、例えば通常の配管よりもガス容量の大きなガスタンク又は螺旋配管などで構成する。
ガス溜り21の上流側の第1供給配管41に管路を開閉する第1ガス供給バルブ22を、下流側の第1供給配管41に管路を開閉する第2ガス供給バルブ23をそれぞれ設ける。第1ガス供給バルブ22または第2ガス供給バルブ23を開閉することにより、第1供給配管41を介して第1の種類のガスとしてのDCSガスをガス溜り21に溜めたり、溜めたDCSガスを反応炉20に供給できるようになっている。また、第2供給配管38には管路を開閉するNHガス供給バルブ24をリモートプラズマユニット37の上流側に設け、ガス供給バルブ24を開閉することにより、第2の種類のガスとしてのNHガスを反応炉20に供給したり、供給を止めたりできるようになっている。排気配管40には管路を開閉及び開度を調整する排気バルブ25を設け、排気バルブ25を開閉することにより反応炉20を排気したり、排気を止めたりできるようになっている。また排気バルブ25の開度を調整することにより反応炉20を所定圧に維持しつつ排気できるようになっている。第1供給配管41及び第2供給配管38には、MFC(マスフローコントローラ)27、28がそれぞれ設けられ、第1供給配管41及び第2供給配管38に流れるガス流量を制御するようになっている。なお、排気バルブ25は、開閉及び開度調整する機能を有する単体のバルブで構成しても、あるいは開閉機能を有するバルブと開度調整機能を有するバルブとの複数のバルブで構成してもよい。
また、ポンプ26、バルブ22〜25、及び図示しないヒータ等を制御する制御手段29が設けられる。制御手段29は、排気バルブ25及びガス供給バルブ22〜24を制御して、DCSガスを第1供給配管41に流してガス溜り21に溜め、反応炉20の排気を止めた状態でガス溜り21に溜めたDCSガスを反応炉20に供給することにより、反応炉20を昇圧状態として基板WをDCSガスに晒す。また、真空ポンプ26により反応炉20を排気しつつNHガスをリモートプラズマユニット37を介して第2供給配管38より反応炉20に供給することにより、NHガスをプラズマ励起することにより得られた活性種に基板Wを晒すようになっている。
次に、3つのステップを説明した図2〜図4を用いてDCS、及びNHのガス供給例を説明する。なお、黒で塗り潰されているバルブは閉、塗り潰されていないバルブは開である。まず成膜しようとする基板Wをボート39に装填し、炉内に搬入する。搬入後、次の3つのステップを順次実行する。
図2に示すステップ1では、プラズマ励起の必要なNHガスと、プラズマ励起の必要のないDCSガスとを併行して流す。まずガス供給配管38に設けたバルブ24、及び排気配管40に設けた排気バルブ25を共に開けて、ガス供給配管38からNHをリモートプラズマユニット37でプラズマ励起することにより活性種として炉20内に供給しつつ排気配管40から排気する。NHガスをプラズマ励起することにより活性種として流すときは、排気バルブ25を適正に調整して炉内圧力を10〜100Paとし、より好ましくは、30〜60Paとする。MFC27で制御するNHの供給流量は1000〜10000sccmである。NHガスをプラズマ励起することにより活性種として流すときに、もし、排気配管40に設けた排気バルブ25を閉めて真空排気を止めた状態とすると、NHガスをプラズマ励起することにより活性化された活性種が基板Wに到達する前に失活してしまい、その結果基板Wの表面と反応が起きなくなるという問題があるので、NHガスをプラズマ励起することにより活性種として流す場合には、排気バルブ25を開けて、反応炉20を排気する必要がある。NHガスをプラズマ励起することにより活性化された活性種を大流量流すと共に、真空排気を行って、炉内圧力を10〜100Pa、より好ましくは、30〜60Paとすると、均一性良く効率的に成膜できる。基板WをNHをプラズマ励起することにより得られた活性種に晒す時間は2〜120秒間である。このときの炉内温度は350〜600℃に設定してある。NHは反応温度が高いため、上記炉内温度では反応せず、バルブ24下流側にリモートプラズマユニット37でプラズマ励起することにより活性種としてから流すようにしているので、炉内温度は設定した低い温度範囲のままで行なえる。
このNHをプラズマ励起することにより活性種として供給しているとき、ガス供給配管41の上流側バルブ22を開け、下流側バルブ23を閉めて、DCSも流すようにする。これによりバルブ22、23間に設けたガス溜り21にDCSを溜める。このとき、炉内に流しているガスはNHをプラズマ励起することにより得られた活性種であり、DCSは存在しない。したがって、NHは気相反応を起こすことはなく、プラズマにより励起され活性種となったNHは基板W上の下地膜と表面反応する。
図3に示すステップ2では、ガス供給配管38のバルブ24を閉めて、NHの供給を止めるが、引続きガス溜り21への供給を継続する。ガス溜り21に所定圧、所定量のDCSが溜まったら上流側バルブ22も閉めて、ガス溜り21にDCSを閉じ込めておく。また、排気配管40の排気バルブ25は開いたままにして炉内を20Pa以下に排気し、残留NHを炉内から排除する。また、この時にはN2等の不活性ガスを炉内に供給すると、更に残留NHを炉内から排除する効果が高まる。ガス溜り21内には、圧力が20000Pa以上になるようにDCSを溜める。また、ガス溜り21と反応炉20との間のコンダクタンスが1.5×10−3/s以上になるように装置を構成する。また、反応室容積とこれに対する必要なガス溜りの容積との比として考えると、反応室容積100lの場合においては、100〜300ccであることが好ましく、容積比としてはガス溜りは反応室容積の1/1000〜3/1000倍とすることが好ましい。
図4に示すステップ3では、炉内排気が終わったら排気配管40のバルブ25を閉じて排気を止める。第1ガス供給配管41の下流側のバルブ23を開く。これによりガス溜り21に溜められたDCSが炉20内に一気に供給される。このとき排気配管40のバルブ25が閉じられているので、炉内圧力は急激に上昇して約931Pa(7Torr)まで昇圧される。DCSを供給するための時間は2〜4秒設定し、その後上昇した圧力雰囲気中に晒す時間を2〜4秒に設定し、合計6秒とした。このときの炉内温度は、NHの供給時と同じく、350〜600℃である。DCSの供給により、下地膜上のNHとDCSとが表面反応して、基板上にSi膜が成膜される。成膜後、バルブ23を閉じ、バルブ25を開けて、反応炉20内を真空排気し、残留するDCSの成膜に寄与した後のガスを炉内から排除する。また、この時にはN等の不活性ガスを炉内に供給すると、更に残留するDCSの成膜に寄与した後のガスを炉内から排除する効果が高まる。またバルブ22を開いてガス溜り21へのDCSの供給を開始する。
上記ステップ1〜3を1サイクルとし、このサイクルを複数回繰り返すことにより基板上に所定膜厚のSi膜を成膜する。
ALD装置では、ガスは下地膜表面に吸着する。このガスの吸着量は、ガスの圧力、及びガスの暴露時間に比例する。よって、希望する一定量のガスを、短時間で吸着させるためには、ガスの圧力を短時間で大きくする必要がある。この点で、本実施の形態では、排気バルブ25を閉めたうえで、ガス溜り21内に溜めたDCSを瞬間的に供給しているので、炉内のDCSの圧力を急激に上げることができ、希望する一定量のガスを瞬間的に吸着させることができる。
また、本実施の形態では、ガス溜り21にDCSを溜めている間に、ALD法で必要なステップであるNHガスをプラズマ励起することにより活性種として供給、及び炉内排気をしているので、DCSを溜めるための特別なステップを必要としない。また、炉内を排気してNHガスを除去してからDCSを流すので、両者は基板に向かう途中で反応しない。供給されたDCSは、基板Wに吸着しているNHとのみ有効に反応させることができる。
図5は、吸着量と成膜速度の関係を示す図であって、ガス溜りを使ってDCSを昇圧供給する発明を適用した装置構成と、排気を制御しつつDCSを供給する従来の装置構成との比較図である。横軸にガス分子吸着量L(ラングミュア:ガス圧力とガス暴露時間の積)をとり、縦軸に1サイクル当たりの成膜膜厚(オングストローム/サイクル)をとっている。1サイクルあたりの成膜速度を比較すると、ガス分子吸着量L(ラングミュア)を同じにしても、発明装置の方が従来装置よりも、1サイクル当たりの成膜膜厚を上げることができる。また、同一膜厚に対するガス暴露時間を比較してみると、例えば、本発明の装置構成で実施した場合のデータAは、Lが0.38、厚さが1.009オングストローム/サイクルである。これに対応する従来の装置構成で実施した場合のデータBは、Lが1.86、厚さが1.003オングストローム/サイクルである。データAとデータBでの厚さはほぼ等しく、また圧力は同じなので、データAはデータBに対してガス暴露時間が約1/5倍となり、本発明のスループットも大きく向上していることがわかる。
従って、圧力を上昇すると成膜速度が上がり、かつALDのように反応室内を一旦排気してからプロセスガス供給することを繰り返すプロセスにおいては、実施の形態のように、ガス溜りを使って昇圧する方が、そうしないものに比べて、スループットを大幅に上げることができる。特に炉容積が大きく、反応室内を一旦排気してからプロセスガス供給することを繰り返して成膜を行う縦型ALD装置にあっては、そのスループットを上げるには、ガス溜りを設けて瞬時に昇圧することが必須となる。
なお、上述した実施の形態では、ガス溜りとしてガスタンクや螺旋配管を1個設けた場合について説明した。しかし、これに限定されることなく、並列に複数個設けるようにてもよい。また、本発明のガス溜りはガスタンクや螺旋配管に限定されず、ガスを溜めて一気に放出できるものであればいずれの手段であってもよい。例えば、DCSの供給配管を通常よりも太くし、それに応じてMFCの容量を大きくするようにしてもよい。また、DCSの供給配管を複数本にしてもよい。この場合、DCS供給源となるボンベの数を供給配管の本数に応じて増やしても良い。また、DCSは蒸気圧が低いので、ボンベを加熱してDCSの気化量を多くするようにしてもよい。さらに、ポンプで強制的にDCSを炉内に送り込むようにしてもよい。
また、上述した実施の形態では、本発明を縦型半導体製造装置について適用しているが、半導体装置の製造方法にも適用することが可能である。この半導体装置の製造方法は、例えば、反応室内の真空排気と反応室へのプロセスガス供給とを繰り返し、反応室内に積層された基板を処理する半導体装置の製造方法において、第1の種類のガスを流す供給路の途中に第1の種類のガスを溜めておき、反応室からの排気を止めた状態で、供給路の途中に溜めた第1の種類のガスを反応室に供給して昇圧状態として基板上に成膜するようにしたものとすることができる。これによれば、排気を止めた状態でガス溜りに溜めた第1の種類のガスを反応室に供給するようにしたので、第1の種類のガスを瞬間的に供給して、反応室内を昇圧させることができる。したがって容積の大きな縦型反応室であっても、反応室内の真空排気から反応室へのプロセスガス供給に切り替える際に、遅れを伴わずに反応室内を昇圧させることができ、基板への吸着、成膜速度を上げることが可能となり、スループットの大幅な向上が図れる。
21 ガス溜り
20 反応室(炉)
22〜25 バルブ
26 ポンプ
38 第2供給配管
40 排気配管
41 第1供給配管
W 基板

Claims (12)

  1. 基板を収容した反応室にプラズマ励起されていない第1のプロセスガスを供給し前記反応室の圧力を昇圧しつつ前記基板を前記第1のプロセスガスに晒す工程と、
    その後、前記反応室内の雰囲気を排気する第1の排気工程と、
    前記反応室にプラズマ励起された第2のプロセスガスを供給し前記反応室の圧力を所定圧に維持しつつ前記基板を前記第2のプロセスガスに晒す工程と、
    その後、前記反応室の雰囲気を排気する第2の排気工程と、
    を有する半導体装置の製造方法。
  2. 基板を収容した反応室に、少なくとも予めガス溜りに溜めたプラズマ励起されていない第1のプロセスガスを供給し前記反応室の圧力を昇圧しつつ前記基板を前記第1のプロセスガスに晒す工程と、
    その後、前記反応室内の雰囲気を排気する第1の排気工程と、
    前記反応室にプラズマ励起された第2のプロセスガスを供給し前記反応室の圧力を所定圧に維持しつつ前記基板を前記第2のプロセスガスに晒す工程と、
    その後、前記反応室の雰囲気を排気する第2の排気工程と、
    を有する半導体装置の製造方法。
  3. 前記基板を前記第1のプロセスガスに晒す際には、前記反応室内の雰囲気の排気を実質的に止めた状態で行う請求項1または請求項2に記載の半導体装置の製造方法。
  4. 前記基板を前記第1のプロセスガスに晒す際には、前記反応室内の圧力を266Pa以上にする請求項1乃至請求項3のうちいずれか1項に記載の半導体装置の製造方法。
  5. 前記反応室内の所定圧力は、10〜100Paである請求項1乃至請求項4のうちいずれか1項に記載の半導体装置の製造方法。
  6. 前記反応室内の所定圧力は、30〜60Paである請求項1乃至請求項4のうちいずれか1項に記載の半導体装置の製造方法。
  7. 前記基板を前記第2のプロセスガスに晒す際に、前記ガス溜りに前記第1のプロセスガスを溜める請求項2の半導体装置の製造方法。
  8. 前記ガス溜りの容積は前記反応室の容積の1/1000〜3/1000である請求項2または請求項7に記載の半導体装置の製造方法。
  9. 基板を収容した反応室にプラズマ励起されていない第1のプロセスガスを供給し前記反応室の圧力を昇圧しつつ前記基板を前記第1のプロセスガスに晒す工程と、
    その後、前記反応室内の雰囲気を排気する第1の排気工程と、
    前記反応室にプラズマ励起された第2のプロセスガスを供給し前記反応室の圧力を所定圧に維持しつつ前記基板を前記第2のプロセスガスに晒す工程と、
    その後、前記反応室の雰囲気を排気する第2の排気工程と、
    を有する成膜方法。
  10. 基板を収容した反応室に、少なくとも予めガス溜りに溜めたプラズマ励起されていない第1のプロセスガスを供給し前記反応室の圧力を昇圧しつつ前記基板を前記第1のプロセスガスに晒す工程と、
    その後、前記反応室内の雰囲気を排気する第1の排気工程と、
    前記反応室にプラズマ励起された第2のプロセスガスを供給し前記反応室の圧力を所定圧に維持しつつ前記基板を前記第2のプロセスガスに晒す工程と、
    その後、前記反応室の雰囲気を排気する第2の排気工程と、
    を有する成膜方法。
  11. 基板を収容する反応室と、
    前記反応室を、排気バルブを介して排気する排気路と、
    前記反応室に第1供給バルブを介して第1のプロセスガスを供給する第1の供給路と、
    前記反応室に第2供給バルブを介して第2のプロセスガスを供給する第2の供給路と、
    前記第2のプロセスガスをプラズマ励起するプラズマ励起手段と、
    前記反応室にプラズマ励起されていない第1のプロセスガスを供給し前記反応室の圧力を昇圧しつつ前記基板を第1のプロセスガスに晒し、前記反応室内の雰囲気を排気し、前記反応室にプラズマ励起された第2のプロセスガスを供給し前記反応室の圧力を所定圧に維持しつつ前記基板を前記第2のプロセスガスに晒し、前記反応室の雰囲気を排気するよう、前記排気バルブ、前記第1供給バルブ、前記第2供給バルブおよび前記プラズマ励起手段を制御する制御手段と、を備えた基板処理装置。
  12. 基板を収容する反応室と、
    前記反応室を、排気バルブを介して排気する排気路と、
    前記反応室に第1供給バルブを介して第1のプロセスガスを供給する第1の供給路と、
    前記反応室に第2供給バルブを介して第2のプロセスガスを供給する第2の供給路と、
    前記第1の供給路に設けられ、前記第1のプロセスガスを溜めるガス溜りと、
    前記第2のプロセスガスをプラズマ励起するプラズマ励起手段と、
    前記反応室に少なくとも予めガス溜りに溜めたプラズマ励起されていない第1のプロセスガスを供給し前記反応室の圧力を昇圧しつつ前記基板を第1のプロセスガスに晒し、前記反応室内の雰囲気を排気し、前記反応室にプラズマ励起された第2のプロセスガスを供給し前記反応室の圧力を所定圧に維持しつつ前記基板を前記第2のプロセスガスに晒し前記反応室の雰囲気を排気するよう、前記排気バルブ、前記第1供給バルブ、前記第2供給バルブおよび前記プラズマ励起手段を制御する制御手段と、を備えた基板処理装置。
JP2010206362A 2002-04-11 2010-09-15 半導体装置の製造方法、成膜方法および基板処理装置 Expired - Lifetime JP5283673B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2010206362A JP5283673B2 (ja) 2002-04-11 2010-09-15 半導体装置の製造方法、成膜方法および基板処理装置

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2002109130 2002-04-11
JP2002109130 2002-04-11
JP2010206362A JP5283673B2 (ja) 2002-04-11 2010-09-15 半導体装置の製造方法、成膜方法および基板処理装置

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2004127699A Division JP4695343B2 (ja) 2002-04-11 2004-04-23 縦型半導体製造装置

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2013020672A Division JP5527863B2 (ja) 2002-04-11 2013-02-05 半導体装置の製造方法および基板処理装置

Publications (2)

Publication Number Publication Date
JP2010283388A JP2010283388A (ja) 2010-12-16
JP5283673B2 true JP5283673B2 (ja) 2013-09-04

Family

ID=29416588

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2010206362A Expired - Lifetime JP5283673B2 (ja) 2002-04-11 2010-09-15 半導体装置の製造方法、成膜方法および基板処理装置
JP2013020672A Expired - Lifetime JP5527863B2 (ja) 2002-04-11 2013-02-05 半導体装置の製造方法および基板処理装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2013020672A Expired - Lifetime JP5527863B2 (ja) 2002-04-11 2013-02-05 半導体装置の製造方法および基板処理装置

Country Status (3)

Country Link
US (4) US6905549B2 (ja)
JP (2) JP5283673B2 (ja)
KR (6) KR20030081144A (ja)

Families Citing this family (331)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070243317A1 (en) * 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
US6926775B2 (en) * 2003-02-11 2005-08-09 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US7638167B2 (en) * 2004-06-04 2009-12-29 Applied Microstructures, Inc. Controlled deposition of silicon-containing coatings adhered by an oxide layer
US9725805B2 (en) * 2003-06-27 2017-08-08 Spts Technologies Limited Apparatus and method for controlled application of reactive vapors to produce thin films and coatings
US20050271893A1 (en) * 2004-06-04 2005-12-08 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US20040261703A1 (en) * 2003-06-27 2004-12-30 Jeffrey D. Chinn Apparatus and method for controlled application of reactive vapors to produce thin films and coatings
JP3913723B2 (ja) * 2003-08-15 2007-05-09 株式会社日立国際電気 基板処理装置及び半導体デバイスの製造方法
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
KR100589053B1 (ko) * 2003-10-15 2006-06-12 삼성전자주식회사 소스 공급 장치, 소스 공급 방법 및 이를 이용한 원자층증착 방법
DE10350752A1 (de) * 2003-10-30 2005-06-09 Infineon Technologies Ag Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung
US20050103264A1 (en) * 2003-11-13 2005-05-19 Frank Jansen Atomic layer deposition process and apparatus
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
KR101025323B1 (ko) * 2004-01-13 2011-03-29 가부시키가이샤 아루박 에칭 장치 및 에칭 방법
US20050221618A1 (en) * 2004-03-31 2005-10-06 Amrhein Frederick J System for controlling a plenum output flow geometry
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7879396B2 (en) * 2004-06-04 2011-02-01 Applied Microstructures, Inc. High aspect ratio performance coatings for biological microfluidics
US20050287806A1 (en) * 2004-06-24 2005-12-29 Hiroyuki Matsuura Vertical CVD apparatus and CVD method using the same
CN102154628B (zh) * 2004-08-02 2014-05-07 维高仪器股份有限公司 用于化学气相沉积反应器的多气体分配喷射器
KR100636037B1 (ko) * 2004-11-19 2006-10-18 삼성전자주식회사 티타늄 질화막 형성 방법 및 이를 수행하기 위한 장치
KR100636036B1 (ko) * 2004-11-19 2006-10-18 삼성전자주식회사 티타늄 질화막 형성 방법 및 이를 수행하기 위한 장치
JP4734317B2 (ja) * 2005-02-17 2011-07-27 株式会社日立国際電気 基板処理方法および基板処理装置
US8211235B2 (en) * 2005-03-04 2012-07-03 Picosun Oy Apparatuses and methods for deposition of material on surfaces
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20070084406A1 (en) * 2005-10-13 2007-04-19 Joseph Yudovsky Reaction chamber with opposing pockets for gas injection and exhaust
US20070084408A1 (en) * 2005-10-13 2007-04-19 Applied Materials, Inc. Batch processing chamber with diffuser plate and injector assembly
FI121750B (fi) * 2005-11-17 2011-03-31 Beneq Oy ALD-reaktori
US20070240644A1 (en) * 2006-03-24 2007-10-18 Hiroyuki Matsuura Vertical plasma processing apparatus for semiconductor process
KR100974134B1 (ko) * 2006-03-28 2010-08-04 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치
US7514125B2 (en) * 2006-06-23 2009-04-07 Applied Materials, Inc. Methods to improve the in-film defectivity of PECVD amorphous carbon films
JP4844261B2 (ja) * 2006-06-29 2011-12-28 東京エレクトロン株式会社 成膜方法及び成膜装置並びに記憶媒体
US8900695B2 (en) * 2007-02-23 2014-12-02 Applied Microstructures, Inc. Durable conformal wear-resistant carbon-doped metal oxide-comprising coating
US20080248263A1 (en) * 2007-04-02 2008-10-09 Applied Microstructures, Inc. Method of creating super-hydrophobic and-or super-hydrophilic surfaces on substrates, and articles created thereby
US8236379B2 (en) * 2007-04-02 2012-08-07 Applied Microstructures, Inc. Articles with super-hydrophobic and-or super-hydrophilic surfaces and method of formation
US10041169B2 (en) * 2008-05-27 2018-08-07 Picosun Oy System and method for loading a substrate holder carrying a batch of vertically placed substrates into an atomic layer deposition reactor
JP5616591B2 (ja) 2008-06-20 2014-10-29 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US8282334B2 (en) 2008-08-01 2012-10-09 Picosun Oy Atomic layer deposition apparatus and loading methods
ES2637068T3 (es) 2009-03-04 2017-10-10 The Trustees Of The University Of Pennsylvania Composiciones que comprenden factores angiogénicos y métodos de uso de las mismas
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
CN102345111B (zh) * 2010-07-29 2015-03-04 东京毅力科创株式会社 成膜方法和成膜装置
JP5886531B2 (ja) * 2011-02-24 2016-03-16 東京エレクトロン株式会社 成膜方法および成膜装置
US8647993B2 (en) * 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8592328B2 (en) * 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP5960614B2 (ja) * 2012-03-29 2016-08-02 Ckd株式会社 流体制御システム、流体制御方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP5859586B2 (ja) * 2013-12-27 2016-02-10 株式会社日立国際電気 基板処理システム、半導体装置の製造方法および記録媒体
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP2015185837A (ja) * 2014-03-26 2015-10-22 東京エレクトロン株式会社 成膜装置
JP6413293B2 (ja) * 2014-03-27 2018-10-31 東京エレクトロン株式会社 成膜方法及び記憶媒体
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
JP2016134569A (ja) * 2015-01-21 2016-07-25 株式会社東芝 半導体製造装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6675962B2 (ja) * 2016-02-25 2020-04-08 東京エレクトロン株式会社 成膜方法及び成膜システム
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR20200118504A (ko) 2018-03-02 2020-10-15 램 리써치 코포레이션 가수분해를 사용한 선택적인 증착
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114823428A (zh) * 2022-05-30 2022-07-29 北京北方华创微电子装备有限公司 半导体热处理设备及其控制方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5015330A (en) * 1989-02-28 1991-05-14 Kabushiki Kaisha Toshiba Film forming method and film forming device
US5250323A (en) * 1989-10-30 1993-10-05 Kabushiki Kaisha Toshiba Chemical vapor growth apparatus having an exhaust device including trap
JP2819073B2 (ja) * 1991-04-25 1998-10-30 東京エレクトロン株式会社 ドープド薄膜の成膜方法
JP3159788B2 (ja) * 1991-07-12 2001-04-23 富士通株式会社 化合物半導体の結晶成長方法
JP3186872B2 (ja) 1992-11-19 2001-07-11 神港精機株式会社 パルスプラズマcvdによる成膜方法
JPH06222388A (ja) 1993-01-28 1994-08-12 Fujitsu Ltd 薄膜トランジスタマトリックスの製造方法
US5484484A (en) * 1993-07-03 1996-01-16 Tokyo Electron Kabushiki Thermal processing method and apparatus therefor
JP4236707B2 (ja) * 1995-09-14 2009-03-11 日産自動車株式会社 化学的気相成長法及び化学的気相成長装置
JPH0982696A (ja) 1995-09-18 1997-03-28 Toshiba Corp 半導体装置の製造方法および半導体製造装置
JP3482280B2 (ja) * 1995-09-19 2003-12-22 株式会社リコー デジタル複写機システム
US5888579A (en) * 1996-07-29 1999-03-30 Texas Instruments-Acer Incorporated Method and apparatus for preventing particle contamination in a process chamber
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JP3529989B2 (ja) 1997-09-12 2004-05-24 株式会社東芝 成膜方法及び半導体装置の製造方法
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
JPH11219950A (ja) * 1998-02-03 1999-08-10 Hitachi Ltd 半導体集積回路の製造方法並びにその製造装置
JPH11312640A (ja) * 1998-02-25 1999-11-09 Canon Inc 処理装置および該処理装置を用いたデバイス製造方法
JP4174941B2 (ja) * 2000-02-03 2008-11-05 株式会社デンソー 薄膜製造方法及び薄膜製造装置
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
KR100396694B1 (ko) * 2000-07-27 2003-09-02 주식회사 하이닉스반도체 원자층 증착법을 이용한 박막 제조 방법
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition

Also Published As

Publication number Publication date
US20080250619A1 (en) 2008-10-16
KR100867073B1 (ko) 2008-11-04
KR101145559B1 (ko) 2012-05-16
JP2010283388A (ja) 2010-12-16
US20110176967A1 (en) 2011-07-21
KR20080044823A (ko) 2008-05-21
US20030213435A1 (en) 2003-11-20
KR20030081144A (ko) 2003-10-17
KR20100014210A (ko) 2010-02-10
US6905549B2 (en) 2005-06-14
JP5527863B2 (ja) 2014-06-25
JP2013080979A (ja) 2013-05-02
KR20090029241A (ko) 2009-03-20
US20050217577A1 (en) 2005-10-06
US7622396B2 (en) 2009-11-24
KR20080006530A (ko) 2008-01-16
KR20120004952A (ko) 2012-01-13

Similar Documents

Publication Publication Date Title
JP5283673B2 (ja) 半導体装置の製造方法、成膜方法および基板処理装置
JP3947126B2 (ja) 半導体製造装置
JP5253589B2 (ja) 半導体デバイスの製造方法及び基板処理装置
JP4411215B2 (ja) 基板処理装置及び半導体装置の製造方法
US7713582B2 (en) Substrate processing method for film formation
JP4800344B2 (ja) 薄膜の形成方法
KR101202299B1 (ko) 반도체 장치의 제조 방법 및 기판 처리 장치
US9502233B2 (en) Method for manufacturing semiconductor device, method for processing substrate, substrate processing device and recording medium
JP2007042823A (ja) 成膜方法、成膜装置及び記憶媒体
US20080166882A1 (en) Substrate Processing Apparatus and Producing Method of Semiconductor Device
JP2006054432A (ja) 成膜方法、成膜装置及び記憶媒体
JP4695343B2 (ja) 縦型半導体製造装置
JP2006302946A (ja) 基板処理システム
JP2003092291A (ja) 基板処理装置
JP4434807B2 (ja) 半導体装置の製造方法
JP2006216612A (ja) 基板処理装置
JP2006066555A (ja) 基板処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100915

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121127

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121211

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130207

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130226

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130416

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130514

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130528

R150 Certificate of patent or registration of utility model

Ref document number: 5283673

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term