JP5013632B2 - プラズマリアクタにおける穿孔プラズマ閉じ込めリング - Google Patents

プラズマリアクタにおける穿孔プラズマ閉じ込めリング Download PDF

Info

Publication number
JP5013632B2
JP5013632B2 JP2000591650A JP2000591650A JP5013632B2 JP 5013632 B2 JP5013632 B2 JP 5013632B2 JP 2000591650 A JP2000591650 A JP 2000591650A JP 2000591650 A JP2000591650 A JP 2000591650A JP 5013632 B2 JP5013632 B2 JP 5013632B2
Authority
JP
Japan
Prior art keywords
plasma
plasma processing
processing reactor
confinement ring
perforated
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2000591650A
Other languages
English (en)
Other versions
JP2002533949A5 (ja
JP2002533949A (ja
Inventor
リ・ルミン
ミュラー・ジョージ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=22832839&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP5013632(B2) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2002533949A publication Critical patent/JP2002533949A/ja
Publication of JP2002533949A5 publication Critical patent/JP2002533949A5/ja
Application granted granted Critical
Publication of JP5013632B2 publication Critical patent/JP5013632B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/915Differential etching apparatus including focus ring surrounding a wafer for plasma apparatus

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Description

【0001】
【発明の背景】
本発明は、半導体集積回路(IC)の製造に関する。本発明は、特に、基板の表面上にエッチング形状(例えば、トレンチ、コンタクト、またはビア等)を形成するICの製造中に二酸化シリコン含有材料をエッチングするための改良された装置および方法に関する。
【0002】
例えばフラットパネルディスプレイまたは集積回路等の半導体製品を製造するにあたっては、デポジションやエッチングの工程が複数回利用される。デポジションの工程では、基板の表面(ガラスパネルまたはウエハの表面等)上に材料が堆積される。これに対してエッチングの工程は、基板表面上の既定の領域から材料を選択的に除去するために利用される。このようなエッチングによって、基板表面の誘電体層にエッチング形状を形成することができ、これらのエッチング形状を金属で満たすと、導電経路が形成される。
【0003】
エッチングの工程では、通常、下地層でエッチングする領域を規定するために、フォトレジスト等の適切なマスク材で形成されたマスクを利用する。代表的なフォトレジスト技術では、先ず、エッチングされる下地層上にフォトレジスト材料を堆積させる。次いで、適切なリソグラフィシステムでフォトレジスト材料を露光させ、さらにその材料を現像し、続くエッチングの工程を容易にするためのマスクを形成することによって、フォトレジスト材料のパターン形成を行う。適切なエッチャントを使用すると、マスクで保護されていない下地層の領域が、適切なエッチャントソースガスによってエッチングされるので、下地層内に、トレンチ、コンタクト、ビア等のエッチング形状が形成される。
【0004】
議論を容易にするため、図1に、マスク12と、下地層14と、基板16とを含んだ代表的な基板スタック10を示した。上述したように、マスク12は、フォトレジストマスクを表しても良いし、ハードマスク材を含む任意の適切なマスク材で形成されても良い。下地層14は、エッチングされる1つまたはそれ以上の層を表す。議論を容易にするため、下地層は、ここでは誘電体層(例えば、ドープした、またはドープしていない二酸化シリコン含有層等)を表しているが、特定の適用分野に応じ、例えば有機材料を含む任意のエッチング可能な材料で形成されても良い。基板16は、エッチングされる層の下に複数の層および形状を含んでおり、半導体ウエハまたはガラスパネル自体を含んでいても良い。基板16の組成は、本発明の目的にとってほとんど無関係である。
【0005】
マスク12内には、マスクのパターニング工程で形成された開口部18が示されている。プラズマから生成されたエッチャントが、開口部18を介して下地層14の材料と反応し、下地層内において形状(例えば、トレンチ、コンタクト、またはビア等)のエッチングを行う。このエッチング工程において、エッチャントは、マスク12の開口部18を介して下地層14を異方性エッチングすることによって、直径22(または幅)と深さ24とを有したエッチング形状20(例えば、トレンチ、コンタクト、またはビア等)を形成する。直径(または幅)は、形状のサイズを表す。
【0006】
アスペクト比は、形状の深さとサイズとの比である。現在では、回路のさらなる高密度化を図るために、よりいっそう厳しいデザインルールによる集積回路のスケーリングが行われている。その結果、よりいっそう高アスペクト比の形状(例えば、トレンチ、コンタクト、またはビア等)を得る必要、そして集積回路上のデバイス間において実質的に垂直なプロフィルを得る必要が、着実に増してきている。例えば、高密度の集積回路を製造するにあたっては、0.18ミクロンという小ささのデザインルールを利用することも珍しくない。隣接したデバイス同士の接近にともなって、高アスペクト比と均一なプロフィルとを得る必要も増してきた。アスペクト比が高いと、形状のサイズ(直径または幅)が小型化されるので、デバイス同士を接近して実装することが可能になるのである。また、プロフィルが垂直であると、例えばピンチオフによるボイド等を被ることなしに、次に堆積される金属材料によって適切にエッチング形状を満たすことができる。
【0007】
以上から、さらに高いアスペクト比かつ縦方向に大きいプロフィルで形状をエッチングするための、改良された方法および装置が必要とされていることがわかる。
【0008】
【発明の概要】
1つの実施形態において、本発明は、半導体の基板を処理するためのプラズマ処理リアクタ装置に関する。この装置は、チャンバを備える。この装置は、さらに、第1のRF周波数を有した第1のRF電源に結合されるように構成されたトップ電極と、第1のRF周波数より低い第2のRF周波数を有した第2のRF電源に結合されるように構成されたボトム電極と、を備える。この装置は、また、チャンバの内壁を覆う絶縁シュラウドを有し、この絶縁シュラウドは、処理中において電気的に絶縁されるように構成されている。この装置は、さらに、ボトム電極の外周の外側に配置された穿孔プラズマ閉じ込めリングを備え、この穿孔プラズマ閉じ込めリングの上面は、基板の上面の下方に配置され、処理中において電気的に接地されている。
【0009】
別の実施形態において、本発明は、処理中においてプラズマ処理リアクタの内側で電子を接地させるための穿孔プラズマ閉じ込めリングデバイスに関する。この装置は、内径と外径とを有した導電リングを備える。内径は、プラズマ処理リアクタの電極を取り囲むように採寸され、導電リングは、処理中において電気的に接地されている。この装置は、さらに、導電リング内に設けられた複数の孔を備える。これら複数の孔は、プラズマを実質的に閉じ込めるとともに、処理による副生成ガスを通過させるように採寸されている。
【0010】
さらに別の実施形態において、本発明は、半導体の処理において基板をエッチングする方法に関する。この方法は、プロセスチャンバと、第1のRF電源に結合されたトップ電極と、第2のRF電源に結合されたボトム電極と、接地された穿孔プラズマ閉じ込めリングと、絶縁シュラウドと、プロセスガスと、基板と、を備えたプラズマ処理リアクタを提供することを含む。この方法は、さらに、処理チャンバの内側でプラズマが生成されるように、プロセスガスで処理チャンバを満たし、トップ電極によってプロセスガスを活性化させることを含む。この方法は、また、穿孔プラズマ閉じ込めリングによってプラズマを接地させること、そして、処理による副生成ガスを穿孔プラズマ閉じ込めリングの複数の孔を通して除去すること、を含む。
【0011】
添付図面との関連で行う以下の本発明の詳細な説明から、本発明の上述したおよびその他の特徴がさらに詳しく示される。
【0012】
添付図面において、限定的ではなく例示的に本発明を示す。なお、この添付図面においては、同様の構成要素には同様の番号体型が与えられている。
【0013】
【発明の実施の形態】
次に、添付図面に例示された幾つかの好ましい実施形態に関連して、本発明の詳しい説明を行う。以下の説明では、本発明の徹底的な理解を促すために、多くの項目を特定している。しかしながら、当業者には明らかなように、本発明は、これらの項目の一部または全てを特定しなくても実施できる。そのほか、本発明が不必要に不明瞭となるのを避けるため、周知の工程動作の説明は省略した。
【0014】
本発明の1つの態様にしたがうと、プラズマ処理リアクタに穿孔プラズマ閉じ込めリングを導入することによって、二酸化シリコン層内に、高アスペクト比かつ実質的に垂直なプロフィルを有したエッチング形状が形成される。ここで使用される「エッチング形状」という用語は、例えばトレンチ、コンタクト、ビア等を含むものとする。エッチングは、基板をプラズマ処理チャンバ内のチャック上に配置した状態で行われる。プラズマ処理チャンバに導入されたプロセスガスは、電極に供給されたRF電力によって活性化される。このとき、RFエネルギによってガスが解離され、プラズマが生成される。次いで、もう1つの電極に電力が供給され、イオンが基板に向かって加速される。基板は、加速されたイオンと、プラズマから生成されたエッチャントとによってエッチングされる。一般に、プラズマの密度が高いと、イオンのエネルギは低くなる傾向にある。接地された穿孔プラズマ閉じ込めリングをプラズマ処理チャンバ内に導入することによって、プラズマからの電子を実質的に除去し、プラズマの密度を低減させて、イオンのエネルギを増大させることができる。イオンエネルギが増大すると、エッチングの精度は向上する傾向にある。
【0015】
別の実施形態において、穿孔プラズマ閉じ込めリングは、処理中の副生成ガスを通過させるとともに、処理チャンバによって規定された体積内にプラズマを実質的に閉じ込めるように採寸される。プラズマを処理チャンバの内側に閉じ込めることによって、基板の中心とエッジにおけるエッチング速度が実質的に同じであるような、さらに均一なエッチングが達成される。
【0016】
別の実施形態において、穿孔プラズマ閉じ込めリングは、基板の汚染を生じることなく効果的に副生成ガスを排出できるような、処理チャンバ内部の一位置に配置されている。微粒子汚染は、副生成ガスの流れが妨害されることによって生じるので、微粒子汚染の低減には装置の配置が重要な意味を持つ。
【0017】
本発明の1つの実施形態にしたがって、プラズマ処理チャンバ内に絶縁シュラウドを提供する。絶縁シュラウドは、チャンバの内壁を覆っている。絶縁シュラウドは、電気的に絶縁されるように構成されることによって、チャンバの壁を通してプラズマが接地されることを防止する。また、絶縁シュラウドには、チャンバ内部の一定体積内にプラズマを閉じ込めるという目的もある。
【0018】
本発明の特徴および従来技術に勝る利点をさらに議論するため、図2に、従来技術によるプラズマ処理リアクタを示した。図2は、平行平板型リアクタ100を、チャンバの壁102とともに示している。チャンバの壁102は、接地されているか絶縁されているかのいずれかを選択可能である。トップ電極104は、第1のRF周波数を有した第1のRF電源106に結合されている。トップ電極104は、複数のガス分布アパーチャ105を含む。しかしながら、これらのガス分布アパーチャを、替わりまたは追加で、例えばチャンバの側壁等の他の位置に配置しても良い。ガス分布アパーチャ105は、処理チャンバ内へのプロセスガスの移動を可能にする。トップ電極104は、トップシュラウド108によって取り囲まれている。しかしながら、トップシュラウド108は任意であり、省略することも可能である。ボトム電極110は、第2のRF周波数を有した第2のRF電源112に結合されている。ボトム電極110は、基板114を固定する静電チャックを表す。フォーカスリング116は、ボトム電極110を取り囲んでおり、酸化アルミニウム等のセラミック材料からなる。フォーカスリング116は、特に基板の周辺部において、プラズマを基板に向けて集束させることによって、エッチングの均一性を向上させる。シリコンリング118は、基板114のエッジを取り囲む。シリコンリングは、フォーカスリング116の酸化アルミニウムすなわちセラミック材料による基板114の汚染を防ぐ。
【0019】
テフロン(登録商標)シュラウド120は、チャンバの壁102の内側を覆っている。同心リング124とスペース126とを備えた閉じ込めリング122は、テフロンシュラウド120の内側において処理チャンバを取り囲む。閉じ込めリング122は、ガスを逃がしてポート128から排出させる。閉じ込めリング122は絶縁されており、絶縁材料で形成されている。
【0020】
プラズマ130は、処理中に形成され、トップ電極104と、基板114と、フォーカスリング116と、シリコンリング118と、閉じ込めリング122とによってチャンバ内に閉じ込められる。距離132は、トップ電極104を上下に移動させることによって変更が可能である。トップ電極を上方に移動させると、基板114をボトム電極110上に配置することが可能になり、下方に移動させると、基板114の処理が可能になる。距離132は、処理中は既定の距離に維持される。高さの調整は、スクリューリニアアクチュエータ(screw linear actuator, 図示せず)を利用し、チャンバの上部全体を上下に移動させることによって行うことができる。
【0021】
本発明の1つの態様にしたがうと、穿孔プラズマ閉じ込めリングを追加し、特定の配置を行って、プラズマの密度を低減させることによって、イオンエネルギが増大される。本発明のこの態様に関する議論を容易にするため、図3に、平行平板型リアクタ200をチャンバの壁202とともに示した。チャンバの壁202は、接地されているか電気的に浮遊されているかのいずれかを選択可能である。トップ電極204は、約27MHzの第1のRF周波数を有した第1のRF電源206に結合されている。トップ電極205は、複数のガス分布アパーチャ205を含む。しかしながら、これらのガス分布アパーチャを、替わりに、または追加で、例えばチャンバの側壁等の他の位置に配置しても良い。ガス分布アパーチャ205は、処理チャンバ内へのプロセスガスの移動を可能にする。トップ電極204は、トップシュラウド208によって取り囲まれて良い。しかしながら、トップシュラウド208はオプションであり、省略することも可能である。ボトム電極210は、約2MHzの第2のRF周波数を有した第2のRF電源212に結合されている。第2のRF周波数は、第1のRF周波数より低いべきである。
【0022】
この場合のボトム電極は、基板214を固定する静電チャックを表す。しかしながら、ボトム電極210は、例えばメカニカルタイプのチャックや真空チャック等の任意の適切なワークホルダを表すことができる。オプションであるフォーカスリング216は、ボトム電極(静電チャック)210の外周を取り囲むように配置され、酸化アルミニウム等のセラミック材料で形成される。フォーカスリング216は、特に基板の周辺部においてプラズマを基板に向けて集束させることによって、エッチングの均一性を向上させる。オプションであるシリコンリング218は、基板214のエッジを取り囲む。前述したように、シリコンリングは、フォーカスリング216の酸化アルミニウムすなわちセラミック材料による基板214の汚染を防ぐ。
【0023】
絶縁シュラウド220は、電気的に絶縁されており、基板214のエッチング中において、チャンバ内のプラズマによるエッチングに対して実質的に耐性の材料で形成される。絶縁シュラウド220は、チャンバの内壁を覆っている。穿孔プラズマ閉じ込めリング222は、電気的に接地されており、基板214のエッチング中において、チャンバ内のプラズマによるエッチングに対してやはり実質的に耐性の導体で形成される。穿孔プラズマ閉じ込めリング222は、複数の孔224を設けることによって、副生成ガスを逃してポート226から排出させる。穿孔プラズマ閉じ込めリング222は、ボトム電極210の外周の外側に配置されることが好ましく、フォーカスリングが存在する場合には、フォーカスリング216に接していることが好ましい。また、穿孔プラズマ閉じ込めリング222を、基板214の上面の下方に配置することによって、基板214の上方に配置した場合に生じる汚染の量が低減される。このような穿孔プラズマ閉じ込めリングの配置は、エッチングの均一性にも寄与できると考えられる。
【0024】
フォーカスリングとシリコンリングとが存在する場合は、処理中にプラズマ228が生成され、トップ電極204と、基板214と、絶縁シュラウド220と、穿孔プラズマ閉じ込めリング222と、フォーカスリング216と、シリコンリング218とによって規定される体積内に閉じ込められる。プラズマ228は、チャンバの壁202から実質的に分離されていることが好ましい。トップ電極とボトム電極との間の距離230は、処理前、処理中、そして処理後に調整することが可能である。トップ電極204を上方に移動させると、基板214をボトム電極210上に配置することが可能になり、下方に移動させると、基板214の処理が可能になる。距離230は、処理中は既定の距離に維持される。高さの調整は、スクリューリニアアクチュエータ(図示せず)を利用し、チャンバの上部全体を上下に移動させることによって行うことができる。第1のRF電源を高域フィルタ(図示せず)に結合すると、ボトム電極の第2のRF電力が接地され、トップ電極のRF電力がブロックされる。第2のRF電源を低域フィルタ(図示せず)に結合すると、トップ電極のRF電力が接地され、ボトム電極のRFがブロックされる。
【0025】
図4は、本発明の1つの実施形態にしたがって、プラズマ処理リアクタ200を詳細に示した上面図である。基板214は、静電チャック(図示せず)によってリアクタ200内に固定されている。フォーカスリング216は、静電チャックを取り囲んでいる。シリコンリング218は、基板214とフォーカスリング216との間に配置された状態で示されている。紙面から突出する状態の絶縁シュラウド220は、リアクタ200の内壁を覆っている。穿孔プラズマ閉じ込めリング222は、外周が絶縁シュラウド220に接した状態で示されている。しかしながら、穿孔プラズマ閉じ込めリング222の外周を絶縁シュラウド220の内周より外側に張り出させ、エッチング中において、絶縁シュラウド220を穿孔プラズマ閉じ込めリング222上に配置することも可能である。フォーカスリングが存在する場合、穿孔プラズマ閉じ込めリング222の内周はフォーカスリング216の外周に接する。穿孔プラズマ閉じ込めリング222は、複数の孔224を備える。ここで、前述したでは、穿孔プラズマ閉じ込めリングとその隣接した構造との間に、充分細くてしかもプラズマを実質的に閉じ込められるようなギャップが設けられても良いことに、注意が必要である。
【0026】
さらに詳述すると、接地された穿孔プラズマ閉じ込めリングを導入することによって、電子をプラズマから接地点へと流すことが可能になる。穿孔プラズマ閉じ込めリングを接地すると、チャンバから電子が除去されるので、プラズマの密度が低下する。プラズマの密度の低下は、プラズマ内のイオンのエネルギを増大させる傾向にある。理論に縛られることは本意ではないが、イオンエネルギが増大すると、加速イオンが基板に衝突する際に基板表面に加わる衝撃が、増大すると考えられる。このメカニズムによって、均一なプロフィルを実質的に維持するとともに、サイズが小さい形状を高アスペクト比で形成することができると考えられる。本発明では、穿孔プラズマ閉じ込めリングにSiCを使用することが好ましい。しかしながら、穿孔プラズマ閉じ込めリングは、処理中においてチャンバ内のプラズマによるエッチングに対して実質的に耐性であり且つ/または金属汚染を実質的に引き起こさない任意の導体から形成されても良いことを、明記しておく必要がある。1つの実施形態において、穿孔プラズマ閉じ込めリングの厚さは約1/4インチ(6.35ミリ)および約2インチ(50.8ミリ)である。穿孔プラズマ閉じ込めリングの厚さは、使用される材料および他の製造条件(manufacturing concern)に依存する。
【0027】
トップ電極と、絶縁シュラウドと、ボトム電極と、穿孔プラズマ閉じ込めリングとによってほぼ規定される体積内にプラズマを閉じ込めることによって、基板の中心およびエッジにおけるエッチング速度が実質的に同じであるような、より均一なエッチングを行うことができる。穿孔プラズマ閉じ込めリング内の孔は、ポンピング装置に過度な要求を出すことなく副生成ガスを通過させるように採寸されることが好ましい。それと同時に、穿孔閉じ込めリング内の孔は、上述した体積内にプラズマを閉じ込めるようにも採寸されなければならない。こうすることによって、汚染およびRFミスマッチの原因となる非閉じ込めの可能性を低減するとともに、エッチング速度とエッチング均一性を最大限に実現することができる。
【0028】
穿孔プラズマ閉じ込めリングは、副生成ガスを排出してプラズマを実質的に閉じ込めるのに効果的な数の孔を有することが好ましい。孔の形状は、どんな特定の形状にも限定されず、円形、スロット状、同心円状等にパターン形成することが可能である。図5a〜5cには、本発明の好ましい実施形態にしたがって、3種類の形状が示されている。1つの実施形態において、本発明は、約2,000個の円形の孔を穿孔プラズマ閉じ込めリングに利用する。図5aは、円形の孔502を有した穿孔プラズマ閉じ込めリング500を示した図である。円形の孔のサイズは可変であるが、好ましい範囲は直径が約1/16〜約1/8インチ(約1.58〜約3.17ミリ)であり、好ましくは直径が約3/32インチ(約2.38ミリ)であることが判明している。別の実施形態では、約200個のスロット状の孔を穿孔プラズマ閉じ込めリングに利用する。図5bは、スロット状の孔504を有した穿孔プラズマ閉じ込めリング500を示した図である。スロット状の孔の幅は可変であるが、好ましい範囲は約1/8〜約1/32インチ(約3.17ミリ〜0.79ミリ)であり、好ましくは約1/16インチ(約1.58)であることがわかっている。さらに別の実施形態では、約10個の同心円状の孔を穿孔プラズマ閉じ込めリングで利用する。図5cは、同心円状の孔506を有した穿孔プラズマ閉じ込めリング500を示した図である。同心円状の孔と孔とのギャップは、約1/32〜約1/8インチ(約0.79〜約3.17ミリ)で良い。
【0029】
穿孔プラズマ閉じ込めリングの開口率は、重要なパラメータである。例えば、開口率が20%の場合のエッチング結果は許容不可能であるが、開口率が50%の場合はエッチングプロフィルが改善される。好ましい実施形態において、穿孔プラズマ閉じ込めリングの開口率は、約20%を上回る。好ましい実施形態において、穿孔プラズマ閉じ込めリングの開口率は約50%である。一般に、開口部の割合は、ガイドラインの記述の範囲内で個々のチャンバ設計に応じて設計するべきであると考えられる。すなわち、充分な割合で開口部を設けることによって、副生成ガスをターボ分子ポンプで効果的に取り除き、チャンバを望ましい圧力に維持するとともに、穿孔プラズマ閉じ込めリングの構造的完全性(structural integrity)を維持することと、さらに重要な、穿孔プラズマ閉じ込めリングの上流側に実質的にプラズマを閉じ込める(副生成ガスの排出経路に沿って見られるように)こととの間で、バランスをとらなければならない。
【0030】
穿孔プラズマ閉じ込めリングは、絶縁シュラウドの内周と静電チャックの外周との間のギャップを実質的に満たすことによって、排出ガスのほぼ全てが穿孔プラズマ閉じ込めリングを通り抜けるようにすることが好ましい。穿孔プラズマ閉じ込めリングが絶縁シュラウドに接している場合は、穿孔プラズマ閉じ込めリングの外周を、絶縁シュラウドの内周にぴたりと合うように採寸する必要があり、穿孔閉じ込めリングと絶縁シュラウドとの間にギャップがある場合は、やはりプラズマを実質的に閉じ込められるように、充分に細いギャップを設ける必要がある。静電チャックの外周にはフォーカスリングを設けても良いが、フォーカスリングは必ずしも常に必要なものではない。フォーカスリングとシリコンリングの両方または片方が省かれた場合は、穿孔プラズマ閉じ込めリングの内周を、ボトム電極をぴたりと取り囲むように採寸することが好ましく、あるいは、穿孔プラズマ閉じ込めリングとボトム電極との間に、やはりプラズマを実質的に閉じ込められるように、充分に細いギャップを設けることが好ましい。当該分野で周知のように、穿孔プラズマ閉じ込めリングとボトム電極との接触面は、電気的に絶縁されている必要がある。
【0031】
本発明の1つの態様において、穿孔プラズマ閉じ込めリングは、基板の汚染を生じることなく効果的に副生成ガスを排出できるような、処理チャンバ内部の位置に配置されている。基板の上方に配置すると、処理中に基板の汚染を生じやすい。これは、このような構造によって、吸着された材料が接触する場所または面が提供されるためである。時間が経過すると、吸着された材料が離れて基板上に到達し、微粒子の汚染を引き起こす。したがって、穿孔プラズマ閉じ込めリングの配置は、部分汚染の低減にとって重要な意味を持つ。穿孔プラズマ閉じ込めリングは、基板の下流側に配置されることが好ましい。この好ましい構成は、基板の上面とほぼ同じ高さ、または、より好ましくは基板の上面から約0〜4インチ(約0〜101.6ミリ)の距離だけ下方に、穿孔プラズマ閉じ込めリングを配置するものである。
【0032】
本発明の1つの実施形態にしたがうと、絶縁シュラウドは、電気的に浮遊されるように構成されることによって、プラズマがチャンバの壁を通して接地されることを防ぐ。また、絶縁シュラウドには、チャンバ内の特定の体積内にプラズマを閉じ込めるという目的もあるので、必要な体積にしたがって採寸することができる。穿孔プラズマ閉じ込めリングに関して前述したように、プラズマの体積を制御してプラズマを閉じ込めると、エッチングの垂直性が向上する傾向にある。絶縁シュラウドは、チャンバ内のプラズマによるエッチングに対して実質的に耐性の材料で形成されることが好ましい。ここで、この材料は電気的に浮遊されており、したがって導体または絶縁体のいずれでも良いことに、注意が必要である。絶縁シュラウドは、基板を過度に汚染せずともプラズマ環境に抵抗できるような材料で形成する必要がある。1つの実施形態では石英を使用しているが、SiC、グラファイト、または米国デラウェア州所在のデュポン社によるVespel(登録商標)等の他の材料を利用しても良い。
【0033】
また、絶縁シュラウドは、処理チャンバから容易に取り除くことが可能である。絶縁シュラウドが取り外し可能であると、汚れたシュラウドを洗浄している間に清潔なシュラウドをチャンバ内に配置できるので、プラズマリアクタの稼働休止時間を短縮することができる。絶縁シュラウドの内径は、絶縁シュラウドと穿孔プラズマ閉じ込めリングとの間の(もし存在するならば)ギャップにプラズマを閉じ込められる範囲内で、穿孔プラズマ閉じ込めリングの外径より大きくまたは小さく設定することができる。
【0034】
別の実施形態において、平行平板型リアクタ内の電極の周波数は、本発明による穿孔プラズマ閉じ込めリングと、二酸化シリコン層をエッチングする際のギャップ距離と、の両方または一方に対して有利に働く周波数に設定される。プラズマ処理に使用される電力の周波数は、工程設計における重要な特徴の1つである。本発明において、トップ電極の周波数は約27MHzであり、ボトム電極の周波数はトップ電極のそれより低く、約2MHzである。
【0035】
二酸化シリコン含有層をエッチングする際のトップ電極とボトム電極との距離は、約0.5〜2インチ(約12.7〜50.8ミリ)の範囲で調整可能である。以下で述べるように、約27MHzと2MHzの2種類の周波数と、約0.5〜2インチ(約12.7〜50.8ミリ)のギャップと、開示した方法による穿孔プラズマ閉じ込めリングの使用とを組み合わせることによって、二酸化シリコン含有層を、驚くべき有利な結果でエッチングすることが可能になる。
【0036】
本発明による特定の一つの適用例では、例えば二酸化シリコン含有層(例えば、TEOS、BPSG、熱酸化膜等々)等の誘電体層を上に有した200mmの基板を、前述したプラズマ処理リアクタシステムにおいてエッチングする。しかしながら、本発明の対象は、特定サイズの基板に限定されない。マスク材はフォトレジスト層を表し、エッチャントはフッ化炭素含有ガス(例えば、C4F8等のフッ化炭素ガス)を含む。
【0037】
トップにおけるRF電源のレベルは約1,000ワットである。ボトムにおけるRF電源のレベルは約2,000ワットである。しかしながら、誘電体全体のエッチング速度とフォトレジストの選択性とを適切にするため、そして、与えられたシステムと与えられた基板スタックとの両方または一方において望ましいエッチングプロフィルを維持するために、これらのRF電源のレベルを最適化しても良い。
【0038】
第1のRF電源に結合された第1の電極は、摂氏約40度の温度で動作し、第2のRF電源に結合された第2の電極は、摂氏約20度の温度で動作する。ボトム電極は、Heによって冷却される。第1の電極と第2の電極の間のギャップは、約2cmである。
【0039】
エッチング中における、前述したプラズマ処理リアクタシステム内の圧力は、約30mTに維持される。アルゴンは約150標準立方センチメートル毎分(sccm)で処理チャンバに流入し、窒素は約20sccmで、C4F8は約12sccmで、そして酸素は約4sccmで流入する。
【0040】
本発明によって、小さい形状を高アスペクト比でエッチングできることがわかる。本発明では、選択性とエッチング速度の両方または一方に大きな悪影響を及ぼすことなく、従来技術による約4:1のアスペクト比を約8:1に向上させて、サイズが0.25ミクロンまたはそれ以下の形状をエッチングすることができる。また、プラズマを閉じ込めて、その体積を制御することによって、基板の中心からエッジにかけて均一なエッチングを行うことができる。新しい発明によるもう1つの大きな利点は、穿孔プラズマ閉じ込めリングを基板の下流側に配置することによって、微粒子汚染を低減し、エッチング均一性の向上に寄与できる点にある。
【0041】
以上では、本発明をいくつかの好ましい実施形態の形で説明したが、本発明の範囲内で、種々の代替、置き換え、および等価物が可能である。例えば、以上では、二酸化シリコン含有層のエッチングを対象とした有利なエッチング手法を開示したが、本発明によるプラズマ処理チャンバは、適切な既知のエッチャントおよび適切なパラメータ(経験に基づいて決定することができる)を使用して任意の層をエッチングするように構成することができる。また、本発明による方法および装置を実現する代替の方法が数多く存在することにも注意が必要である。したがって、添付した特許請求の範囲は、このような代替、置き換え、および等価物の全てを、本発明の真の趣旨および範囲内に含むものとして、解釈される。
【図面の簡単な説明】
【図1】 基板層の断面図であり、二酸化シリコン層を含む代表的な半導体ICのエッチングが行われる層を表している。
【図2】 従来技術によるプラズマ処理リアクタの概略図である。
【図3】 本発明による強化を経たプラズマ処理リアクタの概略図である。
【図4】 本発明による強化を経たプラズマ処理リアクタの上面図である。
【図5a】 穿孔プラズマ閉じ込めリングに適用される様々なグリッド設計を、本発明の実施形態にしたがって示した図である。
【図5b】 穿孔プラズマ閉じ込めリングに適用される様々なグリッド設計を、本発明の実施形態にしたがって示した図である。
【図5c】 穿孔プラズマ閉じ込めリングに適用される様々なグリッド設計を、本発明の実施形態にしたがって示した図である。
【符号の説明】
10…基板スタック
12…マスク
14…下地層
16…基板
18…開口部
20…エッチング形状
22…直径
24…深さ
100…平行平板型リアクタ
102…チャンバの壁
104…トップ電極
105…ガス分布アパーチャ
106…第1のRF電源
108…トップシュラウド
110…ボトム電極
112…第2のRF電源
114…基板
116…フォーカスリング
118…シリコンリング
120…テフロンシュラウド
122…閉じ込めリング
124…同心リング
126…スペース
128…ポート
130…プラズマ
132…距離
200…平行平板型リアクタ
202…チャンバの壁
204…トップ電極
205…ガス分布アパーチャ
206…第1のRF電源
208…トップシュラウド
210…ボトム電極
212…第2のRF電源
214…基板
216…フォーカスリング
218…シリコンリング
220…絶縁シュラウド
222…穿孔プラズマ閉じ込めリング
224…孔
226…ポート
228…プラズマ
230…距離
500…穿孔プラズマ閉じ込めリング
502…円形の孔
504…スロット状の孔
506…同心円状の孔

Claims (23)

  1. 半導体の基板を処理するためのプラズマ処理リアクタであって、
    チャンバと、
    第1のRF周波数を有した第1のRF電源に結合されるように構成されたトップ電極と、
    前記第1のRF周波数より低い第2のRF周波数を有した第2のRF電源に結合されるように構成されたボトム電極と、
    前記チャンバの内壁面に沿って前記チャンバの内側面を覆う絶縁シュラウドであって、前記処理中において電気的に浮遊されるように構成されている絶縁シュラウドと、
    前記ボトム電極の外周の外側に、前記ボトム電極の外周を取り囲んで配置された穿孔プラズマ閉じ込めリングであって、該穿孔プラズマ閉じ込めリングは、前記基板の上面に、または前記基板の上面の下方に配置され、前記穿孔プラズマ閉じ込めリングは、前記処理中において電気的に接地されている、穿孔プラズマ閉じ込めリングとを備え、
    前記穿孔プラズマ閉じ込めリングの外周は、前記絶縁シュラウドに隣接している、プラズマ処理リアクタ。
  2. 半導体の基板を処理するためのプラズマ処理リアクタであって、
    チャンバと、
    第1のRF周波数を有した第1のRF電源に結合されるように構成されたトップ電極と、
    前記第1のRF周波数より低い第2のRF周波数を有した第2のRF電源に結合されるように構成されたボトム電極と、
    前記チャンバの内壁面に沿って前記チャンバの内側面を覆う絶縁シュラウドであって、前記処理中において電気的に浮遊されるように構成されている絶縁シュラウドと、
    前記ボトム電極の外周の外側に、前記ボトム電極の外周を取り囲んで配置された穿孔プラズマ閉じ込めリングであって、該穿孔プラズマ閉じ込めリングは、前記基板の上面に、または前記基板の上面の下方に配置され、前記穿孔プラズマ閉じ込めリングは、前記処理中において電気的に接地されている、穿孔プラズマ閉じ込めリングと、
    前記穿孔プラズマ閉じ込めリングの外周縁部と前記絶縁シュラウドとの間に規定されたギャップであって、プラズマを前記チャンバ内に維持できる十分に細いギャップと
    を備える、プラズマ処理リアクタ。
  3. 半導体の基板を処理するためのプラズマ処理リアクタであって、
    チャンバと、
    第1のRF周波数を有した第1のRF電源に結合されるように構成されたトップ電極と、
    前記第1のRF周波数より低い第2のRF周波数を有した第2のRF電源に結合されるように構成されたボトム電極と、
    前記チャンバの内壁面に沿って前記チャンバの内側面を覆う絶縁シュラウドであって、前記処理中において電気的に浮遊されるように構成されている絶縁シュラウドと、
    前記ボトム電極の外周の外側に、前記ボトム電極の外周を取り囲んで配置された穿孔プラズマ閉じ込めリングであって、該穿孔プラズマ閉じ込めリングは、前記基板の上面に、または前記基板の上面の下方に配置され、前記穿孔プラズマ閉じ込めリングは、前記処理中において電気的に接地されている、穿孔プラズマ閉じ込めリングとを備え、
    前記穿孔プラズマ閉じ込めリングの外径は、前記絶縁シュラウドの内径よりも小さい、プラズマ処理リアクタ。
  4. 請求項1から請求項3のいずれかに記載のプラズマ処理リアクタであって、さらに、
    前記ボトム電極の前記外周を取り囲んで配置され、前記穿孔プラズマ閉じ込めリングに接しているフォーカスリングと、を備えるプラズマ処理リアクタ。
  5. 請求項1から請求項4のいずれかに記載のプラズマ処理リアクタであって、
    前記トップ電極と前記ボトム電極との距離は調整が可能である、プラズマ処理リアクタ。
  6. 請求項1から請求項5のいずれかに記載のプラズマ処理リアクタであって、
    前記トップ電極は多数のガス分布アパーチャを備える、プラズマ処理リアクタ。
  7. 請求項1から請求項6のいずれかに記載のプラズマ処理リアクタであって、
    前記第1の周波数は約27MHzである、プラズマ処理リアクタ。
  8. 請求項1から請求項7のいずれかに記載のプラズマ処理リアクタであって、
    前記ボトム電極は静電チャックである、プラズマ処理リアクタ。
  9. 請求項1から請求項8のいずれかに記載のプラズマ処理リアクタであって、
    前記第2の周波数は約2MHzである、プラズマ処理リアクタ。
  10. 請求項1から請求項9のいずれかに記載のプラズマ処理リアクタであって、
    前記トップ電極と前記ボトム電極との距離は約0.5〜2インチ(12.7〜50.8ミリ)の範囲で調整が可能である、プラズマ処理リアクタ。
  11. 請求項1から請求項10のいずれかに記載のプラズマ処理リアクタであって、
    前記絶縁シュラウドは、前記処理中において、前記チャンバ内のプラズマによるエッチングに対して実質的に耐性の材料で形成される、プラズマ処理リアクタ。
  12. 請求項1から請求項11のいずれかに記載のプラズマ処理リアクタであって、
    前記穿孔プラズマ閉じ込めリングの厚さは約1/4〜約2インチ(約6.35〜約50.8ミリ)の範囲である、プラズマ処理リアクタ。
  13. 請求項1から請求項12のいずれかに記載のプラズマ処理リアクタであって、
    前記穿孔プラズマ閉じ込めリングは、前記処理中において前記チャンバ内のプラズマによるエッチングに対して実質的に耐性であるか、または金属汚染を実質的に引き起こさない導体で形成される、プラズマ処理リアクタ。
  14. 請求項1から請求項13のいずれかに記載のプラズマ処理リアクタであって、
    前記穿孔プラズマ閉じ込めリングは、前記処理による副生成ガスを通すとともに、少なくとも前記絶縁シュラウドと、前記基板と、前記穿孔プラズマ閉じ込めリングとによって規定される体積内にプラズマを実質的に閉じ込めるように構成された多数の孔を有する、プラズマ処理リアクタ。
  15. 請求項1から請求項14のいずれかに記載のプラズマ処理リアクタであって、
    前記穿孔プラズマ閉じ込めリングの孔は円形の孔である、プラズマ処理リアクタ。
  16. 請求項15に記載のプラズマ処理リアクタであって、
    前記円形の孔の直径は約1/16〜約1/8インチ(約1.58〜約3.17ミリ)である、プラズマ処理リアクタ。
  17. 請求項1から請求項14のいずれかに記載のプラズマ処理リアクタであって、
    前記穿孔プラズマ閉じ込めリングの孔は、前記穿孔プラズマ閉じ込めリングの中心に対して線状に且つ放射状に配置されたスロット状の孔である、プラズマ処理リアクタ。
  18. 請求項17に記載のプラズマ処理リアクタであって、
    前記スロット状の孔の幅は約1/32〜約1/8インチ(約0.79〜約3.17ミリ)である、プラズマ処理リアクタ。
  19. 請求項1から請求項14のいずれかに記載のプラズマ処理リアクタであって、
    前記穿孔プラズマ閉じ込めリングの孔は同心円状の孔である、プラズマ処理リアクタ。
  20. 請求項1から請求項19のいずれかに記載のプラズマ処理リアクタであって、
    前記閉じ込めリングはSiCで形成される、プラズマ処理リアクタ。
  21. 請求項1から請求項20のいずれかに記載のプラズマ処理リアクタであって、
    前記穿孔プラズマ閉じ込めリングの前記上面は、前記基板の前記上面から約4インチ未満の距離だけ下方に設けられている、プラズマ処理チャンバ。
  22. 請求項1から請求項21のいずれかに記載のプラズマ処理リアクタであって、
    前記穿孔プラズマ閉じ込めリングの開口率は約20%より大きい、プラズマ処理リアクタ。
  23. 請求項1から請求項21のいずれかに記載のプラズマ処理リアクタであって、
    前記穿孔プラズマ閉じ込めリングの開口率は約50%である、プラズマ処理リアクタ。
JP2000591650A 1998-12-28 1999-12-22 プラズマリアクタにおける穿孔プラズマ閉じ込めリング Expired - Lifetime JP5013632B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/222,588 1998-12-28
US09/222,588 US6178919B1 (en) 1998-12-28 1998-12-28 Perforated plasma confinement ring in plasma reactors
PCT/US1999/030739 WO2000039837A1 (en) 1998-12-28 1999-12-22 Perforated plasma confinement ring in plasma reactors

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2010153155A Division JP5517797B2 (ja) 1998-12-28 2010-07-05 プラズマリアクタにおける穿孔プラズマ閉じ込めリング

Publications (3)

Publication Number Publication Date
JP2002533949A JP2002533949A (ja) 2002-10-08
JP2002533949A5 JP2002533949A5 (ja) 2011-02-10
JP5013632B2 true JP5013632B2 (ja) 2012-08-29

Family

ID=22832839

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2000591650A Expired - Lifetime JP5013632B2 (ja) 1998-12-28 1999-12-22 プラズマリアクタにおける穿孔プラズマ閉じ込めリング
JP2010153155A Expired - Lifetime JP5517797B2 (ja) 1998-12-28 2010-07-05 プラズマリアクタにおける穿孔プラズマ閉じ込めリング
JP2013095385A Expired - Lifetime JP5766230B2 (ja) 1998-12-28 2013-04-30 プラズマ処理リアクタ

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2010153155A Expired - Lifetime JP5517797B2 (ja) 1998-12-28 2010-07-05 プラズマリアクタにおける穿孔プラズマ閉じ込めリング
JP2013095385A Expired - Lifetime JP5766230B2 (ja) 1998-12-28 2013-04-30 プラズマ処理リアクタ

Country Status (6)

Country Link
US (2) US6178919B1 (ja)
EP (1) EP1149403B1 (ja)
JP (3) JP5013632B2 (ja)
KR (1) KR100760243B1 (ja)
TW (1) TW443078B (ja)
WO (1) WO2000039837A1 (ja)

Families Citing this family (187)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4217299B2 (ja) * 1998-03-06 2009-01-28 東京エレクトロン株式会社 処理装置
US6184489B1 (en) * 1998-04-13 2001-02-06 Nec Corporation Particle-removing apparatus for a semiconductor device manufacturing apparatus and method of removing particles
US6444087B2 (en) * 1999-01-20 2002-09-03 Hitachi, Ltd. Plasma etching system
US6358324B1 (en) * 1999-04-27 2002-03-19 Tokyo Electron Limited Microwave plasma processing apparatus having a vacuum pump located under a susceptor
US20050061445A1 (en) * 1999-05-06 2005-03-24 Tokyo Electron Limited Plasma processing apparatus
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP3379506B2 (ja) * 2000-02-23 2003-02-24 松下電器産業株式会社 プラズマ処理方法及び装置
US6364958B1 (en) * 2000-05-24 2002-04-02 Applied Materials, Inc. Plasma assisted semiconductor substrate processing chamber having a plurality of ground path bridges
US6433484B1 (en) * 2000-08-11 2002-08-13 Lam Research Corporation Wafer area pressure control
AUPR008700A0 (en) 2000-09-13 2000-10-05 Brigatti, John Murray Biocidal composition
US6872281B1 (en) * 2000-09-28 2005-03-29 Lam Research Corporation Chamber configuration for confining a plasma
US6492774B1 (en) * 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
JP4602532B2 (ja) * 2000-11-10 2010-12-22 東京エレクトロン株式会社 プラズマ処理装置
JP4676074B2 (ja) * 2001-02-15 2011-04-27 東京エレクトロン株式会社 フォーカスリング及びプラズマ処理装置
US6602381B1 (en) 2001-04-30 2003-08-05 Lam Research Corporation Plasma confinement by use of preferred RF return path
US6974523B2 (en) * 2001-05-16 2005-12-13 Lam Research Corporation Hollow anode plasma reactor and method
KR100884414B1 (ko) * 2001-05-16 2009-02-19 램 리서치 코포레이션 애노드형 플라즈마 반응기 및 방법
US6527911B1 (en) 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
KR100422446B1 (ko) * 2001-07-12 2004-03-12 삼성전자주식회사 건식식각장치의 이그저스트링
US20030024900A1 (en) * 2001-07-24 2003-02-06 Tokyo Electron Limited Variable aspect ratio plasma source
US6984288B2 (en) * 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
JP2003100713A (ja) * 2001-09-26 2003-04-04 Kawasaki Microelectronics Kk プラズマ電極用カバー
US6887340B2 (en) 2001-11-13 2005-05-03 Lam Research Corporation Etch rate uniformity
US6744212B2 (en) * 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
US20050120960A1 (en) * 2002-03-12 2005-06-09 Tokyo Electron Limited Substrate holder for plasma processing
US6846747B2 (en) * 2002-04-09 2005-01-25 Unaxis Usa Inc. Method for etching vias
TWI228786B (en) * 2002-04-16 2005-03-01 Anelva Corp Electrostatic chucking stage and substrate processing apparatus
JP4082924B2 (ja) * 2002-04-16 2008-04-30 キヤノンアネルバ株式会社 静電吸着ホルダー及び基板処理装置
US6936135B2 (en) * 2002-04-17 2005-08-30 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly for reducing particulate contaminant in a plasma processing chamber
US6926803B2 (en) * 2002-04-17 2005-08-09 Lam Research Corporation Confinement ring support assembly
JP2004119448A (ja) * 2002-09-24 2004-04-15 Nec Kyushu Ltd プラズマエッチング装置およびプラズマエッチング方法
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
JP4141234B2 (ja) * 2002-11-13 2008-08-27 キヤノンアネルバ株式会社 プラズマ処理装置
US7494560B2 (en) * 2002-11-27 2009-02-24 International Business Machines Corporation Non-plasma reaction apparatus and method
US7780786B2 (en) * 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
US7296534B2 (en) * 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
US7075771B2 (en) * 2003-05-21 2006-07-11 Tokyo Electron Limited Apparatus and methods for compensating plasma sheath non-uniformities at the substrate in a plasma processing system
JP2005039004A (ja) * 2003-07-18 2005-02-10 Hitachi High-Technologies Corp プラズマエッチング装置およびプラズマエッチング方法
US7144521B2 (en) * 2003-08-22 2006-12-05 Lam Research Corporation High aspect ratio etch using modulation of RF powers of various frequencies
US20050050708A1 (en) * 2003-09-04 2005-03-10 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded fastener apparatus and method for preventing particle contamination
US20050061444A1 (en) * 2003-09-24 2005-03-24 Yoshiaki Noda Plasma cleaning device
US7461614B2 (en) * 2003-11-12 2008-12-09 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7180227B2 (en) * 2004-01-16 2007-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Piezoelectric o-ring transducer
US7845309B2 (en) * 2004-07-13 2010-12-07 Nordson Corporation Ultra high speed uniform plasma processing system
US20060037702A1 (en) * 2004-08-20 2006-02-23 Tokyo Electron Limited Plasma processing apparatus
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7632375B2 (en) * 2004-12-30 2009-12-15 Lam Research Corporation Electrically enhancing the confinement of plasma
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US7198677B2 (en) * 2005-03-09 2007-04-03 Wafermasters, Inc. Low temperature wafer backside cleaning
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
JP2006303309A (ja) * 2005-04-22 2006-11-02 Hitachi High-Technologies Corp プラズマ処理装置
US7837825B2 (en) * 2005-06-13 2010-11-23 Lam Research Corporation Confined plasma with adjustable electrode area ratio
US7713379B2 (en) * 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US20070007244A1 (en) * 2005-07-05 2007-01-11 International Business Machines Corporation Detection of loss of plasma confinement
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US8366829B2 (en) * 2005-08-05 2013-02-05 Advanced Micro-Fabrication Equipment, Inc. Asia Multi-station decoupled reactive ion etch chamber
CN100358099C (zh) * 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 等离子体处理装置
US8608851B2 (en) * 2005-10-14 2013-12-17 Advanced Micro-Fabrication Equipment, Inc. Asia Plasma confinement apparatus, and method for confining a plasma
CN100416757C (zh) * 2005-12-07 2008-09-03 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体刻蚀装置排气环
US20070137576A1 (en) * 2005-12-19 2007-06-21 Varian Semiconductor Equipment Associates, Inc. Technique for providing an inductively coupled radio frequency plasma flood gun
US7632377B2 (en) * 2006-01-24 2009-12-15 United Microelectronics Corp. Dry etching apparatus capable of monitoring motion of WAP ring thereof
US8012306B2 (en) * 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US8911590B2 (en) * 2006-02-27 2014-12-16 Lam Research Corporation Integrated capacitive and inductive power sources for a plasma etching chamber
US7578258B2 (en) * 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
JP4885585B2 (ja) * 2006-03-23 2012-02-29 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
US8141514B2 (en) * 2006-03-23 2012-03-27 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, and storage medium
KR100776616B1 (ko) * 2006-05-04 2007-11-15 한국기계연구원 평판형 저온 플라즈마 반응기
US7722778B2 (en) * 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
US7416677B2 (en) * 2006-08-11 2008-08-26 Tokyo Electron Limited Exhaust assembly for plasma processing system and method
KR100809957B1 (ko) * 2006-09-20 2008-03-07 삼성전자주식회사 반도체 식각장치
US20080194113A1 (en) * 2006-09-20 2008-08-14 Samsung Electronics Co., Ltd. Methods and apparatus for semiconductor etching including an electro static chuck
US7780866B2 (en) 2006-11-15 2010-08-24 Applied Materials, Inc. Method of plasma confinement for enhancing magnetic control of plasma radial distribution
US20080110567A1 (en) * 2006-11-15 2008-05-15 Miller Matthew L Plasma confinement baffle and flow equalizer for enhanced magnetic control of plasma radial distribution
JP4754465B2 (ja) * 2006-11-30 2011-08-24 パナソニック株式会社 プラズマ処理装置およびそのクリーニング方法
US20080178805A1 (en) * 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
KR100823302B1 (ko) * 2006-12-08 2008-04-17 주식회사 테스 플라즈마 처리 장치
KR100978754B1 (ko) 2008-04-03 2010-08-30 주식회사 테스 플라즈마 처리 장치
US20080277064A1 (en) * 2006-12-08 2008-11-13 Tes Co., Ltd. Plasma processing apparatus
US7758718B1 (en) 2006-12-29 2010-07-20 Lam Research Corporation Reduced electric field arrangement for managing plasma confinement
JP2008187062A (ja) * 2007-01-31 2008-08-14 Hitachi High-Technologies Corp プラズマ処理装置
KR101480738B1 (ko) 2007-04-27 2015-01-09 어플라이드 머티어리얼스, 인코포레이티드 환형 배플
US7988815B2 (en) * 2007-07-26 2011-08-02 Applied Materials, Inc. Plasma reactor with reduced electrical skew using electrical bypass elements
US20090025879A1 (en) * 2007-07-26 2009-01-29 Shahid Rauf Plasma reactor with reduced electrical skew using a conductive baffle
US9184072B2 (en) * 2007-07-27 2015-11-10 Mattson Technology, Inc. Advanced multi-workpiece processing chamber
JP5209954B2 (ja) * 2007-12-21 2013-06-12 株式会社ユーテック 成膜処理用治具及びプラズマcvd装置
US8522715B2 (en) * 2008-01-08 2013-09-03 Lam Research Corporation Methods and apparatus for a wide conductance kit
TWI516175B (zh) * 2008-02-08 2016-01-01 蘭姆研究公司 在電漿處理腔室中穩定壓力的方法及其程式儲存媒體
JP2009200184A (ja) * 2008-02-20 2009-09-03 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理装置のバッフル板
CN101541140B (zh) * 2008-03-17 2012-08-22 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理装置及其屏蔽环
JP5102706B2 (ja) * 2008-06-23 2012-12-19 東京エレクトロン株式会社 バッフル板及び基板処理装置
JP5164107B2 (ja) * 2008-07-01 2013-03-13 株式会社ユーテック プラズマcvd装置、薄膜の製造方法及び磁気記録媒体の製造方法
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
JP5350043B2 (ja) * 2009-03-31 2013-11-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP2010245145A (ja) * 2009-04-02 2010-10-28 Shibaura Mechatronics Corp プラズマ処理装置
US9337004B2 (en) * 2009-04-06 2016-05-10 Lam Research Corporation Grounded confinement ring having large surface area
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
SG169960A1 (en) * 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
KR200464037Y1 (ko) 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
US9111729B2 (en) 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
JP5397215B2 (ja) * 2009-12-25 2014-01-22 ソニー株式会社 半導体製造装置、半導体装置の製造方法、シミュレーション装置及びシミュレーションプログラム
US8249900B2 (en) * 2010-02-10 2012-08-21 Morgan Stanley & Co. Llc System and method for termination of pension plan through mutual annuitization
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
JP5444044B2 (ja) * 2010-03-02 2014-03-19 東京エレクトロン株式会社 プラズマ処理装置及びシャワーヘッド
US20110226739A1 (en) * 2010-03-19 2011-09-22 Varian Semiconductor Equipment Associates, Inc. Process chamber liner with apertures for particle containment
US8597462B2 (en) 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
US8826855B2 (en) 2010-06-30 2014-09-09 Lam Research Corporation C-shaped confinement ring for a plasma processing chamber
JP5597463B2 (ja) * 2010-07-05 2014-10-01 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US9076826B2 (en) 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
US8471476B2 (en) 2010-10-08 2013-06-25 Varian Semiconductor Equipment Associates, Inc. Inductively coupled plasma flood gun using an immersed low inductance FR coil and multicusp magnetic arrangement
US10170277B2 (en) 2011-05-31 2019-01-01 Applied Materials, Inc. Apparatus and methods for dry etch with edge, side and back protection
US8912077B2 (en) * 2011-06-15 2014-12-16 Applied Materials, Inc. Hybrid laser and plasma etch wafer dicing using substrate carrier
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US8872525B2 (en) 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
SG11201402447TA (en) 2011-11-24 2014-06-27 Lam Res Corp Plasma processing chamber with flexible symmetric rf return strap
JP5808012B2 (ja) * 2011-12-27 2015-11-10 東京エレクトロン株式会社 プラズマ処理装置
US9224618B2 (en) * 2012-01-17 2015-12-29 Lam Research Corporation Method to increase mask selectivity in ultra-high aspect ratio etches
CN103377979B (zh) * 2012-04-30 2016-06-08 细美事有限公司 调节板和具有该调节板的用于处理基板的装置
US10103018B2 (en) * 2012-07-31 2018-10-16 Semes Co., Ltd. Apparatus for treating substrate
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9401264B2 (en) * 2013-10-01 2016-07-26 Lam Research Corporation Control of impedance of RF delivery path
US9337000B2 (en) * 2013-10-01 2016-05-10 Lam Research Corporation Control of impedance of RF return path
US11326255B2 (en) * 2013-02-07 2022-05-10 Uchicago Argonne, Llc ALD reactor for coating porous substrates
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
JP6220183B2 (ja) * 2013-08-07 2017-10-25 株式会社ディスコ プラズマエッチング装置
US9293303B2 (en) * 2013-08-30 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Low contamination chamber for surface activation
US9101038B2 (en) 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
CN103811263B (zh) * 2014-02-25 2016-06-01 清华大学 等离子体约束装置及具有其的等离子体处理装置
US10002782B2 (en) 2014-10-17 2018-06-19 Lam Research Corporation ESC assembly including an electrically conductive gasket for uniform RF power delivery therethrough
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9793097B2 (en) 2015-07-27 2017-10-17 Lam Research Corporation Time varying segmented pressure control
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN106920731B (zh) * 2015-12-28 2019-11-08 中微半导体设备(上海)股份有限公司 一种限制等离子体泄露的接地环以及反应腔
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
CN108206143B (zh) * 2016-12-16 2020-09-25 中微半导体设备(上海)股份有限公司 一种等离子处理器、刻蚀均匀性调节系统及方法
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
GB201709446D0 (en) 2017-06-14 2017-07-26 Semblant Ltd Plasma processing apparatus
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
KR102449621B1 (ko) 2017-08-22 2022-09-30 삼성전자주식회사 쉬라우드 유닛 및 이를 포함하는 기판 처리 장치
CN108048814B (zh) * 2017-12-22 2020-01-31 凌嘉科技股份有限公司 具有反应式离子蚀刻功能的连续式镀膜系统
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10950449B2 (en) * 2018-01-12 2021-03-16 Asm Ip Holding B.V. Substrate processing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN208835019U (zh) * 2018-11-12 2019-05-07 江苏鲁汶仪器有限公司 一种反应腔内衬
US10879052B2 (en) * 2018-11-21 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma processing apparatus and manufacturing method using the same
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN111586957B (zh) * 2019-02-19 2021-05-04 大连理工大学 一种容性耦合等离子体放电装置
US20220139661A1 (en) * 2019-04-01 2022-05-05 One Semicon. Co., Ltd. Manufacturing method of plasma focus ring for semiconductor etching apparatus
US11111578B1 (en) 2020-02-13 2021-09-07 Uchicago Argonne, Llc Atomic layer deposition of fluoride thin films
USD943539S1 (en) 2020-03-19 2022-02-15 Applied Materials, Inc. Confinement plate for a substrate processing chamber
US11380524B2 (en) 2020-03-19 2022-07-05 Applied Materials, Inc. Low resistance confinement liner for use in plasma chamber
USD979524S1 (en) 2020-03-19 2023-02-28 Applied Materials, Inc. Confinement liner for a substrate processing chamber
US20210343508A1 (en) * 2020-04-30 2021-11-04 Applied Materials, Inc. Metal oxide preclean chamber with improved selectivity and flow conductance
CN115249604A (zh) * 2021-04-26 2022-10-28 中微半导体设备(上海)股份有限公司 限制环、等离子体处理装置及气压控制方法
KR102591654B1 (ko) * 2021-10-20 2023-10-19 ( 주)아이씨디 축전 결합 플라즈마 기판 처리 장치
KR102606837B1 (ko) * 2021-11-02 2023-11-29 피에스케이 주식회사 상부 전극 유닛, 그리고 이를 포함하는 기판 처리 장치
US11901169B2 (en) 2022-02-14 2024-02-13 Uchicago Argonne, Llc Barrier coatings

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04287318A (ja) * 1990-11-23 1992-10-12 Applied Materials Inc プラズマ処理の方法および装置
WO1996001889A1 (en) * 1994-07-08 1996-01-25 The Procter & Gamble Company Fabric softening bar compositions containing fabric softener, nonionic phase modifier and water
JPH0927396A (ja) * 1995-07-10 1997-01-28 Lam Res Corp プラズマ閉じ込めを使用するプラズマエッチング装置
JPH0955374A (ja) * 1995-06-08 1997-02-25 Tokyo Electron Ltd プラズマ処理装置
JPH09129611A (ja) * 1995-10-26 1997-05-16 Tokyo Electron Ltd エッチング方法
WO1997047022A1 (en) * 1996-06-05 1997-12-11 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
WO1998001895A1 (fr) * 1996-07-08 1998-01-15 Hitachi, Ltd. Procede de fabrication d'un composant de circuit integre a semi-conducteur
JPH1064882A (ja) * 1996-05-13 1998-03-06 Applied Materials Inc ポリマ硬化前駆体材料の熱源を有するプラズマリアクタ
JPH10321605A (ja) * 1997-05-20 1998-12-04 Tokyo Electron Ltd プラズマ処理装置

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4464223A (en) 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4632719A (en) 1985-09-18 1986-12-30 Varian Associates, Inc. Semiconductor etching apparatus with magnetic array and vertical shield
JPH029115A (ja) 1988-06-28 1990-01-12 Mitsubishi Electric Corp 半導体製造装置
JP3061346B2 (ja) * 1994-03-07 2000-07-10 東京エレクトロン株式会社 処理装置
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
TW299559B (ja) 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
JP3521161B2 (ja) * 1994-07-09 2004-04-19 日本たばこ産業株式会社 ホスホエノールピルビン酸カルボキシキナーゼをコードするdna、それを含む組換えベクター及び形質転換植物
US5605637A (en) * 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US5891350A (en) 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
EP0821395A3 (en) 1996-07-19 1998-03-25 Tokyo Electron Limited Plasma processing apparatus
US6051100A (en) * 1997-10-24 2000-04-18 International Business Machines Corporation High conductance plasma containment structure
US6019060A (en) 1998-06-24 2000-02-01 Lam Research Corporation Cam-based arrangement for positioning confinement rings in a plasma processing chamber
US5998932A (en) 1998-06-26 1999-12-07 Lam Research Corporation Focus ring arrangement for substantially eliminating unconfined plasma in a plasma processing chamber

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04287318A (ja) * 1990-11-23 1992-10-12 Applied Materials Inc プラズマ処理の方法および装置
WO1996001889A1 (en) * 1994-07-08 1996-01-25 The Procter & Gamble Company Fabric softening bar compositions containing fabric softener, nonionic phase modifier and water
JPH0955374A (ja) * 1995-06-08 1997-02-25 Tokyo Electron Ltd プラズマ処理装置
JPH0927396A (ja) * 1995-07-10 1997-01-28 Lam Res Corp プラズマ閉じ込めを使用するプラズマエッチング装置
JPH09129611A (ja) * 1995-10-26 1997-05-16 Tokyo Electron Ltd エッチング方法
JPH1064882A (ja) * 1996-05-13 1998-03-06 Applied Materials Inc ポリマ硬化前駆体材料の熱源を有するプラズマリアクタ
WO1997047022A1 (en) * 1996-06-05 1997-12-11 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
WO1998001895A1 (fr) * 1996-07-08 1998-01-15 Hitachi, Ltd. Procede de fabrication d'un composant de circuit integre a semi-conducteur
JPH10321605A (ja) * 1997-05-20 1998-12-04 Tokyo Electron Ltd プラズマ処理装置

Also Published As

Publication number Publication date
US20010000104A1 (en) 2001-04-05
WO2000039837A8 (en) 2001-08-30
KR100760243B1 (ko) 2007-09-19
US6506685B2 (en) 2003-01-14
JP2013175770A (ja) 2013-09-05
KR20010089689A (ko) 2001-10-08
WO2000039837A1 (en) 2000-07-06
EP1149403B1 (en) 2016-06-29
JP2002533949A (ja) 2002-10-08
EP1149403A1 (en) 2001-10-31
JP2010267981A (ja) 2010-11-25
TW443078B (en) 2001-06-23
JP5766230B2 (ja) 2015-08-19
JP5517797B2 (ja) 2014-06-11
US6178919B1 (en) 2001-01-30

Similar Documents

Publication Publication Date Title
JP5013632B2 (ja) プラズマリアクタにおける穿孔プラズマ閉じ込めリング
JP4657458B2 (ja) 低容量の誘電体層をエッチングするための技術
KR100274306B1 (ko) 에칭방법
TWI249205B (en) Method for improving uniformity and reducing etch rate variation of etching polysilicon
JPH05308062A (ja) ドライエッチング方法
US6136722A (en) Plasma etching method for forming hole in masked silicon dioxide
KR100842947B1 (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
KR101540816B1 (ko) 플라즈마 에칭 방법, 컴퓨터 기억 매체 및 플라즈마 에칭 장치
JPS6136589B2 (ja)
KR101065240B1 (ko) 플라즈마 처리 시스템에서의 에칭 동안 포토레지스트일그러짐을 감소시키는 방법
WO2000031787A1 (fr) Dispositif de gravure a sec et procede de gravure a sec
JP4387801B2 (ja) 半導体ウェーハの乾式蝕刻方法
JPWO2003017343A1 (ja) ドライ現像方法
KR20180124754A (ko) 에칭 방법
JP4336680B2 (ja) 反応性イオンエッチング装置
JP4643916B2 (ja) 層間絶縁膜のドライエッチング方法及びその装置
JP2917993B1 (ja) ドライエッチング方法
JP3002033B2 (ja) ドライエッチング方法
US6432730B2 (en) Plasma processing method and apparatus
TWI753413B (zh) 電漿處理方法
JPH0758087A (ja) プラズマ処理装置
JP2000299306A (ja) 誘導結合型プラズマエッチング装置
JP2003163205A (ja) 酸化膜エッチング方法
KR100526007B1 (ko) 플라즈마 에칭장치
JP3082702B2 (ja) プラズマ処理装置及び金属配線のエッチング方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061212

A524 Written submission of copy of amendment under section 19 (pct)

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20070420

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070423

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20091222

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100105

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100402

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100409

A524 Written submission of copy of amendment under section 19 (pct)

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20100705

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100907

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110208

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110607

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20110608

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20110824

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111108

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120208

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120215

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120426

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120522

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120605

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150615

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5013632

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term