WO2000039837A8 - Perforated plasma confinement ring in plasma reactors - Google Patents

Perforated plasma confinement ring in plasma reactors

Info

Publication number
WO2000039837A8
WO2000039837A8 PCT/US1999/030739 US9930739W WO0039837A8 WO 2000039837 A8 WO2000039837 A8 WO 2000039837A8 US 9930739 W US9930739 W US 9930739W WO 0039837 A8 WO0039837 A8 WO 0039837A8
Authority
WO
WIPO (PCT)
Prior art keywords
plasma
confinement ring
perforated
processing
frequency
Prior art date
Application number
PCT/US1999/030739
Other languages
French (fr)
Other versions
WO2000039837A1 (en
Inventor
Lumin Li
George Mueller
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=22832839&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=WO2000039837(A8) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Lam Res Corp filed Critical Lam Res Corp
Priority to EP99966604.3A priority Critical patent/EP1149403B1/en
Priority to JP2000591650A priority patent/JP5013632B2/en
Publication of WO2000039837A1 publication Critical patent/WO2000039837A1/en
Publication of WO2000039837A8 publication Critical patent/WO2000039837A8/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/915Differential etching apparatus including focus ring surrounding a wafer for plasma apparatus

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

The invention relates to a plasma processing reactor apparatus for semiconductor processing a substrate. The apparatus includes a chamber. The apparatus further includes a top electrode configured to be coupled to a first RF power source having a first RF frequency and a bottom electrode configured to be coupled to second RF power source having a second RF frequency that is lower than the first RF frequency. The apparatus additionally includes an insulating shroud that lines an interior of the chamber, the insulating shroud being configured to be electrically floating during the processing. The apparatus further includes a perforated plasma confinement ring disposed outside of an outer periphery of the bottom electrode, a top surface of the perforated plasma confinement ring being disposed below a top surface of the substrate and electrically grounded during the processing.
PCT/US1999/030739 1998-12-28 1999-12-22 Perforated plasma confinement ring in plasma reactors WO2000039837A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
EP99966604.3A EP1149403B1 (en) 1998-12-28 1999-12-22 Plasma reactor with perforated plasma confinement ring
JP2000591650A JP5013632B2 (en) 1998-12-28 1999-12-22 Perforated plasma confinement ring in plasma reactor

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/222,588 US6178919B1 (en) 1998-12-28 1998-12-28 Perforated plasma confinement ring in plasma reactors
US09/222,588 1998-12-28

Publications (2)

Publication Number Publication Date
WO2000039837A1 WO2000039837A1 (en) 2000-07-06
WO2000039837A8 true WO2000039837A8 (en) 2001-08-30

Family

ID=22832839

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1999/030739 WO2000039837A1 (en) 1998-12-28 1999-12-22 Perforated plasma confinement ring in plasma reactors

Country Status (6)

Country Link
US (2) US6178919B1 (en)
EP (1) EP1149403B1 (en)
JP (3) JP5013632B2 (en)
KR (1) KR100760243B1 (en)
TW (1) TW443078B (en)
WO (1) WO2000039837A1 (en)

Families Citing this family (187)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4217299B2 (en) * 1998-03-06 2009-01-28 東京エレクトロン株式会社 Processing equipment
US6184489B1 (en) * 1998-04-13 2001-02-06 Nec Corporation Particle-removing apparatus for a semiconductor device manufacturing apparatus and method of removing particles
US6444087B2 (en) * 1999-01-20 2002-09-03 Hitachi, Ltd. Plasma etching system
US6358324B1 (en) * 1999-04-27 2002-03-19 Tokyo Electron Limited Microwave plasma processing apparatus having a vacuum pump located under a susceptor
US20050061445A1 (en) * 1999-05-06 2005-03-24 Tokyo Electron Limited Plasma processing apparatus
KR20010062209A (en) * 1999-12-10 2001-07-07 히가시 데쓰로 Processing apparatus with a chamber having therein a high-etching resistant sprayed film
JP3379506B2 (en) * 2000-02-23 2003-02-24 松下電器産業株式会社 Plasma processing method and apparatus
US6364958B1 (en) * 2000-05-24 2002-04-02 Applied Materials, Inc. Plasma assisted semiconductor substrate processing chamber having a plurality of ground path bridges
US6433484B1 (en) * 2000-08-11 2002-08-13 Lam Research Corporation Wafer area pressure control
AUPR008700A0 (en) 2000-09-13 2000-10-05 Brigatti, John Murray Biocidal composition
US6872281B1 (en) * 2000-09-28 2005-03-29 Lam Research Corporation Chamber configuration for confining a plasma
US6492774B1 (en) * 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
JP4602532B2 (en) * 2000-11-10 2010-12-22 東京エレクトロン株式会社 Plasma processing equipment
JP4676074B2 (en) * 2001-02-15 2011-04-27 東京エレクトロン株式会社 Focus ring and plasma processing apparatus
US6602381B1 (en) * 2001-04-30 2003-08-05 Lam Research Corporation Plasma confinement by use of preferred RF return path
US6974523B2 (en) * 2001-05-16 2005-12-13 Lam Research Corporation Hollow anode plasma reactor and method
KR100884414B1 (en) * 2001-05-16 2009-02-19 램 리서치 코포레이션 Hollow anode plasma reactor and method
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
KR100422446B1 (en) * 2001-07-12 2004-03-12 삼성전자주식회사 Exhaust ring of dry etch device
US20030024900A1 (en) * 2001-07-24 2003-02-06 Tokyo Electron Limited Variable aspect ratio plasma source
US6984288B2 (en) * 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
JP2003100713A (en) * 2001-09-26 2003-04-04 Kawasaki Microelectronics Kk Cover for plasma electrode
US6887340B2 (en) * 2001-11-13 2005-05-03 Lam Research Corporation Etch rate uniformity
US6744212B2 (en) * 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
US20050120960A1 (en) * 2002-03-12 2005-06-09 Tokyo Electron Limited Substrate holder for plasma processing
US6846747B2 (en) * 2002-04-09 2005-01-25 Unaxis Usa Inc. Method for etching vias
TWI228786B (en) * 2002-04-16 2005-03-01 Anelva Corp Electrostatic chucking stage and substrate processing apparatus
JP4082924B2 (en) * 2002-04-16 2008-04-30 キヤノンアネルバ株式会社 Electrostatic chuck holder and substrate processing apparatus
US6926803B2 (en) * 2002-04-17 2005-08-09 Lam Research Corporation Confinement ring support assembly
US6936135B2 (en) * 2002-04-17 2005-08-30 Lam Research Corporation Twist-N-Lock wafer area pressure ring and assembly for reducing particulate contaminant in a plasma processing chamber
JP2004119448A (en) * 2002-09-24 2004-04-15 Nec Kyushu Ltd Apparatus and method for plasma etching
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
JP4141234B2 (en) * 2002-11-13 2008-08-27 キヤノンアネルバ株式会社 Plasma processing equipment
US7494560B2 (en) * 2002-11-27 2009-02-24 International Business Machines Corporation Non-plasma reaction apparatus and method
KR100772740B1 (en) * 2002-11-28 2007-11-01 동경 엘렉트론 주식회사 Internal member of a plasma processing vessel
US7296534B2 (en) * 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
US7075771B2 (en) * 2003-05-21 2006-07-11 Tokyo Electron Limited Apparatus and methods for compensating plasma sheath non-uniformities at the substrate in a plasma processing system
JP2005039004A (en) * 2003-07-18 2005-02-10 Hitachi High-Technologies Corp System and method for plasma etching
US7144521B2 (en) * 2003-08-22 2006-12-05 Lam Research Corporation High aspect ratio etch using modulation of RF powers of various frequencies
US20050050708A1 (en) * 2003-09-04 2005-03-10 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded fastener apparatus and method for preventing particle contamination
US20050061444A1 (en) * 2003-09-24 2005-03-24 Yoshiaki Noda Plasma cleaning device
US7461614B2 (en) * 2003-11-12 2008-12-09 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7180227B2 (en) * 2004-01-16 2007-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Piezoelectric o-ring transducer
US7845309B2 (en) * 2004-07-13 2010-12-07 Nordson Corporation Ultra high speed uniform plasma processing system
US20060037702A1 (en) * 2004-08-20 2006-02-23 Tokyo Electron Limited Plasma processing apparatus
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7632375B2 (en) * 2004-12-30 2009-12-15 Lam Research Corporation Electrically enhancing the confinement of plasma
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US7198677B2 (en) * 2005-03-09 2007-04-03 Wafermasters, Inc. Low temperature wafer backside cleaning
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
JP2006303309A (en) * 2005-04-22 2006-11-02 Hitachi High-Technologies Corp Plasma treatment apparatus
US7837825B2 (en) * 2005-06-13 2010-11-23 Lam Research Corporation Confined plasma with adjustable electrode area ratio
US7713379B2 (en) 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US20070007244A1 (en) * 2005-07-05 2007-01-11 International Business Machines Corporation Detection of loss of plasma confinement
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
CN100358099C (en) * 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 Plasma processing device
US8366829B2 (en) * 2005-08-05 2013-02-05 Advanced Micro-Fabrication Equipment, Inc. Asia Multi-station decoupled reactive ion etch chamber
US8608851B2 (en) * 2005-10-14 2013-12-17 Advanced Micro-Fabrication Equipment, Inc. Asia Plasma confinement apparatus, and method for confining a plasma
CN100416757C (en) * 2005-12-07 2008-09-03 北京北方微电子基地设备工艺研究中心有限责任公司 Plasma etching device exhaustring
US20070137576A1 (en) * 2005-12-19 2007-06-21 Varian Semiconductor Equipment Associates, Inc. Technique for providing an inductively coupled radio frequency plasma flood gun
US7632377B2 (en) * 2006-01-24 2009-12-15 United Microelectronics Corp. Dry etching apparatus capable of monitoring motion of WAP ring thereof
US8012306B2 (en) * 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US8911590B2 (en) * 2006-02-27 2014-12-16 Lam Research Corporation Integrated capacitive and inductive power sources for a plasma etching chamber
US7578258B2 (en) * 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
US8141514B2 (en) * 2006-03-23 2012-03-27 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, and storage medium
JP4885585B2 (en) * 2006-03-23 2012-02-29 東京エレクトロン株式会社 Plasma processing apparatus, plasma processing method, and storage medium
KR100776616B1 (en) * 2006-05-04 2007-11-15 한국기계연구원 Flat type Plasma Reactor
US7722778B2 (en) * 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
US7416677B2 (en) * 2006-08-11 2008-08-26 Tokyo Electron Limited Exhaust assembly for plasma processing system and method
US20080194113A1 (en) * 2006-09-20 2008-08-14 Samsung Electronics Co., Ltd. Methods and apparatus for semiconductor etching including an electro static chuck
KR100809957B1 (en) * 2006-09-20 2008-03-07 삼성전자주식회사 Semiconductor etching device
US7780866B2 (en) 2006-11-15 2010-08-24 Applied Materials, Inc. Method of plasma confinement for enhancing magnetic control of plasma radial distribution
US20080110567A1 (en) * 2006-11-15 2008-05-15 Miller Matthew L Plasma confinement baffle and flow equalizer for enhanced magnetic control of plasma radial distribution
JP4754465B2 (en) * 2006-11-30 2011-08-24 パナソニック株式会社 Plasma processing apparatus and cleaning method thereof
US20080178805A1 (en) * 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
KR100823302B1 (en) * 2006-12-08 2008-04-17 주식회사 테스 Plasma processing apparatus
US20080277064A1 (en) * 2006-12-08 2008-11-13 Tes Co., Ltd. Plasma processing apparatus
KR100978754B1 (en) 2008-04-03 2010-08-30 주식회사 테스 Plasma processing apparatus
US7758718B1 (en) * 2006-12-29 2010-07-20 Lam Research Corporation Reduced electric field arrangement for managing plasma confinement
JP2008187062A (en) * 2007-01-31 2008-08-14 Hitachi High-Technologies Corp Plasma processing equipment
US8647438B2 (en) 2007-04-27 2014-02-11 Applied Materials, Inc. Annular baffle
US7988815B2 (en) * 2007-07-26 2011-08-02 Applied Materials, Inc. Plasma reactor with reduced electrical skew using electrical bypass elements
US20090025879A1 (en) * 2007-07-26 2009-01-29 Shahid Rauf Plasma reactor with reduced electrical skew using a conductive baffle
US9184072B2 (en) * 2007-07-27 2015-11-10 Mattson Technology, Inc. Advanced multi-workpiece processing chamber
JP5209954B2 (en) * 2007-12-21 2013-06-12 株式会社ユーテック Deposition processing jig and plasma CVD apparatus
US8522715B2 (en) * 2008-01-08 2013-09-03 Lam Research Corporation Methods and apparatus for a wide conductance kit
TWI516175B (en) * 2008-02-08 2016-01-01 蘭姆研究公司 A method to stabilize pressure in a plasma processing chamber, and a program storage medium of same
JP2009200184A (en) * 2008-02-20 2009-09-03 Tokyo Electron Ltd Plasma processing apparatus, and baffle plate of plasma processing apparatus
CN101541140B (en) * 2008-03-17 2012-08-22 北京北方微电子基地设备工艺研究中心有限责任公司 Plasma treatment equipment and shielding ring thereof
JP5102706B2 (en) * 2008-06-23 2012-12-19 東京エレクトロン株式会社 Baffle plate and substrate processing apparatus
JP5164107B2 (en) * 2008-07-01 2013-03-13 株式会社ユーテック Plasma CVD apparatus, thin film manufacturing method, and magnetic recording medium manufacturing method
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
JP5350043B2 (en) * 2009-03-31 2013-11-27 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
JP2010245145A (en) * 2009-04-02 2010-10-28 Shibaura Mechatronics Corp Plasma processing device
US9337004B2 (en) * 2009-04-06 2016-05-10 Lam Research Corporation Grounded confinement ring having large surface area
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
SG169960A1 (en) * 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
JP3160877U (en) 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation End-clamping and machine-fixed inner electrode of showerhead electrode assembly
US9111729B2 (en) * 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
JP5397215B2 (en) * 2009-12-25 2014-01-22 ソニー株式会社 Semiconductor manufacturing apparatus, semiconductor device manufacturing method, simulation apparatus, and simulation program
US8249900B2 (en) * 2010-02-10 2012-08-21 Morgan Stanley & Co. Llc System and method for termination of pension plan through mutual annuitization
US9190289B2 (en) 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
JP5444044B2 (en) * 2010-03-02 2014-03-19 東京エレクトロン株式会社 Plasma processing apparatus and shower head
US20110226739A1 (en) * 2010-03-19 2011-09-22 Varian Semiconductor Equipment Associates, Inc. Process chamber liner with apertures for particle containment
US8597462B2 (en) 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
US8826855B2 (en) 2010-06-30 2014-09-09 Lam Research Corporation C-shaped confinement ring for a plasma processing chamber
JP5597463B2 (en) * 2010-07-05 2014-10-01 東京エレクトロン株式会社 Substrate processing apparatus and substrate processing method
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US9076826B2 (en) 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
US8471476B2 (en) 2010-10-08 2013-06-25 Varian Semiconductor Equipment Associates, Inc. Inductively coupled plasma flood gun using an immersed low inductance FR coil and multicusp magnetic arrangement
WO2012166265A2 (en) 2011-05-31 2012-12-06 Applied Materials, Inc. Apparatus and methods for dry etch with edge, side and back protection
US8912077B2 (en) * 2011-06-15 2014-12-16 Applied Materials, Inc. Hybrid laser and plasma etch wafer dicing using substrate carrier
US9177762B2 (en) * 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US8872525B2 (en) 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
KR102011535B1 (en) 2011-11-24 2019-08-16 램 리써치 코포레이션 Plasma processing chamber with flexible symmetric rf return strap
JP5808012B2 (en) * 2011-12-27 2015-11-10 東京エレクトロン株式会社 Plasma processing equipment
US9224618B2 (en) * 2012-01-17 2015-12-29 Lam Research Corporation Method to increase mask selectivity in ultra-high aspect ratio etches
CN103377979B (en) * 2012-04-30 2016-06-08 细美事有限公司 Adjustable plate and the device for the treatment of substrate with this adjustable plate
CN103578906B (en) * 2012-07-31 2016-04-27 细美事有限公司 For the treatment of the device of substrate
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9337000B2 (en) * 2013-10-01 2016-05-10 Lam Research Corporation Control of impedance of RF return path
US9401264B2 (en) * 2013-10-01 2016-07-26 Lam Research Corporation Control of impedance of RF delivery path
US11326255B2 (en) * 2013-02-07 2022-05-10 Uchicago Argonne, Llc ALD reactor for coating porous substrates
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
JP6220183B2 (en) * 2013-08-07 2017-10-25 株式会社ディスコ Plasma etching equipment
US9293303B2 (en) 2013-08-30 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Low contamination chamber for surface activation
US9101038B2 (en) 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
CN103811263B (en) * 2014-02-25 2016-06-01 清华大学 Plasm restraint device and there is its plasma processing apparatus
US10002782B2 (en) 2014-10-17 2018-06-19 Lam Research Corporation ESC assembly including an electrically conductive gasket for uniform RF power delivery therethrough
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9793097B2 (en) 2015-07-27 2017-10-17 Lam Research Corporation Time varying segmented pressure control
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN106920731B (en) * 2015-12-28 2019-11-08 中微半导体设备(上海)股份有限公司 A kind of ground loop and reaction chamber limiting plasma leakage
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
CN108206143B (en) * 2016-12-16 2020-09-25 中微半导体设备(上海)股份有限公司 Plasma processor, etching uniformity adjusting system and method
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
GB201709446D0 (en) 2017-06-14 2017-07-26 Semblant Ltd Plasma processing apparatus
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
KR102449621B1 (en) 2017-08-22 2022-09-30 삼성전자주식회사 Shroud unit and substrate treating apparatus including the same
CN108048814B (en) * 2017-12-22 2020-01-31 凌嘉科技股份有限公司 Continuous coating system with reactive ion etching function
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10950449B2 (en) * 2018-01-12 2021-03-16 Asm Ip Holding B.V. Substrate processing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN208835019U (en) * 2018-11-12 2019-05-07 江苏鲁汶仪器有限公司 A kind of reaction chamber liner
US10879052B2 (en) * 2018-11-21 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma processing apparatus and manufacturing method using the same
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN111586957B (en) * 2019-02-19 2021-05-04 大连理工大学 Capacitive coupling plasma discharge device
US20220139661A1 (en) * 2019-04-01 2022-05-05 One Semicon. Co., Ltd. Manufacturing method of plasma focus ring for semiconductor etching apparatus
US11111578B1 (en) 2020-02-13 2021-09-07 Uchicago Argonne, Llc Atomic layer deposition of fluoride thin films
USD979524S1 (en) 2020-03-19 2023-02-28 Applied Materials, Inc. Confinement liner for a substrate processing chamber
US11380524B2 (en) 2020-03-19 2022-07-05 Applied Materials, Inc. Low resistance confinement liner for use in plasma chamber
USD943539S1 (en) 2020-03-19 2022-02-15 Applied Materials, Inc. Confinement plate for a substrate processing chamber
US20210343508A1 (en) * 2020-04-30 2021-11-04 Applied Materials, Inc. Metal oxide preclean chamber with improved selectivity and flow conductance
CN115249604A (en) * 2021-04-26 2022-10-28 中微半导体设备(上海)股份有限公司 Confinement ring, plasma processing apparatus and gas pressure control method
KR102591654B1 (en) * 2021-10-20 2023-10-19 ( 주)아이씨디 Capacitively Coupled Plasma Substrate Processing Apparatus
KR102606837B1 (en) * 2021-11-02 2023-11-29 피에스케이 주식회사 Upper electrode unit and substrate processing apparatus including same
US11901169B2 (en) 2022-02-14 2024-02-13 Uchicago Argonne, Llc Barrier coatings

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4464223A (en) 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4632719A (en) 1985-09-18 1986-12-30 Varian Associates, Inc. Semiconductor etching apparatus with magnetic array and vertical shield
JPH029115A (en) 1988-06-28 1990-01-12 Mitsubishi Electric Corp Semiconductor manufacturing equipment
US5312778A (en) 1989-10-03 1994-05-17 Applied Materials, Inc. Method for plasma processing using magnetically enhanced plasma chemical vapor deposition
US6036877A (en) * 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
JP3061346B2 (en) * 1994-03-07 2000-07-10 東京エレクトロン株式会社 Processing equipment
US5900103A (en) 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
TW299559B (en) 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US5510042A (en) * 1994-07-08 1996-04-23 The Procter & Gamble Company Fabric softening bar compositions containing fabric softener, nonionic phase mofifier and water
JP3521161B2 (en) * 1994-07-09 2004-04-19 日本たばこ産業株式会社 DNA encoding phosphoenolpyruvate carboxykinase, recombinant vector containing the same, and transformed plant
US5891350A (en) 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
US5605637A (en) * 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
JP3192370B2 (en) * 1995-06-08 2001-07-23 東京エレクトロン株式会社 Plasma processing equipment
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
JPH09129611A (en) * 1995-10-26 1997-05-16 Tokyo Electron Ltd Etching
US5820723A (en) * 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
WO1998001895A1 (en) * 1996-07-08 1998-01-15 Hitachi, Ltd. Method of production of semiconductor integrated circuit device
EP0821395A3 (en) 1996-07-19 1998-03-25 Tokyo Electron Limited Plasma processing apparatus
JP3468446B2 (en) * 1997-05-20 2003-11-17 東京エレクトロン株式会社 Plasma processing equipment
US6051100A (en) * 1997-10-24 2000-04-18 International Business Machines Corporation High conductance plasma containment structure
US6019060A (en) 1998-06-24 2000-02-01 Lam Research Corporation Cam-based arrangement for positioning confinement rings in a plasma processing chamber
US5998932A (en) 1998-06-26 1999-12-07 Lam Research Corporation Focus ring arrangement for substantially eliminating unconfined plasma in a plasma processing chamber

Also Published As

Publication number Publication date
JP2013175770A (en) 2013-09-05
JP5013632B2 (en) 2012-08-29
KR20010089689A (en) 2001-10-08
TW443078B (en) 2001-06-23
JP2002533949A (en) 2002-10-08
WO2000039837A1 (en) 2000-07-06
JP5766230B2 (en) 2015-08-19
US6506685B2 (en) 2003-01-14
EP1149403B1 (en) 2016-06-29
JP2010267981A (en) 2010-11-25
US6178919B1 (en) 2001-01-30
EP1149403A1 (en) 2001-10-31
US20010000104A1 (en) 2001-04-05
JP5517797B2 (en) 2014-06-11
KR100760243B1 (en) 2007-09-19

Similar Documents

Publication Publication Date Title
WO2000039837A8 (en) Perforated plasma confinement ring in plasma reactors
US20200312681A1 (en) Substrate processing apparatus
KR100198862B1 (en) Plasma processing apparatus
KR100240534B1 (en) Method of operating a high density plasma cvd reactor with combined inductive and capacitive coupling
KR100914075B1 (en) Pedestal with integral shield
JP5215875B2 (en) Integrated capacitive and inductive power supply for plasma etching chamber
TW328138B (en) Chamber etching method of plasma processing apparatus and plasma apparatus using such method
IL136874A (en) Focus rings and method therefor
TW279240B (en) Parallel-plate icp source/rf bias electrode head
WO2002025695A3 (en) Tunable focus ring for plasma processing
US6427621B1 (en) Plasma processing device and plasma processing method
TWI611457B (en) Plasma processing equipment, cleaning system thereof and control method
KR960035786A (en) R.F. Plasma Reactor Using a Base Supported Conductor Larger Than Wafer
TW376547B (en) Method and apparatus for plasma processing
KR970003557A (en) Dual frequency capacitively coupled plasma reactor for material processing
JP5175302B2 (en) Wafer edge processing method and processing apparatus
MY124608A (en) Semiconductor process chamber electrode
WO2004102638A3 (en) Rf pulsing of a narrow gap capacitively coupled reactor
CN106898534A (en) Plasma confinement ring, plasma processing apparatus and processing method for substrate
KR970077336A (en) Plasma treatment apparatus and treatment method
KR910010753A (en) Plasma treatment method and apparatus using electron cyclotron resonance
JPH07153743A (en) Plasma treatment device
EP0820087A3 (en) RF plasma reactor with hybrid conductor and multi-radius dome ceiling
TWI741439B (en) Plasma processing device
JP3247491B2 (en) Plasma processing equipment

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): IL JP KR SG

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 1020017008021

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 1999966604

Country of ref document: EP

ENP Entry into the national phase

Ref country code: JP

Ref document number: 2000 591650

Kind code of ref document: A

Format of ref document f/p: F

AK Designated states

Kind code of ref document: C1

Designated state(s): IL JP KR SG

AL Designated countries for regional patents

Kind code of ref document: C1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE

CFP Corrected version of a pamphlet front page
CR1 Correction of entry in section i
WWP Wipo information: published in national office

Ref document number: 1020017008021

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 1999966604

Country of ref document: EP