JPH1064882A - ポリマ硬化前駆体材料の熱源を有するプラズマリアクタ - Google Patents

ポリマ硬化前駆体材料の熱源を有するプラズマリアクタ

Info

Publication number
JPH1064882A
JPH1064882A JP9122676A JP12267697A JPH1064882A JP H1064882 A JPH1064882 A JP H1064882A JP 9122676 A JP9122676 A JP 9122676A JP 12267697 A JP12267697 A JP 12267697A JP H1064882 A JPH1064882 A JP H1064882A
Authority
JP
Japan
Prior art keywords
polymer
temperature
precursor
reactor
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP9122676A
Other languages
English (en)
Inventor
Kenneth S Collins
エス. コリンズ ケネス
Michael Rice
ライス マイケル
David W Groechel
ダブリュー. グルーケル デイヴィッド
Gerald Zheyao Yin
ジェアウ イン ジェラルド
Jon Mohn
モーン ジョン
Craig A Roderick
エー. ロデリック クレイグ
Douglas Buchberger
ブクバーガー ダグラス
Chan-Lon Yang
ヤン チャン−ロン
Yuen-Kui Wong
ウォン エン−クイ
Jeffrey Marks
マークス ジェフリー
Peter Keswick
ケスウィック ピーター
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH1064882A publication Critical patent/JPH1064882A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32688Multi-cusp fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01FMAGNETS; INDUCTANCES; TRANSFORMERS; SELECTION OF MATERIALS FOR THEIR MAGNETIC PROPERTIES
    • H01F29/00Variable transformers or inductances not covered by group H01F21/00
    • H01F29/14Variable transformers or inductances not covered by group H01F21/00 with variable magnetic bias
    • H01F2029/143Variable transformers or inductances not covered by group H01F21/00 with variable magnetic bias with control winding for generating magnetic bias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3345Problems associated with etching anisotropy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3346Selectivity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/338Changing chemical properties of treated surfaces
    • H01J2237/3382Polymerising

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Health & Medical Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Carbon And Carbon Compounds (AREA)
  • Silicon Compounds (AREA)

Abstract

(57)【要約】 【課題】 ポリマ強度の増加と捕集体からのシリコン除
去速度の低減を図り、所望のエッチング選択性を得るこ
と。 【解決手段】 本発明の基本的な方法は、ポリマ硬化前
駆体部品(例えばシリコン、炭素、炭化珪素又は窒化シ
リコン、ただしシリコンが望ましい)を、炭化フッ素又
はフッ化炭化水素ガスによるエッチング処理中にリアク
タチャンバ内に提供し、前記ポリマ硬化前駆体部品を加
熱して重合温度を十分に上回るようにして、シリコンに
対する酸化物のエッチング選択性の所望の増加をさせ
る。基本的に、ポリマ硬化前駆体すなわちシリコン部品
が、リアクタチャンバ10の壁部12及び/又は天井部
14と一体の一部分であっても、迅速に取外し可能な別
体の消耗部品であってもよく、加熱/冷却装置はシリコ
ン部品を伝導により又は遠隔方式により加熱する装置を
含む任意の適当なタイプでもよい。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体ウェハ等の
加工物を、ポリマ前駆体ガスなどのエッチング選択性向
上前駆体材料(etch selectivity-enhancing precursor
material)を用いる処理でもって処理するためのプラズ
マリアクタに関する。
【0002】
【従来の技術】二酸化シリコン層を通って下地のポリシ
リコン導体層及び/又は半導体ウェハのシリコン基板ま
で、コンタクト開口部をエッチングで形成するための高
密度RFプラズマリアクタが開示されている。理想的に
は、コンタクト開口部が形成されるべきいかなる場所に
おいても、そのようなリアクタではエッチング処理が実
行され、二酸化シリコン被覆層を迅速にエッチングする
が、いかなる場所においても下地のポリシリコン又はシ
リコン材料(又は窒化シリコンのような他の非酸素含有
材料)が露出されるとすぐに、エッチング処理が速やか
に停止し、それによって処理の酸化物のシリコンに対す
るエッチング選択性(oxide-to-silicon etch selectivi
ty)が高くなるのが理想的である。典型的には、そのよ
うなリアクタは、真空チャンバ、チャンバ内のウェハ支
持体、チャンバへの処理ガス入口部、通常はプラズマ源
電力を供給するRF電源に接続され、チャンバに隣接し
たプラズマ源コイル、及び、通常はプラズマバイアス電
力を供給するためウェハ支持体に接続されたもう一つの
RF電源を含む。酸化シリコンエッチング処理の場合
は、フッ素含有物質のようなエッチャントを含む処理ガ
スがチャンバへ導入される。処理ガス中のフッ素は普通
の条件下で自由に解離するので、エッチング処理は、コ
ンタクト開口部がエッチングして形成されるべき酸化シ
リコン層を攻撃するだけでなく、下地のポリシリコン材
料すなわちシリコン材料がエッチング処理によって露出
されるや否や、それを攻撃する。したがって、上述のリ
アクタによって行われる典型的なエッチング処理は、必
要とされる理想の処理ではなく、酸化物に対するシリコ
ンエッチング選択性が低い。「エッチング選択性」とい
う用語が本明細書中で用いられるが、2つの異なる材
料、例えば二酸化シリコンとシリコンとの間のエッチン
グ速度の比を意味する(以下、結晶シリコン或いは多結
晶シリコンを、「ポリシリコン」と呼ぶ)。選択性が低
いと穿孔(punch through)が生じる可能性がある。下地
のシリコン基板に達する深いコンタクト開口部をエッチ
ングして形成すると同時に、中間のポリシリコン層に達
する浅いコンタクト開口部をエッチングして形成する場
合、エッチング処理は、シリコン基板に達する前に、ま
ず中間のポリシリコン層に達してこれに穿孔する。穿孔
の防止には、極めて高い酸化物のシリコンに対するエッ
チング選択性が必要であるが、これは酸化シリコンを貫
くシリコン基板の深さと中間ポリシリコン層の深さとの
比に依存する。例えば、もし(a)酸化物を貫いて基板
に至る深いコンタクト開口部の深さが1.0ミクロンで
あって、50%のオーバエッチングが行われる場合、
(b)中間のポリシリコン層の深さが(酸化物層の上面
の下から)0.4ミクロンである場合、及び、(c)除
去すべき中間のポリシリコン層の厚さが(穿孔防止のた
め)0.01ミクロン以下である場合には、酸化物に対
するシリコンのエッチング選択性が少なくとも110:
1であることが必要とされる。
【0003】酸化物に対するシリコンのエッチング選択
性をポリマフィルムが高め、二酸化シリコン又は他の酸
素含有層上よりも、シリコン及びポリシリコン又は非酸
素含有層上に容易に形成されうることが知られている。
そのような選択性向上ポリマフィルムを形成するため
に、処理ガス中のフッ素含有物質は、炭化フッ素(fluo
ro-carbon)又はフッ化炭化水素(fluoro-hydrocarbo
n)である。ウェハ上の二酸化シリコン層を化学エッチ
ングする際には、処理ガス中のフッ素が消費されたりす
る。更に、フッ素は処理ガス中に含まれている炭素等の
他の核種(species)と反応してウェハ面上にポリマを
形成したりする。このポリマは、露出したシリコン及び
ポリシリコン表面(又は他の非酸素含有面)上に、二酸
化シリコン(又は他の酸素含有表面)上よりも急速かつ
強力に形成されるので、シリコン及びポリシリコンをエ
ッチャントから保護し、エッチング選択性を高める。更
に、エッチング選択性は、ポリシリコン上に形成される
ポリマ強度を改善することにより改善される。ポリマ
は、フッ素に対するポリマ中の炭素の比率を増すことに
よって強化されるが、このことは、プラズマ中の遊離し
たフッ素の量を減らすことにより達成される。この目的
のために、シリコン部品などのフッ素捕集体(flouorine
scavenger)をリアクタチャンバ内に設け、加熱するこ
とによってポリマの被覆を避け、更にシリコンイオン、
ラジカル及び/又は中性核種(neutral species)をそ
こから除去してプラズマ中へ取り入れることを許容す
る。捕集体により除去されたシリコン原子はプラズマ中
の遊離フッ素の一部と化合し、重合に利用され得るフッ
素量を減少させ、ウェハ上に形成されるポリマ中の炭素
比を高める。
【0004】
【発明が解決しようとする課題】リアクタチャンバ内に
おいて加熱シリコン部品のようなフッ素捕集体を用いる
と、ウェハ上に形成されるポリマが強化されることによ
り、エッチング選択性が高まるが、そのようにして高め
られたエッチング選択性でさえ、深さが非常に異なる複
数のコンタクトホールの同時エッチングのような特定の
用途には比較的不十分なことがあり得る。従って、ポリ
マ強度を高めて、上述された改善された捕集技術によっ
て達成されるものを超えることが望ましい。
【0005】もう一つの問題は、ポリマ強度をかなり高
めるために必要な捕集部品からのシリコンの除去速度が
非常に大きく、そのためシリコン部品の消耗が急速であ
り、その結果、交換の代償として、生産性の低下とコス
ト上昇をもたらす。典型的には、捕集部品は、リアクタ
チャンバの天井部や壁部にあるシリコン部品又はリアク
タチャンバの天井部付近のシリコンの部品である。RF
バイアス電圧がシリコン部品へ印加されるが、このと
き、その温度がポリマの堆積の防止に合わせて慎重に制
御され、シリコンの除去速度が制御されることによっ
て、捕集部品からのシリコンの除去速度が高められる。
出願番号が08/543,067の米国特許出願に開示されている
ように、シリコンは、印加されるRFバイアスと捕集部
品の加熱との組合せによってプラズマ中へ加えられる。
温度制御装置がシリコン部品と一体になっているので、
シリコン部品(すなわちシリコンの天井部)の交換コス
トは比較的高くつく。出願番号が08/597,577の米国特許
出願には、全シリコンリアクタチャンバが開示され、そ
こでは壁部と天井部がシリコンであって、フッ素捕集は
シリコンの天井部や壁部を消耗しながら行われ、それら
は定期交換が必要であって、それに伴ないコストは上昇
し、生産性が低下する。このように、所望のエッチング
選択性を達成するためには、ポリマ強度の増加のみなら
ず、捕集体からのシリコン除去速度の低減も望ましい。
【0006】
【課題を解決するための手段】本発明は、リアクタチャ
ンバ内で、シリコンのようなポリマ硬化前駆体材料の温
度を上げて、単にフッ素を捕集するのに必要とされる温
度よりも高く、すなわち、より高い温度範囲にすること
により、露出したシリコン及びポリシリコンの表面上
に、より耐久性のある異種ポリマが形成されて、単にフ
ッ素を捕集するだけの場合よりも、高い耐エッチング性
を有していることを見出した。この点に関して、「ポリ
マ硬化前駆体材料」という用語は、チャンバ内の材料で
あって、その温度が上昇したときに、その温度上昇に応
じてウェハ上に形成されるポリマの耐エッチング性が増
加するものをいう。高い温度範囲でポリマ硬化前駆体材
料を保持することにより形成されるポリマは、他の方法
で形成されたポリマよりも耐久性があって、シリコン及
びポリシリコン面を極めて良好に保護して、シリコンに
対する酸化物のエッチング選択性が、これまで得られて
いたものよりも実質的に高められる。加熱されたポリマ
硬化前駆体(例えば、シリコン)部品の材料は、フッ素
に対する炭素の処理ガス成分比、フッ素に対する水素の
処理ガス成分比、及び、フッ素に対する炭素の処理ガス
成分比を温度増加の関数として変化させることによっ
て、重合に有利に関与し、その結果として生じるポリマ
が実質的に強化される。リアクタチャンバ内のポリマ硬
化前駆体材料部品が加熱されて、重合温度(ポリマ前駆
体材料が表面上に凝縮することができる温度)を超えて
より高い温度範囲までに達したとき、エッチング選択性
は温度上昇とともに高まる。このように、本発明の基本
的な方法は、(シリコン、炭素、炭化珪素又は窒化珪
素、とりわけ好適にはシリコンのような)ポリマ硬化前
駆体材料部品を、エッチング処理中に、炭化フッ素、フ
ッ化炭化水素ガスと共にリアクタチャンバに提供し、当
該ポリマ硬化前駆体材料部品を加熱して、重合温度を十
分に上回る温度(すなわち、より高い温度範囲)にし
て、これまで得られたシリコンに対する酸化物のエッチ
ング選択性が所望の増加をすることが達成されることで
ある。
【0007】本発明の他の実施形態によると、本発明
は、ポリマ硬化前駆体材料の温度を増して、ポリマの硬
度が更に高くなる最高温度範囲にまで高めることができ
ることを見出した。このことは、ポリマ表面の光沢のあ
る外観によって分かる場合がある。かかる最高温度範囲
においては、ポリマ硬化前駆体部品の材料がポリマに入
って極めて硬いポリマを実現するものと考えられる。例
えば、ポリマ硬化前駆体材料がシリコンであって、最高
温度範囲に維持された場合には、その結果として生じる
ウェハ上のポリマにはシリコンが含まれている。
【0008】第1実施形態の高温度範囲と第2実施形態
の最高温度範囲は、ポリマ硬化前駆体部品に印加される
RFバイアスに依存する。結晶シリコンのポリマ硬化前
駆体部品に外部から印加されるRFバイアスすなわちR
Fの電位がない場合には、当該高温度範囲は約100℃
〜約220℃であり、一方、当該最高温度範囲は約22
0℃、好ましくは300℃〜700℃であった。しか
し、直接又は間接に印加される任意のRFバイアス電力
により、上述の温度範囲は急速に低い方へ移動する。
【0009】ポリマ硬化前駆体(例えばシリコン)部品
は、リアクタチャンバの壁部及び/又は天井部の一部と
一体となっていてもよい。しかしながら、それは、でき
れば別体の迅速取外し可能消耗部品であるものが好まし
く、また、加熱/冷却装置は、伝導又は遠隔方式でポリ
マ硬化前駆体部品を加熱する任意の適当なタイプのもの
でよい。或いは、ポリマ硬化前駆体部品のプラズマ加熱
が十分であれば、所望の効果が、少なくとも高温度範囲
(すなわち重合温度を超える温度範囲)に維持するた
め、ポリマ硬化前駆体部品を冷却することを防止するこ
とにより得られる。このような態様において、ポリマ硬
化前駆体部品の必要な加熱は、ポリマ硬化前駆体部品に
機械的に結合された伝導加熱装置の代わりに、プラズマ
加熱を利用することによって達成される。
【0010】本発明の好適な実施形態によれば、加熱装
置がポリマ硬化前駆体部品に直接すなわち機械的に結合
されていないので、この部品を廉価に製作でき、リアク
タチャンバから迅速に取外すことができる。このような
形態において、ポリマ硬化前駆体部品は、壁部や天井部
のようなチャンバの構造特徴部分と別体であって、リア
クタチャンバ内における形状が単純となった消耗部品で
あり、加熱装置等の他の装置への接続用の機械的特徴部
分を有していない。加熱装置は、伝導方式よりもむしろ
放射又は誘導方式によりポリマ硬化前駆体部品を加熱し
て、機械的結合を回避し、消耗部品の取外しや交換によ
る影響を受けず、且つ、機械的結合に対する温度敏感性
をなくすことが望ましい。また、シリコン部品の冷却が
必要とされる場合、放射冷却を用いて機械的結合を避け
ることが望ましい。同様に、温度制御も、遠隔的に(例
えば、光高温プローブによる再放射又は蛍光(fluoro-op
tical)温度プローブによる誘導放出により)シリコン部
品の温度を検知することにより得られ、温度検知装置が
シリコン部品に機械的に結合しないようになる。したが
って、好ましい実施形態では、ポリマ硬化前駆体部品の
放射(又は誘導)加熱、放射冷却及び遠隔温度検知が用
いられ、機械的結合に対して温度制御の敏感性が排除さ
れる。
【0011】ポリマ硬化前駆体部品の遠隔温度検知は、
光高温プローブ又は蛍光温度プローブを用いて実施され
うる。後者の利点としては、それが被測定物の熱放射率
(thermal emissivity)に無関係であるということであ
る。
【0012】本発明の一態様では、シリコン部品が、ポ
リマ硬化前駆体部品としてだけでなく、熱源とプラズマ
源領域との間のシールドとして機能し、熱源(例えば放
射又は誘導ヒータ)がプラズマを発生することを防止し
ている。更に、それは熱源(又はその窓部)を遮蔽し
て、プラズマ又はその腐食効果に対して露出しないよう
にしている。
【0013】好ましい実施形態において、ポリマ硬化前
駆体消耗部品は、ウェハ支持体すなわちウェハチャック
の周縁部から、半径方向外向きにチャンバ側壁部に向か
って延びる平らなシリコン環状体又はベースプレートで
ある。(更に、もし必要ならば、シリコンベースプレー
トはシールドにも役立ち、ある種のプラズマリアクタに
用いられるウェハペデスタル上のウェハを保持する下の
セラミッククランプ(又は静電チャック)が、プラズマ
から保護されることができる。)好ましい実施形態にお
いて、シリコンベースプレートは、下にあるコイルによ
って誘導加熱されるが、他の任意の適当な遠隔加熱技
術、例えば赤外線放射加熱を用いることができる。この
目的のために、適当な抵抗率を有するシリコン材料が、
加熱シリコン部品用として選択されて、その下にあるコ
イルによる効率的な誘導加熱と少なくともほぼ完全な誘
導磁界の吸収が確保され、シリコン部品が熱シールドだ
けでなく、プラズマシールドとしても機能するようにな
る。温度制御システムは、シリコン部品に対向する放射
温度センサを用い、放射に対して透明な窓部、又は、少
なくとも温度センサが応答する波長領域においてほぼ透
明な窓部を介して、シリコンベースプレートの温度をモ
ニタする。ある実施形態では、放射に対して透明な窓部
を石英とし、センサを光高温計(optical pyrometer)
とし、また、窒化シリコンからなる小部品のような黒体
放射部品又は灰色体放射部品を、温度センサから見える
シリコンベースプレート上の位置に接着して、センサ性
能を高めている。
【0014】本発明が、出願番号が08/597,577である米
国特許出願の全シリコンリアクタチャンバで、(放射加
熱されるシリコンベースプレートの形態で)用いられる
場合には、全シリコンリアクタチャンバの壁部はエッチ
ングモードではなく「少量堆積」モードで作動され、シ
リコンの側壁部すなわちスカート部及びシリコンの天井
部が消耗しないよう(すなわち、少なくともそれらの消
耗速度が低減するよう)にする。従って、主として消耗
するものは、廉価で速やかに交換可能なシリコンベース
プレートである。このようなことは、シリコンの側壁部
すなわちスカート部及びシリコンの天井部の温度を下
げ、且つ、印加されるRFバイアスを低減又は除去する
ことにより(例えば壁部すなわちスカート部及び/又は
天井部を接地することにより)最良に達成される。シリ
コン壁部とシリコン天井部の温度、及び、それらへ印加
されるRFバイアスは、ポリマが少量だけ堆積すること
を許容することにより、消耗が最小になる点までで、し
かも、それらの上へのポリマ堆積が稠密になって除去困
難になる点を超えないように低減されることが好まし
い。このように少量だけ堆積したポリマは、プラズマ清
浄化ステップによって迅速かつ容易に除去できる。これ
により、上記出願に記載されているように、頻度の高い
チャンバクリーンニングの必要性を回避したり最小化し
たりする際に、全シリコンリアクタチャンバ主要な利点
が維持される。或いは、好ましくはないが、シリコンの
壁部と天井部へ大量のポリマ堆積を許容する「大量堆積
モード」を選んでもよい。
【0015】本発明の他の実施形態によれば、互いに独
立したシリコン消耗部品が、被処理ウェハに対して別の
半径方向の位置において、ウェハの半径方向の部分上で
エッチング選択性を独立に制御することを可能にするた
めに配設されている。本実施形態を、出願番号が08/59
7,577である米国特許出願に開示されている各半径方向
位置において、別々に制御可能なコイルの特徴部分、及
び、各半径方向位置において別々に制御可能な電極の特
徴部分に組合わせることができる。
【0016】更に、本発明では、上述のように高い温度
を必要とするシリコン部品によって実行されるポリマ硬
化前駆体の機能が、高い消耗速度を付随していることを
意味しないことが見出された。シリコン部品がリアクタ
内において消耗部品でない場合(たとえ消耗部品であっ
ても)、その消耗速度を低減してコストを節約すると
き、重合温度を上回る温度においてそのポリマ硬化機能
を保持しつつことができる。これは、シリコン部品に印
加されるRFバイアスを低減し、そのとき、温度を更に
高めてRFバイアスの低減を補償することにより達成さ
れて、ポリマ硬化が処理に寄与することを維持する。一
実施形態によれば、RFバイアスを1/4に減らし消耗
速度を劇的に減らし、そのとき、シリコン部品温度を約
25%だけ増してポリマ硬化機能を維持することができ
る。印加したRFバイアスが完全に除去されるまで、温
度を上げることが望ましい。
【0017】以上説明したポリマ硬化前駆体の種々の実
施形態に用いられる好ましい材料はシリコンであるが、
リアクタ内のその部品を加熱することによって、ポリマ
の硬度に対する寄与することができる他の任意の適当な
材料が前述の実施形態に用いられてもよい。シリコン以
外の適当なポリマ硬化前駆体材料としては、炭化珪素、
炭素、及び窒化珪素がある。このように、本発明は、シ
リコンを含むタイプのポリマ硬化前駆体材料を、少なく
とも(重合温度を上回る)高い温度範囲まで加熱するこ
とについて述べられいることがより基本的である。
【0018】
【発明の実施の形態】図1を参照すると、出願番号が08
/580,026である米国特許出願には、プラズマリアクタチ
ャンバ10が開示されており、円筒形の側壁部12と、
平坦な天井部(flat ceiling)14と、半導体ウェハ等の
被処理加工物17を支持するためのウェハ支持ペデスタ
ル16と、円筒形側壁部12の周りに巻かれた側部誘導
コイル18と、天井部14、ペデスタル16及び誘導コ
イル18にそれぞれ接続された独立RF電源20,2
2,24とを有する。特に、コリンズ等の出願には、天
井部14がフッ素捕集体を設けるためのシリコンを備え
ることができることが開示されている。このような目的
のため、RF電源20により、シリコン天井部14にR
F電力を印加してそこからのシリコン除去速度を高めて
いる。
【0019】図2を参照すると、ライス(Rice)他による
出願には、側壁部12が石英であり、また、石英製側壁
部12とシリコンの天井部14との温度を制御するため
の温度制御システムが設けられていることが開示されて
いる。温度制御システムは、石英製側壁部12に接続さ
れた冷熱源30及び加熱源32と、シリコン天井部14
に接続された冷熱源34及び加熱源36とを含む。側壁
部12と天井部14とにそれぞれ接続された温度センサ
38,40はそれぞれコントローラ42,44によって
モニタされる。コントローラ42が石英製側壁部12の
冷熱源30と加熱源32とを制御する一方、コントロー
ラ44はシリコン製天井部14の冷熱源34と加熱源3
6とを制御する。シリコン製天井部14の温度を制御す
る目的により、少なくとも部分的には、天井部14にポ
リマが集積するのが防止され、天井部14がプラズマに
捕集シリコンを与えることを防止する。従って、コント
ローラ14は、処理条件によって、天井部の温度をポリ
マの凝縮(すなわち重合)温度、すなわち約170℃よ
りやや高く維持する。同時に、RF電源20は、ウェハ
上に形成されるポリマ中の炭素含有量を増すため、所望
量のフッ素捕集をするのに十分な速度で、プラズマによ
るシリコン天井部14からのシリコン除去を促進するた
め、RF電力をシリコン天井部14に供給し、エッチン
グ選択性を高める。実際、高い温度とRF電力の印加を
と組合わせにより、エネルギ閾値が改善され、その下で
はプラズマと天井部14の相互作用によりポリマ堆積が
生じ、それを超えるとこの相互作用により天井部14が
エッチングされる。
【0020】図3を参照すると、出願番号が08/597,577
であって、ケネス・エス・コリンズ(Kenneth S. Colli
ns)他による米国特許出願には、天井部14と側壁部1
2がともにシリコン等の半導体であり、両者は窓部とし
て作用し、それら自身を介してRF電源とプラズマとの
誘導結合を可能にすることが開示されている。この理由
により、側部コイル18と上部コイル50のいずれか一
方又は両者を用いて、シリコン側壁部12とシリコン天
井部14を介して、RF電源をプラズマに結合すること
ができる。出願番号が08/597,577である米国特許出願に
は、平坦な上部コイルが開示されており、そのようなも
のは本発明の実施に適していると思われる。しかし、図
3の実施形態の上部コイル50には、(発明の名称が
「上部ソレノイドアンテナを有する誘導的に結合された
RFプラズマリアクタ」であり、出願番号が
であって、ケネス・エス・コリンズ他による米国特許
出願に開示されているタイプの)内側ソレノイドと外側
ソレノイド50a,50bとが含まれており、これらに
は、独立のRF電源52a、52bから別々に電力が供
給され、処理制御の均一性が容易となっている。更に、
天井部14と側壁部12を別々の電極として用い、別の
RF電源54からシリコン側壁部12へRF電力を供給
することができる。フッ素を捕集するためのシリコン天
井部14とシリコン側壁部12からのシリコンの除去を
促進するために十分なRF電力が、シリコン天井部14
とシリコン側壁部12のどちらか一方又は両者に供給さ
れる。側壁部12と天井部14はポリマ凝縮温度を超え
て維持されて、シリコン捕集前駆体としてのそれらの使
用が許容され、また、ポリマや関連堆積物除去のため
に、チャンバの通常のクリーニングが頻繁に行われる必
要性が回避されることが望ましい。
【0021】本発明の第1実施形態は、炭素・フッ素ポ
リマの炭素含有量を増すだけでなく、実際に、下部にあ
るシリコン面、ポリシリコン面又は類似の非酸素含有面
に、より強力に付着する異なった種類のポリマを形成す
る処理である。その結果はエッチング選択性に革命的な
改善をもたらす。シリコン、炭化珪素、黒鉛(グラファ
イト)、窒化珪素を含むクラス内のある種の材料は、高
い温度範囲(例えばポリマ凝縮温度より十分に高い温
度)に加熱されると、ポリマ硬化前駆体となり、それら
がポリマの化学構造を変化させ、エッチングに対して
は、従来技術のものよりはるかに抵抗力のあるポリマが
できることを、本発明が見出した。この処理は、リアク
タチャンバ内のポリマ硬化前駆物質の温度を、より高い
温度範囲(例えば、電位が浮動しており、いずれにして
も適用可能なポリマ凝縮温度を実質的に超えるシリコン
前駆物質に関しては、180℃ないし220℃)に維持
することによって行われる。この高い温度範囲は、ポリ
マ硬化前駆物質に印加されるRFバイアス電位と材料自
体の選択とによって大幅に変化する。
【0022】本発明の第2実施形態においては、ポリマ
硬化前駆物質が最高温度範囲に維持され、そこでは更に
高いポリマ硬度が達成される。浮動電位に維持されるシ
リコン前駆物質のための最高温度範囲は220℃を超え
るが、好適には約300℃〜約700℃の範囲にある。
この最高温度範囲は、ポリマ硬化前駆物質に印加される
RFバイアスによって大幅に変化する。本明細書におい
て必ずしも特定の理論に同意する訳ではないが、以下の
ように感じられる。すなわち、必ずしもすべての場合で
はないいくつかの場合において、ポリマ硬化前駆物質の
最高温度範囲では、そこから除去されるポリマ硬化前駆
(例えばシリコン)材料は、それらが重合するにつれて
フッ素、炭素及び水素の各原子と結合し(フッ化炭化水
素を用いると仮定する)、このようにして追加された材
料(例えばシリコン)が、エッチングに対して最適の抵
抗を持った異種のポリマを提供する。場合によっては、
この第2実施形態によってできたポリマは、表面につや
があるので区別することができる。
【0023】より高い温度範囲においては、加熱された
ポリマ硬化前駆体材料は、(例えば本発明におけるシリ
コン天井部14において、)(1)捕集フッ素をプラズ
マに供給することによってプラズマ内の遊離フッ素を低
減し、(2)プラズマでのフッ素と水素に対する炭素の
相対濃度を変化させ、(3)ウェハ面近傍でのプラズマ
におけるエッチャント核種とポリマ前駆核種の相対濃度
を変化させる。最高温度範囲においては、加熱されたポ
リマ硬化前駆物質は上記(1)〜(3)を実行し、
(4)ポリマ内部へポリマ硬化前駆体(シリコン)材料
を提供し、当該技術によって従来提供されていたものよ
りもエッチングに対する抵抗の大きいポリマが作り出さ
れる。
【0024】同様の改良がシリコン酸化物に対するシリ
コン選択性において達成されるが、本発明の有効性は、
本発明によって達成されるフォトレジスト選択性に見ら
れる(後で本明細書において述べられる)。ポリマ硬化
前駆体材料を300℃に加熱すると、フォトレジスト切
子面(facet)すなわちフォトレジストで被覆される特徴
部(features)の周縁部においてスパッタリング効果が
観察され、フォトレジストに対する酸化物の選択性
(「フォトレジスト選択性」)は約3:1に過ぎない。
ポリマ硬化前駆体材料を更に約400℃に加熱すると、
「フォトレジスト選択性」は約5:1に飛躍し、著しい
改善となる。ポリマ硬化前駆体材料を更に約560℃に
加熱すると、「フォトレジスト選択性」は約6:1に増
加する。
【0025】図1、図2又は図3のリアクタチャンバ内
における本発明の実施は、シリコン天井部14又はシリ
コン側壁部すなわちシリコンスカート部12を、ポリマ
硬化前駆体材料として用い、且つ、図1、図2又は図3
のシリコン天井部14(及び/又は図3のシリコン側壁
部12)の温度を増加させることにより達成される。シ
リコン天井部14(及び/又はシリコン側壁部12)
は、本発明の最高温度範囲にまで加熱されると、ポリマ
硬化前駆体材料となる。
【0026】シリコン天井部14(又はシリコン側壁部
12)をフッ素捕集体前駆体として用いるときの一つの
問題は、少なくとも部分的に、それに結合されているR
F電力量によって決定される消耗速度でそれが消費さ
れ、従って、より頻繁に交換を要することである。(R
F電源から直接的に、或いは、RF電力が直接印加され
ている他のチャンバ面から誘導結合によって間接的に、
RF電力をスカベンジャ前駆体に接続してもよい。)天
井部14(及び/又は側壁部12)は、上記温度制御シ
ステムと一体になっているので、その取り替えは、温度
制御装置に接続可能な新しいシリコン天井部14を得る
ための費用だけでなく、取外しと取り替えに要する総労
力により、生産性の低下を伴う。本発明は、この問題を
解決するが、なぜならば、本発明の処理方法は、更に、
RF電源20から天井部14に印加するRFバイアスを
低減(又はRF電源22から図3のシリコン側壁部に印
加するRF電力を低減)するときに、シリコン天井部1
4(及び/又は側壁部12)の温度を更に高めてRF電
力の低減を補償していることを含むことができるからで
ある。この後者の特徴の有利なところは、シリコン天井
部14(及び/又は側壁部12)からのシリコン除去速
度が、天井部に印加されるRF電力の減少とともに減少
することである。一例として、RF電源20から天井部
14に印加するRF電力を1/4に低減するときに、天
井部14の加熱温度を加減してわずか約200℃〜約2
40℃とすることができる。このように、本発明では、
(a)ポリマの耐エッチング性の革命的改善、及び
(b)天井部又は側壁部におけるシリコン消耗速度の低
減という2つの利点が提供される。増加したポリマの耐
久性は、エッチング選択性の改善に帰着し、同時に、シ
リコン消耗速度の低減は運転コストの低減と生産性の向
上に帰着する。
【0027】本発明は、ポリマ硬化前駆体部品(例えば
シリコン天井部)の消耗速度を低減するものの、その交
換は相変わらず高コストで時間がかかるが、その理由と
して、少なくとも部分的には、それが温度制御装置と一
体化して、本発明のポリマ硬化処理に従って当該部品が
必要温度に維持されるからである。しかし、本発明は、
チャンバの天井部や側壁部のような、リアクタチャンバ
の一体部分の消耗を避けるため、別体の低コストで製作
可能であって迅速交換可能なポリマ硬化前駆体部品を用
いて実施することが望ましい。そのような交換可能なポ
リマ硬化前駆体部品は、容易に作成可能な任意の形状
(例えば平面環状、平面リング状、中実リング状、円筒
状、板状等々)とすることができ、リアクタチャンバ内
の任意の場所に置くことができる。しかし、図4(A)
の実施形態では、ポリマ硬化前駆体消耗部品が、ウェハ
ペデスタル16の周辺を取り囲む(シリコンのような)
ポリマ硬化前駆体材料からなる薄い平らな環状リング6
0になっている。このリング60は、チャンバ内の任意
の適当な平面に置くことができるが、従来のウェハ搬送
機構によるアクセスを可能にするため、このシリコンリ
ング60はウェハペデスタル16上に保持されたウェハ
17の面のやや下方又は近傍に置かれる。
【0028】ポリマ硬化前駆体リング60と温度直接制
御装置との一体化又は機械的結合の必要性を排除するた
め、直接伝導以外の(例えば放射又は誘導)加熱方法を
用いることが望ましい。タングステンハロゲンランプ又
は放電ランプ等の放射熱源を用いることができる。放射
又は誘導熱源は例えば、リング60から離さずに内部
に、或いは、例えば透明窓部を介してリング60から離
して外部に置くことができる。図4(A)の実施形態に
おいては、外部誘導ヒータを用いて、少なくとも誘導結
合の目的のためにはほぼ透明である石英のような材料製
の窓部64によってポリマ硬化前駆体リング60から離
した誘導コイル62を構成している。最も効率のよい誘
導加熱を行うためは、ポリマ硬化前駆体リング60を、
抵抗率が十分に低い、例えば0.01Ω-cm程度のシ
リコンで形成する。シリコンリング60の抵抗率の選び
方を以下に説明する。もし、(a)リング60の厚さT
が構造力学的目的のため約0.6cm(0.25イン
チ)必要であり、(b)誘導加熱コイル62が1.8M
Hzで駆動され、(c)光学的最適吸収効率のためRF
スキン深さδ=ГT(例えばΓ=1)が必要であり、
(d)シリコンリング60の透磁率がμであるとすれ
ば、シリコンリング60の最大抵抗率は次式で与えられ
る。
【0029】ρ=δ2・π・£・μ これは上記例においては0.029Ω-cmである。本
発明は0.01Ω-cmシリコンを用いて実施された。
シリコンのような半導体の場合、最小抵抗率に及ばない
恐れはないので、ここでは最小抵抗率の計算を示さな
い。
【0030】図4(A)の実施形態に対応する作動例に
おいては、2.0MHzで4000ワットの電源電力を
誘導コイル18に印加し、1.8MHzで1400ワッ
トのバイアス電力をウェハペデスタル16に印加し、C
HF3とCO2の処理ガスをそれぞれ流量120sccm
と46sccmでリアクタチャンバに導入し、そのと
き、チャンバ圧力を50mTorrに維持し、天井部の
温度を200℃に維持し、側壁部の温度を220℃に維
持した。ポリマ硬化前駆体リング60は結晶シリコンと
し、その温度が240℃ないし500℃の範囲に達する
ようにした。ウェハ17上のシリコン又はポリシリコン
表面に堆積したポリマは、本発明の処理によって硬化さ
れたポリマの有する光沢のある外観により特徴づけられ
た。
【0031】この作動例において処理された半導体ウェ
ハ17には、図4(B)に例示される多層導体構造が備
えられ、シリコン基板17a、二酸化珪素層17b、及
びポリシリコン導線17cからなっており、エッチング
処理は、開口部17g,17hを画成するマスク開口部
17e,17fを、二酸化珪素層17bを通って下方の
ポリシリコン導体17cと基板17aのそれぞれに至る
までエッチングするフォトレジスト層17dによって促
進される。シリコン基板の厚さと中間ポリシリコン層の
厚さの比によっては、二酸化珪素層を通る穿孔(punchth
rough)を防ぐために、シリコンに対する酸化物の極め
て高いエッチング選択性が必要となる。酸化物を通って
基板に至る深いコンタクト開口部17hの深さが1.0
ミクロンであって50%オーバエッチングされるべきも
のである場合、ポリシリコン層への中間コンタクト開口
部17gの深さが0.4ミクロンであり、中間のポリシ
リコン導体層17cは0.01ミクロンを超えて除去し
てはならず(穿孔を回避するため)、それから、シリコ
ンに対する酸化物のエッチング選択性が少なくとも11
0:1であることが必要とされる。
【0032】ウェハの連続処理中に、シリコンリング6
0の温度を上げると、温度上昇に伴ってシリコンに対す
る酸化物のエッチング選択性が、図5(A)のグラフ図
のように全体として高まることが見いだされた。図5
(A)の2つのデータポイントA,Bは、温度240℃
及び500℃におけるポリシリコンのエッチング速度
を、オングストローム/分で表した半径方向の分布を示
す図5(B)の曲線A,Bに対応している。図5(A)
のデータポイントA,Bのエッチング選択性は、240
℃においては30:1、500℃においては150:1
のエッチング選択性のときの2つの温度において観測さ
れた9000オングストローム/分の酸化物のエッチン
グ速度から計算した。このように、温度を500℃まで
上げることにより、選択性が前記図4(B)の作動例に
おいて必要とされる110:1の最小選択性を十分に上
回っている。
【0033】図4(A)の実施形態においては、ポリマ
硬化前駆体リング60の温度は、シリコンリング60に
取り付けられていない温度検知装置66によって検知さ
れる。ポリマ硬化前駆体リング60の温度を所望の温度
に維持するため、コイル62中を流れる電流又は電力潮
流を調整するコントローラ68により、温度検知装置6
6の出力がモニタされる。温度検知装置66は、特定の
波長範囲においてリング60からの放射に応答する放射
温度センサであることが望ましい。そのような放射温度
センサは、熱放射に応答する光高温計、又は、光パルス
励起放射(optical pulse-stimulated emission)に応
答する蛍光プローブでもよい。この目的のため、窓部(w
indow)64は、リング60の温度制御を可能にするため
に十分な光学的なSN比を提供するよう、センサ66の
波長範囲内において少なくとも十分に透明な材料からな
っている。更に、窓部64の材料が(その全作動温度範
囲にわたって)センサ66の波長範囲内で、(シリコン
リング60からの放射に比して)強く熱放射せず、窓部
64からの放射がセンサ66に対して事実上不可視とな
って、センサによるシリコンリング温度の測定を妨げな
いことが望ましい。
【0034】ポリマ硬化前駆体リング60の材料がシリ
コンである場合には、更に、その温度を光高温計によっ
て測定する際の問題として、シリコンの熱放射率が温度
によって変化することがある。(ここで取り上げるのは
放射率の温度依存性であるが、シリコンの放射率は波長
とドーピングレベルによっても変化する。)この問題の
一解決方法には、窒化シリコンのような黒体又は灰色体
の放射材料の小部品70をリング60に接着することが
ある。(破線で示した)光ファイバ72を、その一端部
72aがセンサ66の検知部74に面し、他端部72b
が、リング60に接着された灰色体放射部品に面した状
態で、配置することが望ましい。(黒体又は灰色体の放
射材料を付加しない場合には、光ファイバ72の材料が
通常の石英でなく、サファイアやセレン化亜鉛のような
長波長材料であると、シリコンリング60が発する長波
長放射が、低温(例えば室温)において光ファイバ72
によって運ばれる可能性がある。)センサ66による温
度測定はプラズマからの背景放射(background radiati
on)によって劣化する可能性があるので、リング60に
座ぐり穴部(counter-bore)60aを設け、光ファイバの
端部72bを(例えば加熱されたチャンバ面及びプラズ
マ自体からの)背景放射に対して、光ファイバ72とリ
ング60との間の接触を必要とせずに、遮蔽することが
望ましい。光ファイバの端部72bをプラズマ又は背景
放射から遮蔽するため、座ぐり穴部60aを設けること
に加えて、或いはその代わりに、センサ66の波長を、
プラズマ放射帯域(4ミクロン〜8ミクロン)外にある
ように選ぶことができる。光ファイバ72を灰色体放射
部品70ともに又は灰色体放射部品70を備えることな
く用いてもよい。窓部64が熱をリング60へ通過させ
るとき、光ファイバ72はリング60からの放射を温度
センサ66へ通過させる。
【0035】温度測定をシリコンから直接(すなわち介
在する灰色体放射部品70を備えることなく)行う場
合、光ファイバ72に対しては、シリコンの放射波長に
おいて高い透過率を持つサファイアのような材料を用
い、且つ、光ファイバ72を不透明シールドによって遮
蔽することが望ましい。更に、シリコンの放射率が温度
とともに変化するという問題は、図4(C)に示すよう
に、アスペクト比が(例えば5:1と)高くなって、比
較的深く且つ狭くなっている穴部60bを、座ぐり穴部
60aに合わせて(in registration with couter-bore)
設け、光ファイバ72を座ぐり穴部60aに埋めて背景
の光学的ノイズがファイバ端部と、光ファイバ72の残
部を囲む不透明シールド72cとに入らないようにする
ことにより、改善することができる。そのような深い穴
は、シリコンリング60内の軸方向に延びてもよいが、
それは、シリコンリング60の周縁部から半径方向へ延
びていることが望ましい。このような実施形態において
は、ヒータランプ又はプラズマ自体からの光放射は、事
実上全く光ファイバ72には入らず、温度測定に影響を
及ぼさない。
【0036】光ファイバ72を備えることなく、窓部6
4を介してリング温度を検知しようとする場合には、シ
リコンリング60の温度測定に伴うさらなるもう一つの
問題は、200℃未満ではそのピーク熱放射波長が極め
て長い波長へ移動し、窓部64用に使用され得る石英等
の基本的な材料の光学的通過帯域外にあることである。
基本的には、石英は300ナノメートルから3ミクロン
までの間で透明であり、一方、シリコンの最大熱放射波
長は、400℃から室温まで変化があるときに、4ミク
ロンから10ミクロンまで変化する。従って、約200
℃未満においてシリコンは石英の光学的通過帯域内で検
知可能な放射をしないので、シリコンリングが直接測定
できる温度範囲には限度がある。図4(D)に示す一の
解決方法は、石英窓部64内に小ポート部64aを用い
ることであり、このポート64aの材料は室温までの比
較的低温においてシリコンが発する長波長に対して透明
なものである。小ポート部64aの材料は、サファイア
又はセレン化亜鉛であるのがよい。放射温度センサ66
は、小ポート部64aを透過する比較的長い波長に応答
するように選ばれる。或いは、窓部64内の長波長小ポ
ート部64aの代わりに、窓部64の外側には図4
(E)に示す別の長波長ポート部65が用いられて、サ
ファイア又はセレン化亜鉛からなっているのがよい。長
波長ポート部65は、それと等価であって、サファイア
光ファイバ(sapphire optical fiber)のような光ファイ
バ72の有する長波長の変形例によって代用することが
できる。
【0037】センサ66が蛍光プローブの場合には、そ
れはリング60の熱放射率の影響を受けない。かかる場
合、蛍光物質すなわち粉体を、光ファイバの端部72b
と整列したリング60の小領域の表面につける。光パル
スを周期的にファイバの他端部72aに印加すると、結
果として、(リング60内の)蛍光粉体から発生する光
パルス励起放射(optical pulse-stimulated emission)
が、ファイバの端部72bから端部72aへ移動し、セ
ンサ66によって解析されてリング温度を決定する。リ
ング60内の座ぐり穴部は、背景放射から光ファイバの
端部72bを遮蔽する。
【0038】リング60を放射冷却するため、窓部64
を従来の手段によって、例えばポリマ硬化前駆体リング
60の放射冷却用の冷熱源を設けることによって冷却し
てもよい。この場合、ポリマ硬化前駆体リング60の冷
却速度は、[Tring]4−[Twindow]4の関数であり、ここで
TringとTwindowはそれぞれ、ポリマ硬化前駆体リング6
0と、冷却される窓部64の絶対温度(ケルビン)であ
る。リング60の効率的な放射冷却は、シリコンリング
60と窓部64との間の温度差を200℃に維持するこ
とにより達成されるが、このことは、リング60が好ま
しい温度範囲である300℃〜700℃に維持された場
合に、窓部64に接触する従来技術の液体又はガスの冷
却装置によって容易に達成される。しかしながら、リン
グは、多くの従来技術のいずれかを用い、代わりに冷却
することができる。例えば、ウェハを基本的に冷却する
態様でそれを冷却してもよい。
【0039】リング60を従来の冷却板によって伝導的
に冷却したり、或いは、窓部64によって放射的に冷却
したりしても、(タングステンハロゲンランプのよう
な)熱源を備える必要がない場合がある。むしろ、プラ
ズマ自体による加熱が、リング60を加熱し、伝導的又
は放射的な冷却と結合して、必要な温度範囲内でリング
60の安定した温度制御が維持されるために非常に十分
になっている。従って、他の実施形態では熱源は設けら
れていない。
【0040】図6の実施形態では、誘導加熱コイル62
の代わりにタングステンランプ又は放電ランプのような
放射ヒータ80が用いられ、(窓部64の加熱を避ける
ために)石英窓部64の光透過帯域内と、ポリマ硬化前
駆体リング60の吸収帯域内との波長を持った電磁放射
を発生する。光高温計66を用いて行う温度測定への影
響を避けるため、放射ヒータ80からの放射の波長は、
ポリマ硬化前駆体リング60からの放射の波長と異なる
ことが望ましい。しかし、光ファイバ72が光高温計6
6に埋め込まれ、且つ、光ファイバが不透明な座ぐり穴
部60aの上面に向かって下方から延びるシールド72
cによって完全に遮蔽される場合には、放射ヒータの放
射は温度測定に影響を及ぼす可能性がなく、従って、こ
の場合には、放射ヒータの放射波長は(シリコン)リン
グ60の放射波長と異なることが必要とされない。実際
に、このことが有利になっているが、光ファイバの出力
端部に取り付けることができる多くの市販の検知器が、
シリコンの放射による短かい波長領域(1−2ミクロ
ン)の近傍において更に安定しているからである。この
場合、温度測定が比較的短い波長において行われ、長波
長ポート部64a若しくは65、又は、長波長(例えば
サファイア)光ファイバが必要とされないようになる。
【0041】最適な放射加熱及び放射温度検知に対する
上記要件の要点を述べると、(a)窓部64の材料が、
放射ヒータ80の波長で高い透過率を有し、且つ、温度
センサ66が反応するがそのとき自ら放射しない波長に
おいて、それが通る窓部64自身若しくはそれの小さな
割り当て部分又は光ファイバが、放射ヒータ80の波長
では高い透過率を有し、(b)ポリマ硬化前駆体リング
60が、放射ヒータ80の波長で高い吸収率を有し、且
つ、リング自身又はそれに埋められた材料が、センサが
反応する温度で放射し、(c)放射ヒータ80の波長
が、センサ66が応答する波長と一致せず、更に、ポリ
マ硬化前駆体リング60の吸収スペクトル内にあって、
且つ、窓部64の吸収スペクトル外にある。
【0042】前述の要件は種々の方法により、例えば、
まずポリマ硬化前駆体リング60の材料を特定し、次に
窓部64に適する材料を選び、最後に消去法によって放
射ヒータとセンサ66の波長を選ぶことにより、或い
は、センサ66及び放射ヒータの波長を特定し、次に消
去法によって材質を選ぶことにより、満足することがで
きる。上記の要件は、必要とされる温度測定の感度及び
温度制御の精度に応じた程度まで、緩和してもよい。
【0043】放射冷却が望ましい場合は、更に窓部64
には要件が課せられる。すなわち、(a)センサ66が
リング60を観測する窓部64の一部において、窓部6
4が(上記のように)加熱されるリング60によって発
せられる放射の波長に対して、少なくともほとんど透明
になっており、一方では、(b)リング60を観測する
ためにセンサ66が使用しない窓部64の他の部分にお
いて、窓部64が放射加熱されるポリマ硬化前駆体リン
グ60の再放射波長を含む吸収スペクトルを有し、そこ
からの熱を吸収して放射冷却を行う。
【0044】窓部64の材料上の同時制約の数を減らす
一つの方法としては、窓部64がリング60への熱とリ
ングの熱放射の両方をセンサ66へ伝達することを要求
しないことである。このことは、長波長(セレン化亜鉛
又はサファイア)の小ポート64a又はセンサ66専用
の補助窓部65を用いることにより、又は、センサ66
専用の光ファイバ72を用いることにより達成され、い
ずれの場合も、熱源からの放射の透過することについて
のみ、窓部64の機能が低下する。かかる場合に、放射
冷却が必要とされるとき、窓部に対するもう一つだけの
制約としては、それがリング60からの放射を吸収する
ことである。これは、熱源80とリングの放射波長が相
違する限り可能である。更に、センサ60が放射熱源8
0からの放射波長で応答しないことが望ましい。
【0045】一つの作動例において、ポリマ硬化前駆体
リング60は、抵抗率が0.01Ω−cm、平均放射率
が0.3〜0.7の結晶性シリコンとなっており、窓部
64は、光透過帯域が300ナノメータ〜3ミクロンの
石英となっており、センサ66は、波長範囲が4〜10
ミクロンにおいて(光ファイバ72に対応して)光ファ
イバを通る放射を検知する光高温計となっており、放射
ヒータ80は、最大出力放射波長範囲が0.9〜1.0
ミクロンの3000°Kタングステンハロゲンランプと
なっていた。本発明の実施する際に可視、非可視いずれ
の波長範囲においても、光高温計を用いることができる
ことは留意すべきである。
【0046】次に、図7に示した好ましい実施形態を参
照すると、シリコンのポリマ硬化前駆体消耗リング60
が、図3の全半導体(シリコン)リアクタチャンバに追
加され、誘導式又は放射式ヒータである加熱装置90に
よって加熱される。更に付け加えると、別のRFバイア
ス源400がリング60に接続されて、リング60の加
熱と共に、プラズマと反応し易いリング60を維持し、
且つ、ポリマ硬化前駆体材料をプラズマ内へと提供する
ようにすることができる。そのような利点として、シリ
コン窓部電極(例えばシリコン側壁部12又はシリコン
天井部14)のいずれもが、高分子化学にシリコンを提
供するために用いられず、従って、それらが加熱され、
ポリマ硬化前駆体が加熱される必要のある高温(例えば
700℃)にする必要がないことがある。更に、シリコ
ン側壁部12及びシリコン天井部14に印加されるRF
バイアス電力は、それらのシリコン材料の消耗を促進す
るほど高くする必要がない。実際、好適には、側壁部及
び天井部にバイアスを印加しない方がよい。シリコン側
壁部12及びシリコン天井部14の温度と、それらに印
加されるRF電力とが選択され、エッチング、スパッタ
リング又はイオン衝撃による消耗を最小にするように
し、一方では、比較的ポリマ堆積のないそれら表面が維
持され、頻繁なチャンバクリーニング操作を避けること
が望ましい。これを達成するのに最良の形態は、本明細
書で後述する少量堆積の形態(light deposition mode)
である。2分間のプラズマエッチング/ポリマ堆積処理
中に行われる少量堆積モードでは、シリコン壁部の温度
は100℃〜150℃の近傍に維持し、結果として生じ
るポリマ堆積物は十分少量であり、ウェハのエッチング
の後に続いたチャンバ内に一時的に発生する高密度酸素
プラズマに対し、10秒〜20秒間の露出により、引き
続いてそれを除去することができる。或いは、余り好ま
しくはないが、エッチング処理中シリコンチャンバ壁部
が(例えば)室温に維持される大量堆積モードも用いる
ことができる。
【0047】図8(A)を参照すると、独立制御可能な
別々のポリマ硬化前駆体リング61,63が、ウェハに
対して別々の半径方向位置に配置され、半径方向に不均
一な処理条件を補償することができる。図8(A)の別
々の外側ポリマ硬化前駆体リング及び内側ポリマ硬化前
駆体リング61,63は、それぞれの温度コントローラ
68a,68bによって、本明細書で以下説明する方法
で独立制御される。ウェハ17上の半径方向に異なる位
置において、異なるエッチング選択性を引き起こす処理
条件を補償するため、使用者は、温度コントローラ68
a,68bによって維持すべき異なるポリマ硬化前駆体
リング温度を選ぶことができる。
【0048】別々に独立制御されるポリマ硬化前駆体リ
ング61,63を有する実施形態には、適当な任意のリ
アクタ構成を用いることができるが、図8(A)の実施
形態では、出願番号が で、発明の名
称が「オーバヘッドソレノイダルアンテナを有する誘導
結合RFプラズマリアクタ」であって、ケネス・エス・
コリンズ他による米国特許出願に開示されたタイプの加
熱された半導体窓部電極天井部の上方のソレノイダルア
ンテナを有するリアクタが用いられている。特に、本発
明の好適な本実施形態では、上記米国特許出願のリアク
タが用いられ、外側ポリマ硬化前駆体リング61のみを
備えている。上記出願のリアクタには、円筒形チャンバ
140が備えられ、円筒形チャンバ140の中心軸14
6の近傍には、巻き線144が非平面状に密集している
非平面状コイルアンテナ12を有している。図示の実施
形態では、巻き線144は対称であって、チャンバの中
心軸に一致する対称軸を有しているが、本発明は別途実
施してもよい。例えば、巻き線は対称でなく、及び/又
は、それらの対称軸がチャンバ又は加工物の中心軸に一
致しないようにすることができる。中心軸146の周り
に巻き線144を密集させることは、各巻き線144を
ソレノイドのように縦に重ね、それらがそれぞれチャン
バ中心軸146から最短距離となるようにすることによ
って達成される。これによって、天井部に対する加工物
の少ない高さの比に対して、プラズマイオン密度が最も
小さいチャンバ中心軸146の周りに近接して、電流
(I)とコイル巻き数(N)との積が増加する。結果と
して、非平面コイルアンテナ142に印加されたRF電
力は、チャンバ中心軸146の近傍には(周縁領域に比
べて)より強い誘導[d/dt][N・I]を発生し、従って、そ
の領域に、より強いプラズマイオン密度を生じ、その結
果、天井部に対する加工物の高さの比が小さいにもかか
わらず、より均等に近いプラズマイオン密度を生じる。
従って、本発明は、処理の均等性を損なうことなく、処
理性能を高めつつ天井部の高さを低くする方法を提供す
る。
【0049】円筒形チャンバ140は、円筒形側壁部1
50と、側壁部150と一体形成される円形天井部15
2とから成り、側壁部150と天井部152をシリコン
などの材料からなる単一部品とすることができる。しか
し、図8(A)に示す実施形態では、別々の部品として
形成された側壁部150と天井部152がある。円形天
井部152は、平面形の任意の断面形状、ドーム形、円
錐形、円錐台形、円筒形又は上記形状の任意の組合せ、
又は曲線回転体とすることができる。基本的には、ソレ
ノイドアンテナ142の垂直ピッチ(すなわち、垂直高
さを水平幅で除した商)は、ドーム形、円錐形、円錐台
形等の3次元表面を画成する天井部においても、天井部
152の垂直ピッチを超える。例えば、ソレノイドアン
テナ142の垂直ピッチは、基本的なドーム型天井部の
垂直ピッチの数倍である。もちろん、図8(A)の平ら
な天井部152の垂直ピッチはゼロである。天井部のピ
ッチより大きいピッチを有するソレノイドを、ここでは
ノンコンフォーマル(非沿面、非形状適合)・ソレノイ
ド(non-conformal solenoid)と呼ぶが、その意味は、基
本的には、その形状が天井部の形状に一致せず、より詳
細に述べると、その垂直ピッチが天井部の垂直ピッチよ
り大きいものである。
【0050】チャンバ140の底部にあるペデスタル1
54は、半導体ウェハ等の被処理加工物156を支持す
る。チャンバ140は(図示されない)ポンプにより、
環状通路158を介し、チャンバ140の下部を取り巻
くポンピング環状体160向かって真空排気される。ポ
ンピング環状体160の内部は、交換可能な金属製ライ
ナ160aによって覆われてもよい。環状通路158
は、円筒形側壁部150の底縁部150aと周囲の外側
シリコンリング61の上面とによって画成される。処理
ガスは、種々のガス供給源の任意の一つ又は全てによっ
て、チャンバ140内に供給される。加工物の中央部近
傍の処理ガスの流れを制御するため、中央部ガス供給源
164aが天井部152の中央部を貫いて、加工物15
6の中央部に向かって下方へ延びることができる。加工
物周縁部におけるガスの流れを制御するため、中央部ガ
ス供給源164aからは独立して制御可能な複数の半径
方向ガス供給源164bが、側壁部150から加工物周
縁部に向かって半径方向内側へ延び、又は、ベース軸方
向ガス供給源164cが、ペデスタル154の近傍から
上へ加工物周縁部に向かって延びており、或いは、天井
部軸方向ガス供給源164dが、天井部152から下へ
加工物周縁部に向かって延びることができる。加工物の
中心部と周縁部における各エッチング速度が相互に独立
して調節されて、中央部ガス供給源164aと外部ガス
供給源164b〜164dのそれぞれを通り、加工物の
中心部と周縁部へ向かう処理ガスの流量を制御すること
により、半径方向のエッチング速度分布を、加工物全体
にわたってより均等にすることができる。本発明のこの
特徴は、中央部ガス供給源164aと、周縁部ガス供給
源164b〜164dのうちの一つとで実現することが
できる。
【0051】ソレノイドコイルアンテナ142は、中央
部ガス供給源164aを取り囲む筐体166の周りに巻
回されている。プラズマ源RF電源168がコイルアン
テナ142全体にわたって接続され、バイアスRF電源
170がペデスタル154に接続されている。
【0052】巻き線144が加工物156の面に対して
少なくともほぼ平行になるように、巻き線は従来の螺旋
状ではないことが好ましく、各巻き付け部が、段部すな
わち(一の平面からその隣の平面までの)巻き付け部間
の遷移部を除き、加工物156の(水平な)面に対して
平行になるように、巻き線が巻かれていることが好まし
い。
【0053】オーバヘッドコイルアンテナ142を、天
井部152の中央領域に閉じこめることにより、天井部
の上面の大部分が非占有領域となり、従って、例えばタ
ングステンハロゲンランプ等の放射ヒータ172と、例
えば銅やアルミニウムで形成可能で冷却材通路174a
が延在している水冷冷却板174とを含む温度制御装置
と直接接触することに役立つことができる。冷却材通路
174aには公知の種類の冷却材が含まれ、高い熱伝導
性を有しているが導電性が低くなって、アンテナ又はソ
レノイド142へ電気的に負荷を与えることを避けるこ
とが望ましい。冷却板174が常に天井部152を冷却
しているが、そのとき、必要に応じて、冷却板174に
よる冷却に打ち勝ち、天井部152の安定且つ敏感な温
度制御を容易にすることができるよう、放射ヒータ17
2の最大電力を選択する。ヒータ172による放射を受
ける大面積の天井領域は、温度制御の均等性と効率を高
める。(放射加熱は、本発明の実施の際に必ずしも必要
とされず、当業者はむしろ、後述のように電気加熱要素
を用いることがあることに留意すべきである。)出願番
号が08/597,577であって、出願日が1996年2月2日であ
る、ケネス・エス・コリンズ他による米国特許出願に開
示されているように、天井部152がシリコンであれ
ば、天井部全体にわたる温度制御の均等性と効率が向上
することにより得られる著しい利益がある。詳細に述べ
ると、ポリマ前駆体とエッチャント前駆体ガス(例えば
炭化フッ素ガス)を用いて、エッチャント(例えばフッ
素)を捕集する必要がある場合、天井部152全体にわ
たるポリマの堆積速度、及び/又は、天井部152がプ
ラズマ内へフッ素エッチャント捕集材料(シリコン)を
供給する速度は、天井部152の温度制御ヒータ172
との接触面積を増すことによって良好に制御可能とな
る。ソレノイドアンテナ142により、天井部152上
に利用可能接触面積が増加しているが、ソレノイド巻き
線144が天井部152の中心軸に集中しているからで
ある。
【0054】好ましい実施形態においては、熱的接触の
ために利用可能な天井部152の面積の増加分を、底面
が天井部152上に載って上面には冷却板174が支持
され、(窒化アルミニウム、酸化アルミニウム若しくは
窒化珪素、又は、少量のドープがなされた若しくはドー
プがないシリコンのような非セラミックから形成され
る)熱伝導性の高いトーラス175が利用する。トーラ
ス175の一の特徴は、それが冷却板174をソレノイ
ド142の上部よりかなり上方まで変位させることであ
る。このような特徴により、他の方法では冷却板174
の導電面がソレノイド142に近接していることが原因
で発生するソレノイド142とプラズマとの間の誘導結
合の低下が、実質的に抑制又はほとんど解消される。誘
導結合のそのような低下を防ぐため、冷却板174とソ
レノイド142の巻き付け上部との間の距離が、ソレノ
イド142の全高に対し、いずれにせよかなりの割合
(例えば1/2)になっていることが望ましい。トーラ
ス175を通って延びる複数の軸方向孔175aが、二
つの同心円に沿って間隔を空けて配置され、複数の放射
ヒータすなわちランプ172を保持し、それらが天井部
152へ直接放射することを可能にする。ランプの効率
を最大に高めるために、孔の内面が反射層(例えばアル
ミニウム)で覆われている。天井部の温度は、ランプヒ
ータ172が配置されていない孔175aを通って延び
る熱電対176のようなセンサによって検知する。熱的
接触をよくするため、熱伝導度の高い、窒化硼素を含浸
させたシリコンゴムのような導体エラストマ173を、
セラミックのトーラス175と銅の冷却板174との
間、及び、セラミックのトーラス175とシリコンの天
井部152との間に配置する。
【0055】図8(A)の実施形態では、チャンバ14
0は、天井部152と側壁部150がともにシリコンな
どの半導体材料から成る全半導体チャンバとすることが
できる。RFバイアス電力は、各RF電源1210,1
212によって別々に半導体天井部152と半導体側壁
部150に印加される。天井部152と側壁部150の
何れか一方の温度と、天井部152と側壁部150に印
加されるRFバイアス電力とが制御され、天井部152
又は側壁部150により、プラズマへフッ素捕集前駆体
材料(シリコン)がそれにより供給される程度、又は、
代わりに、ポリマでそれが被覆される程度が調節され
る。天井部152の材料をシリコンに限定せずに、炭化
珪素、二酸化珪素(石英)又は窒化シリコン等のセラミ
ックとすることができる。
【0056】チャンバの壁部や天井部150,152
は、フッ素捕集材料源として用いなくてもよい。その代
わりに、使い捨て可能なシリコン部材をチャンバ140
内に配置し、その上へのポリマの凝縮を防止するのに十
分な温度に維持することができて、シリコン材料をフッ
素捕集材料としてプラズマ中へ除去することができる。
この場合、壁部150と天井部152は、必ずしもシリ
コンである必要はないが、それらがもしシリコンであれ
ば、それらをポリマ凝縮温度(及び/又はポリマ凝縮R
Fバイアス閾値)の近傍又はそれ以下の温度(及び/又
はRFバイアス)に維持して、それらがプラズマからの
ポリマで被覆され、消耗に対して保護されるようにする
ことができる。使い捨てシリコン部材は適当な任意の形
状でよいが、図8(A)の実施形態では、外側シリコン
リング及び内側シリコンリング部品61,63が取外し
可能で使い捨て可能な高純度シリコンの消耗部材であっ
て、電気的又は光学的特性を変えるためにドープされて
もよい。シリコンリング61,63の温度を、それらが
プラズマ処理に有利に関与する(例えば、フッ素捕集の
ためにシリコン材料がプラズマへ寄与する)ために十分
な温度に維持するため、複数の放射ヒータ(例えばタン
グステンハロゲンランプ)の各組177a,177bを
それぞれシリコンリング61の下方と、シリコンリング
63の上方とに配置し、各石英窓部178a,178b
を介して各リング61,63を加熱する。ヒータの各組
177a,177bは、それぞれ光高温計又は蛍光プロ
ーブなどの遠隔センサである温度センサ179a,17
9bによって検知されるシリコンリング61,63の温
度に応じて制御される。センサ179a,179bは、
部分的に各リング61,63の深い孔の中へ延びている
が、その孔の狭さ及び深さが、シリコンリング61,6
3の熱放射率の温度依存した変動を少なくとも部分的に
マスクする傾向があり、そのため、それらが更に灰色放
射体に近い状態になり、温度測定の信頼性を高める。
【0057】特許出願番号が08/597,577である上記米国
特許出願に記載されているように、全半導体チャンバの
利点は、例えば、金属のような汚染物質発生材料がプラ
ズマに接触しないことである。この目的のため、環状開
口部158に隣接したプラズマ閉じこめマグネット18
0,182により、プラズマがポンピング環状体160
内への流れることが阻止又は低減される。ポリマ前駆体
及び/又は活性核種がポンピング環状体160へうまく
進入する程度に応じて、その結果発生する交換可能内側
ライナ160a上にポリマ又は汚染物質が堆積したもの
が、プラズマチャンバ140へ再侵入することが、例え
ば引用出願に開示されているように、ポリマ凝縮温度よ
りもはるかに低い温度でライナ160aを維持すること
によって防止されるようになる。
【0058】ポンピング環状体160の外壁を貫くウェ
ハスリットバルブ184により、ウェハの出し入れが提
供される。円筒形側壁部150の底縁部150aが傾斜
しているので、チャンバ140とポンピング環状体16
0との間の環状開口部158は、ウェハスリットバルブ
184近傍で大きく、反対側で最小になり、そのため、
ポンプポート部位置が非対称でも、チャンバ圧力分布は
より対称に近くなる。
【0059】外側の位置(すなわち熱伝導性トーラス1
75の外周面に対向する位置)にある巻き線1122の
第2垂直外部スタック又はソレノイド1120は、ソレ
ノイド巻き線144の垂直内部スタックから半径方向に
距離δRだけ変位している。特に、内側ソレノイドアン
テナ142を中央部に閉じ込め、外側ソレノイドアンテ
ナ1120を周縁部に閉じ込めることにより、天井部1
52の上面の大部分が温度制御装置172,174,1
75と直接接触することに利用できるようになっている
点に留意すべきである。天井部152と温度制御装置と
の間の接触面積が大きいので、天井部152の温度制御
がより効率的かつ均等になるという利点がある。
【0060】例えば内径が12.6インチ(32cm)
であって、側壁部と天井部が単一のシリコンで形成され
ているリアクタの場合、ウェハから天井部までの間隙が
3インチ(7.5cm)であり、内側ソレノイドの平均
直径が3.75インチ(9.3cm)であり、一方、外
側ソレノイドの平均直径が11.75インチ(9.3c
m)であって、直径3/16インチで厚さ0.03のテ
フロン絶縁層で被覆した中空銅チューブが用いられて、
各ソレノイドの巻き数は4回、各ソレノイドの高さは1
インチ(2.54cm)であった。外部スタック又はソ
レノイド1120は、第2の独立制御可能なプラズマ源
のRF電源196から通電される。このようにする目的
は、加工物つまりウェハ156に対して、半径方向に別
々の位置に、使用者が選ぶことができる別々のプラズマ
源電力レベルを印加することができ、ウェハ面全体にわ
たって公知の処理の不均一性の補償を可能にすることで
あって、大きな利点になっている。独立制御可能な中央
部ガス供給源164aを周縁部ガス供給源164b〜d
と組み合わせる際に、内側ソレノイド142に印加され
るRF電力を外側ソレノイド1120に対して調節し、
且つ、中央部ガス供給源164aによるガス流量を、周
縁部ガス供給源164b〜dによるガス流量に対して調
節することにより、加工物の中央部におけるエッチング
性能を、縁部におけるエッチング性能に対して調節する
ことができる。本発明は、上記のように、誘導場(induc
tance field)中央部の欠如(center null)すなわち凹部
(dip)の問題を解消又は少なくとも緩和しているが、一
方で、他のプラズマ処理における不均一性の問題のある
おそれがあるが、これらを、内側アンテナ及び外側アン
テナに印加するRF電力レベルを相対的に変化させるこ
とによって、図8(A)の様々な実施形態において補償
することができる。この目的を更に都合よく達成するた
め、内側ソレノイドと外側ソレノイド142,1120
用の各RF電源168,196に取って代わって、共通
の電源と可変電力スプリッタが用いられ、内側ソレノイ
ド及び外側ソレノイド142,1120の間で、使用者
が相対的電力配分を変化させることを許容し、同時に、
内側ソレノイド及び外側ソレノイド142,1120間
の位相関係が不変に維持されることができる。このこと
は、両ソレノイド142,1120が同じ周波数でRF
電力を受ける場合、特に重要である。他の方法では、2
つの独立電源168,196を用いる場合、それらは別
々のRF周波数で電力供給されてもよいが、このとき、
2つのソレノイド間の結合による周波数外フィードバッ
ク(off-frequency feedback)を避けるために、各RF電
源168,196の出力部にRFフィルタを設けること
が望ましい。この場合、周波数差が、2つのソレノイド
間のカップリングを時間平均する(time-average out)た
めに十分となるべきであり、且つ、RFフィルタの除去
帯域幅を超えるべきである。或いは、各周波数が独立し
て各ソレノイドと共鳴的に整合されるべきであって、従
来のインピーダンス整合技術の代わりに、各周波数を変
化させ、プラズマインピーダンスの変化に(それによっ
て共鳴を維持した状態で)従うようにすることができ
る。上述の実施形態では、2つのソレノイドの周波数範
囲は相互に排他的とすべきである。しかし、2つのソレ
ノイドは同一のRF周波数で駆動されることが望まし
く、この場合、両者の位相関係は、建設的相互作用を生
じるもの、すなわち2つのソレノイド142、1120
の場の重ね合わせとなることが望ましい。この要件は、
2つのソレノイドが同方向に巻かれていれば、両ソレノ
イドに印加される信号間の位相角をゼロにすれば満たさ
れることが基本的である。他の方法では、2つのソレノ
イドが反対方向に巻かれている場合、位相角は180°
であることが望ましい。いずれにしても、内側ソレノイ
ド及び外側ソレノイド間の結合は、内側ソレノイド及び
外側ソレノイド142,1120間に比較的大きな空間
を設けることによって最小化又は取り除くことができ
る。
【0061】両ソレノイド142,1120の効果を加
工物の中央部と周縁部それぞれにより多く閉じ込めるよ
う、上記のような調整によって達成可能な範囲を、外側
ソレノイド1120の半径を増し、内側ソレノイド及び
外側ソレノイド間の空間を大きくすることにより広げて
いる。これにより、両ソレノイド142,1120の効
果を重ね合わせる際に、制御範囲の拡大が可能となる。
例えば、内側ソレノイド142の半径は、加工物の半径
の約半分以下とすべきであり、できれば約1/3以下が
望ましい。(内側ソレノイド142の最少半径は、ソレ
ノイド142を形成する導体の直径により幾分影響を受
け、また、インダクタンスを生み出すために湾曲した、
例えば円形の電流通路を作るために、有限のゼロでない
円周を設ける必要性によって幾分影響を受ける。)外側
ソレノイド1120の半径は、少なくとも加工物の半径
と等しく、できれば加工物の半径の1.5倍以上が望ま
しい。そのような構成であれば、内側ソレノイド及び外
側ソレノイド142,1120の各中心部効果及び縁部
効果は著しくなり、内側ソレノイドへの電力を増加させ
ることによって、チャンバ圧力が数百mTに上昇し、こ
のとき、均等なプラズマを提供することができ、また、
外側ソレノイド1120への電力を増加させることによ
って、チャンバ圧力が0.01mT程度に低下し、この
とき、均等なプラズマを提供することができる。外側ソ
レノイド1120の半径がそのように大きいことのもう
一つの利点は、それによって両ソレノイド142,11
20間のカップリングを最少にできることである。
【0062】図8(A)の実施形態では、天井部152
と側壁部150は別々の半導体(すなわちシリコン)部
品であって、相互に絶縁され、それぞれのRF電源から
別々に制御可能なRFバイアス電力レベルを印加され
て、縁部に対する中央部のエッチング速度と選択性の制
御を改善している。上記で引用され、出願番号が08/59
7,577であって、出願日が1996年2月2日であるケ
ネス・エス・コリンズ他による米国特許出願に極めて詳
細に記載されているように、天井部152は、ドープさ
れた半導体(例えばシリコン)材料とすることができ、
その結果、チャンバ内部でそれに印加されたRFバイア
ス電力と容量的に結合する電極として働き、また、同時
に、ソレノイド142に印加されたRF電力が誘導的に
チャンバ内部で結合することができる窓部としても働く
ようになる。そのような窓部電極の利点は、(例えばイ
オンエネルギを制御するために)RF電位をウェハの直
上に発生させることができ、同時に、ウェハの直上にR
F電力が誘導的に結合されうることである。後者のこの
特徴は、別々に制御される内側ソレノイド及び外側ソレ
ノイド142,1120と中央部ガス供給源と周縁部ガ
ス供給源164a,164bとを組み合わせる際に、イ
オン密度、イオンエネルギ、エッチング速度及び加工物
縁部に対する加工物中央部のエッチング選択性等、種々
のプラズマ処理パラメータの調節能力を著しく高めて、
最適の均等性が達成可能となることである。このような
組合せでは、個々のガス供給源を通る各ガス流量を、分
離独立して制御して、プラズマ処理パラメータの最適な
均等性を達成することができる。
【0063】ランプヒータ172は電気加熱要素に換え
ることができる。
【0064】図8(B)には、他の変形例が示されてお
り、このとき、天井部152自体が、互いに電気絶縁さ
れるとともに、単一の別途制御されるRF電源のうちの
2つの出力部とすることができる独立したRF電源12
14,1216によって、別々にバイアスがかけられる
内側円板152aと外側環状体152bとに分割されて
いる。
【0065】他の実施形態によれば、例えば従来型のマ
イクロプロセッサとメモリとを含むプログラム可能な電
子コントローラのように、図8(A)と図8(B)に示
され、使用者がアクセス可能な中央コントローラ300
が、中央部及び周縁部のガス供給源164a,164b
を通るガス流量と、内側及び外側アンテナ142,11
20に印加されるRFプラズマ電源レベルと、(図8
(A)の)天井部152及び側壁部150にそれぞれ印
加されるRFバイアス電力レベルと、(図8(B)の)
内側及び外側の天井部分152a,152bにそれぞれ
印加されるRFバイアス電力レベルと、天井部152の
温度、及び、シリコンリング61,63の温度を直接的
に制御するよう接続されている。
【0066】天井部温度コントローラ1218が、天井
部温度センサ176によって測定した温度を、天井部1
52に関する(プログラム可能コントローラ300から
受けた)目標とされたターゲット温度と比較して、天井
ヒータランプ172に印加される電力を調節する。同様
に、外側シリコンリング温度コントローラ68aが、外
側リング温度センサ179aによって測定した温度を、
外側リング61の(プログラム可能コントローラ300
から受けた)目標とされたターゲット温度と比較して、
外側シリコンリング61の下にあるヒータランプ177
aの温度を調節する。更に、内側シリコンリング温度コ
ントローラ68bが、内側リング温度センサ179bに
よって測定した温度を、内側シリコンリング63に関す
る(プログラム可能コントローラ300から受けた)コ
マンドされるターゲット温度と比較して、内側シリコン
リング63の上方にあるヒータランプ177bの温度を
調節する。プログラム可能コントローラ300が、シリ
コンリング温度コントローラ68a,68b、天井部温
度コントローラ1218のターゲット温度、ソレノイド
電源168,196のRF電力レベル、バイアス電源1
210,1212(図8(A))又は1214,121
6(図8(B))のRF電力レベル、RF電源170に
よって印加されるウェハバイアスレベル、及び、種々の
ガス供給源(又は別々のバルブ)からガスインレット1
64a〜dへ供給されるガス流量を調節する。ウェハバ
イアスレベルを制御するための鍵は、ウェハペデスタル
154と天井部152との間のRF電位差である。従っ
て、ペデスタルRF電源170又は天井部RF電源12
12はRF接地へ短絡させてもよい。プログラム可能コ
ントローラ300を用いて、使用者は、加工物の周縁部
に対する加工物の中央部の、RF電源、RFバイアス電
力、シリコン放出、及びガス流量を容易に最適配分し、
加工物の表面全体にわたって、中心部から周辺部まで処
理の最大均一性(例えば、エッチング速度とエッチング
選択性が半径方向にわたって均等に分布されること)が
達成されることができる。また、(コントローラ300
を介して)ペデスタル154と天井部152の間のRF
電力差に対して、ソレノイド142,1120に印加さ
れるRF電力を調節することにより、使用者が、主とし
て誘導的結合モードで、又は、主として容量的結合モー
ドのいずれかでリアクタを作動することができる。
【0067】図8(A)においては、ソレノイド14
2,1120、天井部152、側壁部150、或いは、
図8(B)においては、内側及び外側天井部分152
a,152bに接続され、RF周波数において作動する
電源を以上に説明したが、本発明は特定の周波数に限定
さるものではなく、本発明の実施にあたっては、当業者
はRF以外の周波数を選ぶことができる。
【0068】本発明の好ましい実施形態では、熱伝導率
の高いスペーサー175、天井部152及び側壁部15
0が、単一の結晶シリコンから一体形成される。
【0069】ポリマ硬化前駆体消耗部品を、ウェハ支持
ペデスタルの上面の平らなリング(図6、図7の60、
図8(A)、図8(B)の61)として説明したが、熱
源によって効率的に加熱されるべく熱源から離れすぎて
おらず、また、(熱源からの出力がチャンバ内のプラズ
マ発生へ分岐しないように)チャンバのプラズマ処理領
域を熱源から遮蔽する場合は、部品の形状と位置は任意
でよい。好ましい実施形態では、(シリコンリング60
自体による遮蔽に加えて)熱源のエネルギからプラズマ
をある程度遮蔽することを、一対のマグネットリング1
00,102が行い、チャンバのプラズマ処理領域とポ
ンピング環状体との間のプラズマ流を阻止する。
【0070】必要な遮蔽がなされ、ポリマ硬化前駆体消
耗部品が遠隔熱源へ近接している他の実施形態が、図9
に示されているが、このとき、消耗部品が、円筒形チャ
ンバ側壁部内面に接する円筒形シリコンライナ210で
ある。円筒形側壁部外面に隣接した周辺熱源215が、
側壁部を介してシリコンライナ210を加熱する。周辺
熱源215は誘導ヒータでよく、その場合、円筒形チャ
ンバ壁部は、石英のような絶縁体であっても、シリコン
のような半導体であってもよく、吸収を最小にするとと
もに、熱源215の誘導フィールドのライナ210への
伝達を最大にする十分に高い抵抗率を持つ。或いは、周
辺熱源215は、タングステンランプ又は放電ランプの
ような放射ヒータである。周辺ヒータ215の作動を調
節する温度センサ266と温度コントローラ268によ
り温度制御を行う。図9の実施形態においては、マスタ
コントローラ300により、温度コントローラ168を
制御する。
【0071】図10のグラフ図には、図6の実施形態に
おいて使用した温度制御システムの性能が示されてい
る。横軸は、命令を受けて温度コントローラ68が維持
するシリコンリング60の定常状態の温度(セ氏)であ
り、縦軸は選ばれたリング温度の維持に必要な印加電力
(ワット)である。図11のグラフ図には、図6のシス
テムの閉ループの温度応答が示されており、横軸には時
間(秒)が示され、縦軸にはリング温度(セ氏)が示さ
れている。図11のグラフ図においては、リング60は
室温付近の初期温度から始まり、約30秒後に、リング
温度を440℃にするよう、コントローラ68に命令が
与えられる。約310秒後に当該温度に達し、オーバシ
ュートはなく、ノイズはごくわずかである。約550秒
後にチャンバ内でプラズマが点火され、約1000秒後
に消され、リング温度への影響は、図11においてはほ
とんど観測されない。この後者の事象は温度制御システ
ムの安定性とその応答性を実証している。図12は、図
11のグラフ図の301秒(オーバシュートがなくター
ゲット温度に達した時点)から550秒(プラズマが一
時的についた時点)までを含む時間窓(time window)近
傍における部分拡大図である。プラズマが3.2kWの
電力の場合には550秒の時点で点火されるが、図12
の拡大図では、この事象(点火)と同時に、リング温度
に短いスパイクが見られる。このデータは蛍光プローブ
型センサ66と光ファイバ72を用いて得られた。
【0072】ポリマ硬化前駆体部品(例えばシリコンリ
ング60)の代わりに、RFバイアス電力を、電源40
0からシリコンリング60に印加して(図7の破線参
照)、ポリマのエッチング耐性を向上させる際における
所望の効果を達成させることができる。当業者は、部品
(例えばシリコンリング60)へのRFバイアス電力
を、部品上にポリマがもはや堆積しなくなって、部品面
がプラズマとの相互作用ができる自由状態のままである
閾値レベルまで増加させることにより、必要なRFバイ
アス電力レベルを容易に確認することができる。更に、
部品(例えばシリコンリング60)へ印可するRFバイ
アス電力を、この閾値レベルを超えて増加させると、ウ
ェハ上におけるポリマの硬度が実際に増加し、その結
果、エッチング選択性が、RFバイアス電力の閾値レベ
ルにおいて達成される値を超えて増加する。これは、本
発明の別態様として実施することができるが、以下の理
由により最も好ましい態様ではない。すなわち、(a)
ポリマ硬化前駆体部品の消耗が多くなり、及び、(b)
ポリマ硬化前駆体部品に必要なRFバイアス電力が印加
されるためには、当該部品への何らかの電気的(RF)
結合が行われる必要があり、その構造が複雑になるから
である。更に別の態様では、ポリマ硬化前駆体部品の加
熱とバイアスすることとを組み合わせることができる。
【0073】本発明を、別々の複数のRF電源を用いて
実施するものとして説明したが、本明細書に説明したR
F電源のいくつか或いは全部は、別の複数のRFジェネ
レータ又は共通のRFジェネレータから、可変パワーデ
ィバイダ(power divider)、周波数多重化装置(frequenc
ies multipliers)、及び/又は、フェーズディレイをも
って合成される種々のRF電力レベル、周波数、位相で
もって、適当に種々の出力を得るようにすることができ
る。更に、本発明を、複数の別々の処理ガス供給源をも
って実施するものとして説明したが、処理ガス供給源の
いくつか或いは全部を、複数の独立制御されるインレッ
ト64で分離されることのできる共通ガス供給源から得
るようにしてもよい。
【0074】本発明を特定の実施形態を参照して詳細に
説明したが、本発明の真の精神と範囲から逸脱すること
なく変更や修正がなし得るものと解釈するべきである。
【図面の簡単な説明】
【図1】引用した出願の第1番目に開示されたタイプの
プラズマリアクタの切断側面略図である。
【図2】引用した出願の第2番目に開示されたタイプの
プラズマリアクタの切断側面略図である。
【図3】引用した出願の第3番目に開示されたタイプの
プラズマリアクタの切断側面略図である。
【図4】(A)は、ポリマ硬化前駆体消耗部品の誘導加
熱を用いた本発明の好ましい実施形態によるプラズマリ
アクタの切断側面図である。(B)は、加工物の多層導
体構造が示され、(A)の実施形態の動作例において処
理される加工物の拡大断面図である。(C)は、光ファ
イバが挿入される座ぐり穴部とスリーブが示される、
(A)に対応する拡大図である。(D)は、熱透明窓部
内の長波長オプティカル窓部が示される、(A)に対応
する拡大図である。(E)は、熱透明窓部から隔てた長
波長窓部が示される、(A)に対応する拡大図である。
【図5】(A)は、酸化物に対するシリコンエッチング
選択性を、ポリマ硬化前駆体リングの温度の関数として
示すグラフ図である。(B)は、240℃と500℃に
おけるポリシリコンエッチング速度の半径方向分布をオ
ングストローム/分単位で示すグラフ図である。
【図6】ポリマ硬化前駆体消耗部品の放射、すなわち赤
外線加熱を用いた本発明の別の好ましい実施形態による
プラズマリアクタの切断図である。
【図7】ポリマ硬化前駆体消耗部品が全半導体リアクタ
チャンバ内において加熱される本発明の好ましい実施形
態によるプラズマリアクタの切断図である。
【図8】(A)は、被処理ウェハに対して半径方向に別
々の位置において加熱されるポリマ硬化前駆体部品を用
いる、好ましい実施形態によるプラズマリアクタの切断
図である。(B)は、天井部が内側部分と外側部分に分
割されているもので、図8(A)の実施形態に対応す
る。
【図9】図9は、ポリマ硬化前駆体消耗部品が、円筒形
のチャンバ側壁部に接する取外し可能なライナである、
本発明の一実施形態を示す。
【図10】図10は、本発明を実施したリアクタ内の温
度制御システムの作動例の性能を示すグラフ図である。
【図11】図10に示した性能を持つ温度システムの閉
ループ応答を示すグラフ図である。
【図12】図11のグラフの拡大部分図である。
【符号の説明】
10…リアクタチャンバ、12…側壁部、14…天井
部、16…ウェハ支持ペデスタル、17…被処理加工
物、18…誘導コイル、20,22,24…RF電源、
30,34…冷熱源、32,36…加熱源、38,40
…温度センサ、42,44…温度コントローラ、50…
上部コイル、52a,52b,54…RF電源、60…
環状リング、62…誘導コイル、64…窓部、66…温
度検知装置、68…コントローラ、70…小部品、72
…光ファイバ、74…検知部、90…加熱装置。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 マイケル ライス アメリカ合衆国, カリフォルニア州, プレザントン, クラレット コート 1675 (72)発明者 デイヴィッド ダブリュー. グルーケル アメリカ合衆国, カリフォルニア州, ロス アルトス ヒルズ, ヴィア ヴェ ンタナ 27985 (72)発明者 ジェラルド ジェアウ イン アメリカ合衆国, カリフォルニア州, クパティノ, ビリッチ プレイス 10132 (72)発明者 ジョン モーン アメリカ合衆国, カリフォルニア州, サラトガ, パセオ プレサダ 13179 (72)発明者 クレイグ エー. ロデリック アメリカ合衆国, カリフォルニア州, サン ノゼ, パインヴュー ドライヴ 776 (72)発明者 ダグラス ブクバーガー アメリカ合衆国, カリフォルニア州, トレイシー, ジャーニー ストリート 421 (72)発明者 チャン−ロン ヤン アメリカ合衆国, カリフォルニア州, ロス ガトス, レロイ アヴェニュー 16788 (72)発明者 エン−クイ ウォン アメリカ合衆国, カリフォルニア州, フリーモント, クガー サークル 44994 (72)発明者 ジェフリー マークス アメリカ合衆国, カリフォルニア州, サン ノゼ, シエロ ヴィスタ ウェイ 4730 (72)発明者 ピーター ケスウィック アメリカ合衆国, カリフォルニア州, フリーモント, キャサリン コート 4850

Claims (103)

    【特許請求の範囲】
  1. 【請求項1】 プラズマエッチング処理の方法であっ
    て、 前記プラズマエッチング処理を実行するためのチャンバ
    を提供するステップと、 前記チャンバ内の支持体上に、処理されるべき物品を支
    持するステップと、 少なくともエッチャントとポリマ前駆体材料とを含む処
    理ガスを供給するステップと、 前記処理ガスに加えて、シリコン又は炭素の原料物質を
    前記チャンバ内に提供するステップと、 前記チャンバ内でプラズマを発生させるステップと、 前記原料物質の加熱を十分に行い、前記原料物質の表面
    を前記プラズマと反応するように少なくとも維持するス
    テップと、を備えることを特徴とする方法。
  2. 【請求項2】 前記加熱のステップが、少なくともポリ
    マ凝縮温度まで、前記原料物質を加熱することを有して
    いることを特徴とする請求項1に記載の方法。
  3. 【請求項3】 前記物品上の異なる第1材料と第2材料
    を、第1エッチング速度と第2エッチング速度でそれぞ
    れエッチングし、 前記第1エッチング速度が、前記第1エッチング速度及
    び前記第2のエッチング速度の関数になっている、前記
    第2材料に対する前記第1材料のエッチング選択性に対
    応して、前記第2エッチング速度より大きくなってお
    り、且つ、 前記加熱のステップが、前記原料物質の温度を前記ポリ
    マ凝縮温度を超える温度範囲まで高めて、前記エッチン
    グ選択性を高めることを更に備えることを特徴とする請
    求項2に記載の方法。
  4. 【請求項4】 前記第1材料が前記第2材料の上にあ
    り、且つ、 前記エッチャントが、前記第1材料を通って前記第2材
    料の露出部分に至る開口部を形成することを特徴とする
    請求項3に記載の方法。
  5. 【請求項5】 前記ポリマ前駆体材料が、前記第2材料
    の前記露出部分上にポリマ堆積のための材料を提供し、 前記エッチャント前駆体材料が、前記物品をエッチング
    する材料を提供し、 前記ポリマ堆積が、前記第2材料のエッチングを低減し
    てエッチング選択性を高めることを特徴とする請求項4
    に記載の方法。
  6. 【請求項6】 前記第1材料の上のフォトレジストマス
    ク層が、前記開口部を画成する開口部を有し、 前記ポリマ堆積が、前記第2材料と前記フォトレジスト
    材料のエッチングを低減してエッチング選択性を高める
    ことを特徴とする請求項5に記載の方法。
  7. 【請求項7】 前記第1材料が酸素含有材料を備え、前
    記第2材料が非酸素含有材料を含むことを特徴とする請
    求項5に記載の方法。
  8. 【請求項8】 前記加熱のステップが、前記原料物質の
    温度を前記ポリマ凝縮温度を超える温度範囲へ高めるこ
    とを更に含むことを特徴とする請求項2に記載の方法。
  9. 【請求項9】 前記温度範囲が、前記ウェハ上に形成さ
    れるポリマが大量の前記原料物質を含むものになってい
    ることを特徴とする請求項8に記載の方法。
  10. 【請求項10】 前記第1材料が酸化物を備え、 前記第2材料がシリコン又はポリシリコンを備え、 前記処理ガスのエッチャント前駆体がフッ素を備え、 前記処理ガスのポリマ前駆体が少なくともフッ素と炭素
    を備え、 前記原料物質がシリコンを備える、ことを特徴とする請
    求項3に記載の方法。
  11. 【請求項11】 前記温度範囲が約100℃を上回るこ
    とを特徴とする請求項3に記載の方法。
  12. 【請求項12】 前記温度範囲が約220℃を上回るこ
    とを特徴とする請求項3に記載の方法。
  13. 【請求項13】 前記温度範囲が約100℃を上回るこ
    とを特徴とする請求項8に記載の方法。
  14. 【請求項14】 前記温度範囲が約220℃を上回るこ
    とを特徴とする請求項8に記載の方法。
  15. 【請求項15】 前記温度範囲が約100℃を上回るこ
    とを特徴とする請求項9に記載の方法。
  16. 【請求項16】 前記温度範囲が約220℃を上回るこ
    とを特徴とする請求項9に記載の方法。
  17. 【請求項17】 前記温度範囲が180〜220℃にあ
    ることを特徴とする請求項3に記載の方法。
  18. 【請求項18】 前記温度範囲が300〜700℃にあ
    ることを特徴とする請求項3に記載の方法。
  19. 【請求項19】 前記温度範囲が240〜500℃にあ
    ることを特徴とする請求項3に記載の方法。
  20. 【請求項20】 前記原料物質にRF電力を印加するこ
    とを更に備えることを特徴する請求項1に記載の方法。
  21. 【請求項21】 前記原料物質に印加される前記RF電
    力と前記原料物質の前記加熱が共に、前記原料物質の表
    面を前記プラズマと反応するように維持するために十分
    になっていることを特徴する請求項20に記載の方法。
  22. 【請求項22】 前記原料物質が、前記エッチャント前
    駆体から得られるエッチャント用の捕集体を備え、 前記原料物質に印加される前記RF電力が、前記エッチ
    ャントの捕集を著しく促進することを特徴とする請求項
    20に記載の方法。
  23. 【請求項23】 前記ポリマ凝縮温度近傍で、前記原料
    物質が著しい量のフッ素捕集体材料を前記プラズマ内部
    に供給する基準電力レベルより実質的に低減されたRF
    電力レベルで、RF電力を前記原料物質に印加し、 RF電力の前記低減を補償するため前記原料物質の温度
    を高めること、を備えることを特徴とする請求項10に
    記載の方法。
  24. 【請求項24】 前記RF電力レベルが前記基準電力レ
    ベルから少なくとも整数値分低減され、前記ターゲット
    温度が分数値分だけ高められることを特徴する請求項2
    3に記載の方法。
  25. 【請求項25】 前記RF電力レベルが約1/4に低減
    され、前記ターゲット温度が約240℃に高められるこ
    とを特徴とする請求項24に記載の方法。
  26. 【請求項26】 プラズマエッチング処理の方法であっ
    て、 前記プラズマエッチング処理を実行するためのチャンバ
    を提供するステップと、 前記チャンバ内の支持体上に、処理されるべき物品を支
    持するステップと、 少なくともエッチャントとポリマ前駆体材料とを含む処
    理ガスを供給するステップと、 ポリマ硬化前駆材料を前記チャンバ内に供給するステッ
    プと、 前記チャンバ内でプラズマを発生させるステップと、 前記前駆体材料の加熱を十分に行い、前記前駆体材料の
    表面を反応状態に少なくとも維持するステップと、を備
    えることを特徴とする方法。
  27. 【請求項27】 前記加熱のステップが、前記前駆体材
    料を少なくともポリマ凝縮温度まで加熱することを備え
    ることを特徴とする請求項26に記載の方法。
  28. 【請求項28】 前記物品上の異なる第1材料と第2材
    料を、第1エッチング速度と第2エッチング速度でそれ
    ぞれエッチングし、 前記第1エッチング速度が、前記第1エッチング速度と
    前記第2エッチング速度の関数である前記第2材料に対
    する前記第1材料のエッチング選択性に対応して、前記
    第2エッチング速度より大きくなっており、且つ、 前記加熱のステップが、前記ポリマ硬化前駆体材料の温
    度を、前記ポリマ凝縮温度を超える温度範囲まで高め
    て、前記エッチング選択性を対応して高めるようにする
    こと、を更に備えることを特徴する請求項26に記載の
    方法。
  29. 【請求項29】 前記第1材料が前記第2材料の上にあ
    り、且つ、 前記エッチャントが、前記第1材料を通って前記第2材
    料の露出部分に至る開口部を形成することを特徴とする
    請求項28に記載の方法。
  30. 【請求項30】 前記ポリマ前駆体材料が、前記第2材
    料の前記露出部分上にポリマ堆積のための材料を提供
    し、 前記エッチャント前駆体材料が、前記物品をエッチング
    する材料を提供し、 前記ポリマ堆積が、前記第2材料のエッチングを低減し
    てエッチング選択性を高めることを特徴とする請求項2
    9に記載の方法。
  31. 【請求項31】 前記第1材料の上のフォトレジストマ
    スク層が、前記開口部を画成する開口部を有し、 前記ポリマ堆積が、前記第2材料と前記フォトレジスト
    材料のエッチングを低減してエッチング選択性を高める
    ことを特徴とする請求項30に記載の方法。
  32. 【請求項32】 前記第1材料が酸素含有材料を備え、
    前記第2材料が非酸素含有材料を含むことを特徴とする
    請求項30に記載の方法。
  33. 【請求項33】 前記加熱のステップでは、 前記ポリマ硬化前駆体材料が、前記ポリマ凝縮温度を超
    える温度範囲まで加熱されることを特徴する請求項27
    に記載の方法。
  34. 【請求項34】 前記温度範囲が、前記ウェハ上に形成
    されるポリマが前記ポリマ硬化前駆体材料からの材料を
    備えるようになっていることを特徴とする請求項33に
    記載の方法。
  35. 【請求項35】 前記第1材料が酸化物を備え、 前記第2材料がシリコン又はポリシリコンを備え、 前記処理ガスのエッチャント前駆体がフッ素を備え、 前記処理ガスのポリマ前駆体が少なくともフッ素と炭素
    を備え、 前記ポリマ硬化前駆体材料がシリコンを備える、ことを
    特徴とする請求項33に記載の方法。
  36. 【請求項36】 前記温度範囲が約100℃を上回るこ
    とを特徴とする請求項28に記載の方法。
  37. 【請求項37】 前記温度範囲が約220℃を上回るこ
    とを特徴とする請求項28に記載の方法。
  38. 【請求項38】 前記温度範囲が約100℃を上回るこ
    とを特徴とする請求項33に記載の方法。
  39. 【請求項39】 前記温度範囲が約220℃を上回るこ
    とを特徴とする請求項33に記載の方法。
  40. 【請求項40】 前記温度範囲が180〜220℃にあ
    ることを特徴とする請求項28に記載の方法。
  41. 【請求項41】 前記温度範囲が300〜700℃にあ
    ることを特徴とする請求項28に記載の方法。
  42. 【請求項42】 前記温度範囲が240〜500℃にあ
    ることを特徴とする請求項28に記載の方法。
  43. 【請求項43】 前記ポリマ硬化前駆体材料にRF電力
    を印加することを更に備えることを特徴する請求項26
    に記載の方法。
  44. 【請求項44】 前記ポリマ硬化前駆体材料に印加され
    る前記RF電力と前記ポリマ硬化前駆体材料の前記加熱
    が共に、前記ポリマ硬化前駆体材料の表面を前記プラズ
    マと反応するように維持するために十分になっているこ
    とを特徴する請求項43に記載の方法。
  45. 【請求項45】 前記ポリマ硬化前駆体材料が、前記エ
    ッチャント前駆体から得られるエッチャント用の捕集体
    を備え、 前記ポリマ硬化前駆体材料に印加される前記RF電力
    が、前記エッチャントの捕集を著しく促進することを特
    徴とする請求項44に記載の方法。
  46. 【請求項46】 前記ポリマ硬化前駆体材料にRF電力
    を印加することを更に備えることを特徴する請求項26
    に記載の方法。
  47. 【請求項47】 前記ポリマ硬化前駆体材料が、前記ポ
    リマ凝縮温度近傍で著しい量のフッ素捕集体材料を前記
    プラズマ内部に供給する基準電力レベルより実質的に低
    減されたRF電力レベルで、RF電力が前記ポリマ硬化
    前駆体材料に印加され、 RF電力の前記低減を補償するため前記ポリマ硬化前駆
    体材料の温度を高めること、を特徴とする請求項46に
    記載の方法。
  48. 【請求項48】 前記RF電力レベルが、前記基準電力
    レベルから少なくとも整数値分低減され、前記ターゲッ
    ト温度が分数値分だけ高められることを特徴する請求項
    47に記載の方法。
  49. 【請求項49】 前記RF電力レベルが前記基準電力レ
    ベルの約1/4に低減され、前記ターゲット温度が約2
    40℃に高められることを特徴とする請求項47に記載
    の方法。
  50. 【請求項50】 前記ポリマ硬化前駆体材料が、シリコ
    ン、炭素、炭化珪素、及び窒化シリコンを備える材料の
    クラスのうちのひとつであることを特徴とする請求項2
    6に記載の方法。
  51. 【請求項51】 前記ポリマ硬化前駆体材料を提供する
    ステップが、前記リアクタチャンバの一体構造とは別の
    前記ポリマ硬化前駆体材料の迅速取外し可能部品を提供
    するステップを有することを特徴とする請求項26に記
    載の方法。
  52. 【請求項52】 前記加熱のステップが、(a)誘導加
    熱及び(b)放射加熱のいずれか一方を備えることを特
    徴とする請求項51に記載の方法。
  53. 【請求項53】 前記加熱のステップが、 前記ポリマ硬化前駆体材料からの放射を検知することに
    よって前記ポリマ硬化前駆体材料の温度の測定を行うこ
    とにより、前記ポリマ硬化前駆体材料の温度をある選定
    温度に制御して、前記ポリマ硬化前駆体材料の前記測定
    温度を前記選定温度の近傍に維持すること、を備えるこ
    とを特徴とする請求項52に記載の方法。
  54. 【請求項54】 前記測定のステップが、前記ポリマ硬
    化前駆体材料からの放射をポート部を介して遠隔検知し
    することを備え、 前記加熱のステップが、前記ポリマ硬化前駆体材料を窓
    部を介して加熱することを備えており、 前記ポート部が、(a)前記窓部の一部分、(b)前記
    窓部とは別部分のいずれかであることを特徴とする請求
    項53に記載の方法。
  55. 【請求項55】 前記加熱のステップが、前記窓部が少
    なくともほぼ透明に近い波長で熱を放射することを備
    え、 前記温度測定のステップが、前記ポート部が少なくとも
    ほぼ透明に近い波長にで前記ポリマ硬化前駆体材料から
    の放射を検知することを備える、ことを特徴とする請求
    項54に記載の方法。
  56. 【請求項56】 リアクタチャンバと、 前記チャンバ近傍のプラズマ電源結合装置、及び、前記
    プラズマ電源結合装置にRF電力を供給するためのRF
    電源と、 処理ガスインレット、及び、エッチャントとポリマ前駆
    体とを含む処理ガスを供給するため前記インレットに結
    合されている処理ガス供給源と、 前記リアクタチャンバ内で、処理されるべき物品を保持
    するための支持体と、 前記チャンバ内のポリマ硬化前駆体部品と、を備えるプ
    ラズマリアクタ。
  57. 【請求項57】 前記ポリマ硬化前駆体部品を十分に加
    熱し、前記チャンバ内で前記ポリマ硬化前駆体部品をプ
    ラズマと十分に反応するようにするためのヒータを更に
    備えることを特徴とする請求項56に記載のリアクタ。
  58. 【請求項58】 前記ポリマ硬化前駆体部品が、前記チ
    ャンバの構成要素とは別体の取外し可能消耗部品である
    ことを特徴する請求項56に記載のリアクタ。
  59. 【請求項59】 前記ポリマ硬化前駆体部品の放射率が
    温度とともに変化しており、 前記リアクタが、 前記ポリマ硬化前駆体部品の中の孔部と、 温度センサと、 前記温度センサを前記孔部に結合するための光ファイバ
    と、を備え、 前記光ファイバの前記一端部が少なくとも部分的に前記
    孔部の中へ延在し、 前記孔部のアスペクト比が十分に大きくなって、前記セ
    ンサによって観測される温度に伴う前記放射率の明らか
    な変動が低減されることを特徴とする請求項56に記載
    のリアクタ。
  60. 【請求項60】 前記ポリマ硬化前駆体部品に結合され
    たRF電源を更に備えることを特徴とする請求項56に
    記載のリアクタ。
  61. 【請求項61】 前記ポリマ硬化前駆体材料が、前記ポ
    リマ硬化前駆体部品が維持される温度に応じて、前記物
    品の表面上に形成されるポリマの耐エッチング性を増加
    させることによって、前記表面上での重合に影響を及ぼ
    すことを特徴とする請求項56に記載のリアクタ。
  62. 【請求項62】 前記ポリマ硬化前駆体部品の材料が、
    十分に高い温度に維持されたときに材料を前記ポリマへ
    与えるものからなり、 前記ポリマ硬化前駆体によって前記ポリマへ与えられた
    前記材料が、前記処理ガスのエッチャント前駆体から得
    られるエッチャントによるエッチングに対して、前記ポ
    リマの耐エッチング性を高めることを特徴とする請求項
    61に記載のリアクタ。
  63. 【請求項63】 前記ポリマ硬化前駆体材料が、前記処
    理ガスのエッチ前駆体から得られるエッチャント用捕集
    体であることを特徴とする請求項56に記載のリアク
    タ。
  64. 【請求項64】 前記処理ガスが少なくともフッ素と炭
    素を含み、 前記ポリマ硬化前駆体部品が、(a)シリコン、(b)
    炭素、(c)珪化シリコン、(d)窒化シリコンのいず
    れか一つを備えることを特徴とする請求項56に記載の
    リアクタ。
  65. 【請求項65】 前記被処理物品が平らなウェハであ
    り、 前記ポリマ硬化前駆体部品が前記ウェハの周縁部と同心
    若しくは同心に近い平らな環状リングであることを特徴
    とする請求項64に記載のリアクタ。
  66. 【請求項66】 前記リングが前記ウェハと少なくとも
    ほぼ同一平面内にあることを特徴とする請求項65に記
    載のリアクタ。
  67. 【請求項67】 前記ヒータが前記ポリマ硬化前駆体部
    品から離れ、且つ、(a)誘導ヒータ及び(b)放射ヒ
    ータのいずれか一方を備えることを特徴とする請求項5
    8に記載のリアクタ。
  68. 【請求項68】 前記ポリマ硬化前駆体部品の温度を測
    定するためにセンサ波長に応答する遠隔温度センサと、 前記遠隔温度センサから信号を受信するために、前記ヒ
    ータのコントロール入力部に接続され、前記遠隔温度セ
    ンサに応答して前記ヒータを調節するコントローラと、
    を更に備えることを特徴とする請求項58に記載のリア
    クタ。
  69. 【請求項69】 前記ヒータが、前記ポリマ硬化前駆体
    部品の吸収スペクトル内にあるヒータ波長で発熱する放
    射ヒータであることを特徴とする請求項68に記載のリ
    アクタ。
  70. 【請求項70】 前記ヒータ波長と前記センサ波長とが
    異なることを特徴とする請求項69に記載のリアクタ。
  71. 【請求項71】 前記ヒータ波長と前記センサ波長と
    が、少なくとも部分的に一致する波長範囲内にあること
    を特徴とする請求項69に記載のリアクタ。
  72. 【請求項72】 前記ヒータ及び前記センサを前記ポリ
    マ硬化前駆体部品から分離する窓部を備え、 前記窓部が、少なくとも前記ヒータ周波数において透明
    であることを特徴とする請求項69に記載のリアクタ。
  73. 【請求項73】 前記センサと前記ポリマ硬化前駆体部
    品の選択部分との間にあって、前記センサ波長において
    透明な光導波路を更に備えることを特徴とする請求項7
    2に記載のリアクタ。
  74. 【請求項74】 前記センサと前記ポリマ硬化前駆体部
    品の選択部分との間にあって、前記センサ波長において
    透明な光導波路を更に備えることを特徴とする請求項6
    8に記載のリアクタ。
  75. 【請求項75】 前記ポリマ硬化前駆体部品の前記選択
    部分に設置された感温材料を更に備え、且つ、 前記センサ波長が前記感温材料の放射波長に一致するこ
    とを特徴とする請求項74に記載のリアクタ。
  76. 【請求項76】 前記感温材料が蛍光材料を含み、且
    つ、前記センサが蛍光プローブを含むことを特徴とする
    請求項75に記載のリアクタ。
  77. 【請求項77】 前記感温材料が、温度とともに放射率
    が少なくともほとんど一定である物質を含み、且つ、前
    記センサが光高温計を備えることを特徴とする請求項7
    5に記載のリアクタ。
  78. 【請求項78】 前記感温材料が窒化シリコンを含むこ
    とを特徴とする請求項77に記載のリアクタ。
  79. 【請求項79】 前記光導波路が、一端部を前記センサ
    に向くようにし、他端部を前記ポリマ硬化前駆体部品の
    前記選択部分の一部分に向くようにした光ファイバを備
    えることを特徴とする請求項74に記載のリアクタ。
  80. 【請求項80】 前記光導波路が、前記窓部内にポート
    部を備えることを特徴とする請求項73に記載のリアク
    タ。
  81. 【請求項81】 前記光導波路が第2窓部を備えること
    を特徴とする請求項73に記載のリアクタ。
  82. 【請求項82】 前記センサ波長が前記取外し可能消耗
    部品の放射波長に一致し、前記光導波路が長波長に透明
    な材料を含むことを特徴とする請求項74に記載のリア
    クタ。
  83. 【請求項83】 前記センサ波長が可視光線の波長より
    長い波長範囲にあることを特徴とする請求項82に記載
    のリアクタ。
  84. 【請求項84】 前記透明な材料が、セレン化亜鉛とサ
    ファイアを備える材料クラスのひとつであることを特徴
    とする請求項82に記載のリアクタ。
  85. 【請求項85】 前記光導波路の材料が、前記センサ波
    長において加熱に応答して強く放射しないことを特徴と
    する請求項74に記載のリアクタ。
  86. 【請求項86】 前記窓部が、前記ポリマ硬化前駆体部
    品の放射冷却のために、前記ポリマ硬化前駆体部品によ
    り発せられる放射を吸収することを特徴とする請求項7
    2に記載のリアクタ。
  87. 【請求項87】 前記窓部に結合された冷却器を更に備
    えることを特徴とする請求項86に記載のリアクタ。
  88. 【請求項88】 前記ポリマ硬化前駆体部品の前記選択
    部分内に孔部を備え、 前記光ファイバの前記一端部が
    前記孔部に向いていることを特徴とする請求項79に記
    載のリアクタ。
  89. 【請求項89】 前記ポリマ硬化前駆体部品の放射率が
    温度とともに変化し、 前記光ファイバの前記一端部が少なくとも部分的に前記
    孔部の中へ向かって延び、 前記孔部が十分に高いアスペクト比を有して、前記セン
    サによって観測される温度に伴う前記放射率の明らかな
    変動を低減していることを特徴とする請求項88に記載
    のリアクタ。
  90. 【請求項90】 前記光ファイバが、前記孔部の上部内
    でさら形にされていることを特徴とする請求項88に記
    載のリアクタ。
  91. 【請求項91】 前記孔部の外へ延びる前記光ファイバ
    の一部を取り囲む不透明性シールドを更に備えることを
    特徴とする請求項90のリアクタ。
  92. 【請求項92】 プラズマエッチング処理の方法であっ
    て、 前記プラズマエッチング処理を実行するためのチャンバ
    を提供するステップと、 前記チャンバ内の支持体上に、処理されるべき物品を支
    持するステップと、 少なくともエッチャントとポリマ前駆体材料とを含む処
    理ガスを供給するステップと、 前記チャンバ内でプラズマを発生させるステップと、 前記処理ガスに加えて、シリコン又は炭素の原料物質を
    前記チャンバ内に提供するステップと、 前記原料物質にRFバイアス電力を印加し、前記原料物
    質の表面を前記プラズマと反応するように少なくとも維
    持するステップと、を備えることを特徴とする方法。
  93. 【請求項93】 前記物品上の異なる第1材料と第2材
    料を、第1エッチング速度と第2エッチング速度でそれ
    ぞれエッチングし、 前記第1エッチング速度が、前記第1エッチング速度及
    び前記第2のエッチング速度の関数になっている、前記
    第2材料に対する前記第1材料のエッチング選択性に対
    応して、前記第2エッチング速度より大きくなっている
    ことを特徴とする請求項92に記載の方法。
  94. 【請求項94】 前記第1材料が前記第2材料の上にあ
    り、且つ、 前記エッチャントが、前記第1材料を通って前記第2材
    料の露出部分に至る開口部を形成することを特徴とする
    請求項93に記載の方法。
  95. 【請求項95】 前記ポリマ前駆体材料が、前記第2材
    料の前記露出部分上にポリマ堆積のための材料を提供
    し、 前記エッチャント前駆体材料が、前記物品をエッチング
    する材料を提供し、 前記ポリマ堆積が、前記第2材料のエッチングを低減し
    てエッチング選択性を高めることを特徴とする請求項9
    4に記載の方法。
  96. 【請求項96】 前記第1材料の上のフォトレジストマ
    スク層が、前記開口部を画成する開口部を有し、 前記ポリマ堆積が、前記第2材料と前記フォトレジスト
    材料のエッチングを低減してエッチング選択性を高める
    ことを特徴とする請求項95に記載の方法。
  97. 【請求項97】 前記第1材料が酸素含有材料を備え、
    前記第2材料が非酸素含有材料を含むことを特徴とする
    請求項95に記載の方法。
  98. 【請求項98】 プラズマエッチング処理の方法であっ
    て、 前記プラズマエッチング処理を実行するためのチャンバ
    を提供するステップと、 前記チャンバ内の支持体上に、処理されるべき物品を支
    持するステップと、 少なくともエッチャントとポリマ前駆体材料とを含む処
    理ガスを供給するステップと、 前記チャンバ内でプラズマを発生させるステップと、 前記処理ガスに加えて、ポリマ硬化前駆体を前記チャン
    バ内に提供するステップと、 前記原料物質にRFバイアス電力を印加し、前記原料物
    質の表面を前記プラズマと反応するように少なくとも維
    持するステップと、を備えることを特徴とする方法。
  99. 【請求項99】 前記物品上の異なる第1材料と第2材
    料を、第1エッチング速度と第2エッチング速度でそれ
    ぞれエッチングし、 前記第1エッチング速度が、前記第1エッチング速度及
    び前記第2のエッチング速度の関数になっている、前記
    第2材料に対する前記第1材料のエッチング選択性に対
    応して、前記第2エッチング速度より大きくなっている
    ことを特徴とする請求項98に記載の方法。
  100. 【請求項100】 前記第1材料が前記第2材料の上に
    あり、且つ、 前記エッチャントが、前記第1材料を通って前記第2材
    料の露出部分に至る開口部を形成することを特徴とする
    請求項99に記載の方法。
  101. 【請求項101】 前記ポリマ前駆体材料が、前記第2
    材料の前記露出部分上にポリマ堆積のための材料を提供
    し、 前記エッチャント前駆体材料が、前記物品をエッチング
    する材料を提供し、 前記ポリマ堆積が、前記第2材料のエッチングを低減し
    てエッチング選択性を高めることを特徴とする請求項1
    00に記載の方法。
  102. 【請求項102】 前記第1材料の上のフォトレジスト
    マスク層が、前記開口部を画成する開口部を有し、 前記ポリマ堆積が、前記第2材料と前記フォトレジスト
    材料のエッチングを低減してエッチング選択性を高める
    ことを特徴とする請求項101に記載の方法。
  103. 【請求項103】 前記第1材料が酸素含有材料を備
    え、前記第2材料が非酸素含有材料を含むことを特徴と
    する請求項101に記載の方法。
JP9122676A 1996-05-13 1997-05-13 ポリマ硬化前駆体材料の熱源を有するプラズマリアクタ Pending JPH1064882A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/648,256 US6036877A (en) 1991-06-27 1996-05-13 Plasma reactor with heated source of a polymer-hardening precursor material
US08/648256 1996-05-13

Publications (1)

Publication Number Publication Date
JPH1064882A true JPH1064882A (ja) 1998-03-06

Family

ID=24600063

Family Applications (1)

Application Number Title Priority Date Filing Date
JP9122676A Pending JPH1064882A (ja) 1996-05-13 1997-05-13 ポリマ硬化前駆体材料の熱源を有するプラズマリアクタ

Country Status (5)

Country Link
US (3) US6036877A (ja)
EP (1) EP0807952A3 (ja)
JP (1) JPH1064882A (ja)
KR (1) KR970077319A (ja)
TW (1) TW329535B (ja)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20000021088A (ko) * 1998-09-25 2000-04-15 구자홍 온도조절수단을 포함하는 플라즈마를 이용한 표면처리장치
JP2002510858A (ja) * 1998-03-31 2002-04-09 ラム リサーチ コーポレーション 汚染制御方法およびプラズマ処理チャンバ
US6409877B1 (en) 1998-02-26 2002-06-25 Matsushita Electronics Corporation Apparatus and method for plasma etching
JP2002533949A (ja) * 1998-12-28 2002-10-08 ラム リサーチ コーポレーション プラズマリアクタにおける穿孔プラズマ閉じ込めリング
JP2003514390A (ja) * 1999-11-15 2003-04-15 ラム リサーチ コーポレーション プラズマ処理装置用温度制御システム
KR100799781B1 (ko) * 2000-11-28 2008-01-31 소니 가부시끼 가이샤 포커스 링, 기판 처리 장치 및 기판 처리 방법
JP2008159931A (ja) * 2006-12-25 2008-07-10 Tokyo Electron Ltd 基板処理装置、フォーカスリングの加熱方法及び基板処理方法
JP2014090177A (ja) * 2001-04-03 2014-05-15 Applied Materials Inc プラズマチャンバーにおいて半導体ワークピースを取り巻く導電性カラー
US8941037B2 (en) 2006-12-25 2015-01-27 Tokyo Electron Limited Substrate processing apparatus, focus ring heating method, and substrate processing method
JP2015149311A (ja) * 2014-02-04 2015-08-20 東京エレクトロン株式会社 温度測定方法及びプラズマ処理システム
WO2022230729A1 (ja) * 2021-04-26 2022-11-03 東京エレクトロン株式会社 基板処理装置及び基板処理方法

Families Citing this family (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020004309A1 (en) * 1990-07-31 2002-01-10 Kenneth S. Collins Processes used in an inductively coupled plasma reactor
US20010054601A1 (en) * 1996-05-13 2001-12-27 Jian Ding Low ceiling temperature process for a plasma reactor with heated source of a polymer-hardening precursor material
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6077384A (en) 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6514376B1 (en) 1991-06-27 2003-02-04 Applied Materials Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6074512A (en) 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6488807B1 (en) 1991-06-27 2002-12-03 Applied Materials, Inc. Magnetic confinement in a plasma reactor having an RF bias electrode
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6036877A (en) * 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
US6036878A (en) 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US6500314B1 (en) * 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
US6284093B1 (en) 1996-11-29 2001-09-04 Applied Materials, Inc. Shield or ring surrounding semiconductor workpiece in plasma chamber
US6132551A (en) * 1997-09-20 2000-10-17 Applied Materials, Inc. Inductive RF plasma reactor with overhead coil and conductive laminated RF window beneath the overhead coil
DE19927806A1 (de) * 1999-06-18 2001-01-04 Bosch Gmbh Robert Vorrichtung und Verfahren zum Hochratenätzen eines Substrates mit einer Plasmaätzanlage und Vorrichtung und Verfahren zum Zünden eines Plasmas und Hochregeln oder Pulsen der Plasmaleistung
US6227140B1 (en) * 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
US6318384B1 (en) * 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
US6432259B1 (en) 1999-12-14 2002-08-13 Applied Materials, Inc. Plasma reactor cooled ceiling with an array of thermally isolated plasma heated mini-gas distribution plates
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6853141B2 (en) * 2002-05-22 2005-02-08 Daniel J. Hoffman Capacitively coupled plasma reactor with magnetic plasma control
US20070048882A1 (en) * 2000-03-17 2007-03-01 Applied Materials, Inc. Method to reduce plasma-induced charging damage
US8048806B2 (en) * 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6900596B2 (en) * 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US7141757B2 (en) * 2000-03-17 2006-11-28 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US6564810B1 (en) * 2000-03-28 2003-05-20 Asm America Cleaning of semiconductor processing chambers
US6401652B1 (en) 2000-05-04 2002-06-11 Applied Materials, Inc. Plasma reactor inductive coil antenna with flat surface facing the plasma
US6562189B1 (en) * 2000-05-19 2003-05-13 Applied Materials Inc. Plasma reactor with a tri-magnet plasma confinement apparatus
US7094670B2 (en) * 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US20020127853A1 (en) * 2000-12-29 2002-09-12 Hubacek Jerome S. Electrode for plasma processes and method for manufacture and use thereof
US6920312B1 (en) * 2001-05-31 2005-07-19 Lam Research Corporation RF generating system with fast loop control
US8202621B2 (en) * 2001-09-22 2012-06-19 Rohm And Haas Company Opaque low resistivity silicon carbide
JP2003124235A (ja) * 2001-10-17 2003-04-25 Sumitomo Electric Ind Ltd Ii−vi族化合物半導体、その熱処理方法およびその熱処理装置
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US7541270B2 (en) * 2002-08-13 2009-06-02 Micron Technology, Inc. Methods for forming openings in doped silicon dioxide
US7795153B2 (en) * 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US7910013B2 (en) 2003-05-16 2011-03-22 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7901952B2 (en) * 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US7247218B2 (en) * 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7470626B2 (en) * 2003-05-16 2008-12-30 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7452824B2 (en) * 2003-05-16 2008-11-18 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters
US7202172B2 (en) * 2003-12-05 2007-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Microelectronic device having disposable spacer
US7359177B2 (en) * 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US8849585B2 (en) * 2008-06-26 2014-09-30 Lam Research Corporation Methods for automatically characterizing a plasma
JP5643198B2 (ja) * 2008-07-07 2014-12-17 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバ内の膜を特徴付けるためのrfバイアス容量結合静電(rfb−cce)プローブ構成、それに関連する方法、及び、その方法を実行するコードを格納するプログラム格納媒体
KR101606736B1 (ko) * 2008-07-07 2016-03-28 램 리써치 코포레이션 플라즈마 프로세싱 챔버에서 플라즈마 불안정성을 검출하기 위한 패시브 용량성-결합된 정전식 (cce) 프로브 장치
US8164349B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Capacitively-coupled electrostatic (CCE) probe arrangement for detecting strike step in a plasma processing chamber and methods thereof
CN102084475B (zh) * 2008-07-07 2013-01-30 朗姆研究公司 用于等离子体处理室中的包括真空间隙的面向等离子体的探针装置
CN102714167B (zh) 2008-07-07 2015-04-22 朗姆研究公司 用于检测等离子处理室内的原位电弧放电事件的被动电容耦合静电(cce)探针装置
US8780522B2 (en) * 2008-07-07 2014-07-15 Lam Research Corporation Capacitively-coupled electrostatic (CCE) probe arrangement for detecting dechucking in a plasma processing chamber and methods thereof
US20100059182A1 (en) * 2008-09-05 2010-03-11 Jusung Engineering Co., Ltd. Substrate processing apparatus
US8147137B2 (en) * 2008-11-19 2012-04-03 Applied Materials, Inc. Pyrometry for substrate processing
JP5657262B2 (ja) * 2009-03-27 2015-01-21 東京エレクトロン株式会社 プラズマ処理装置
US9299539B2 (en) * 2009-08-21 2016-03-29 Lam Research Corporation Method and apparatus for measuring wafer bias potential
DE102011083245B4 (de) * 2011-09-22 2019-04-25 Siltronic Ag Verfahren und Vorrichtung zum Abscheiden einer epitaktischen Schicht aus Silizium auf einer Halbleiterscheibe aus einkristallinem Silizium durch Gasphasenabscheidung in einer Prozesskammer
CN103369810B (zh) * 2012-03-31 2016-02-10 中微半导体设备(上海)有限公司 一种等离子反应器
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
WO2017062323A1 (en) 2015-10-06 2017-04-13 Shell Oil Company A process for making cumene by alkylation of benzene using an organotemplate-free zeolite beta
CN106611692A (zh) * 2015-10-26 2017-05-03 北京北方微电子基地设备工艺研究中心有限责任公司 上电极组件及反应腔室
CN106711006B (zh) * 2015-11-13 2019-07-05 北京北方华创微电子装备有限公司 上电极组件及半导体加工设备
US10109464B2 (en) * 2016-01-11 2018-10-23 Applied Materials, Inc. Minimization of ring erosion during plasma processes
US11521828B2 (en) 2017-10-09 2022-12-06 Applied Materials, Inc. Inductively coupled plasma source
CN109727838B (zh) * 2017-10-31 2021-09-17 北京北方华创微电子装备有限公司 一种等离子体产生腔及半导体加工设备
KR20220040804A (ko) 2020-09-24 2022-03-31 삼성전자주식회사 플라즈마 처리 장치 및 플라즈마 처리 방법

Family Cites Families (106)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB231197A (en) * 1924-03-24 1925-08-06 Peter August Nordling Improvement in hooks and the like
GB1550853A (en) * 1975-10-06 1979-08-22 Hitachi Ltd Apparatus and process for plasma treatment
US4233109A (en) * 1976-01-16 1980-11-11 Zaidan Hojin Handotai Kenkyu Shinkokai Dry etching method
JPS559464A (en) 1978-07-07 1980-01-23 Toshiba Corp Production method of bipolar integrated circuit containing i2 l
JPS55154582A (en) * 1979-05-21 1980-12-02 Chiyou Lsi Gijutsu Kenkyu Kumiai Gas plasma etching method
US4243476A (en) * 1979-06-29 1981-01-06 International Business Machines Corporation Modification of etch rates by solid masking materials
US4261762A (en) * 1979-09-14 1981-04-14 Eaton Corporation Method for conducting heat to or from an article being treated under vacuum
JPS5745927A (en) * 1980-09-02 1982-03-16 Fujitsu Ltd Vacuum leakage detector for dry etching vacuum container
JPS6056431B2 (ja) * 1980-10-09 1985-12-10 三菱電機株式会社 プラズマエツチング装置
JPS57155732A (en) * 1981-03-20 1982-09-25 Sharp Corp Dry etching
US4368092A (en) 1981-04-02 1983-01-11 The Perkin-Elmer Corporation Apparatus for the etching for semiconductor devices
US4350578A (en) * 1981-05-11 1982-09-21 International Business Machines Corporation Cathode for etching
US4427516A (en) * 1981-08-24 1984-01-24 Bell Telephone Laboratories, Incorporated Apparatus and method for plasma-assisted etching of wafers
EP0082015A1 (en) 1981-12-16 1983-06-22 Konica Corporation Method of forming an image with a photographic cuprous halide material
US4512391A (en) * 1982-01-29 1985-04-23 Varian Associates, Inc. Apparatus for thermal treatment of semiconductor wafers by gas conduction incorporating peripheral gas inlet
US4457359A (en) * 1982-05-25 1984-07-03 Varian Associates, Inc. Apparatus for gas-assisted, solid-to-solid thermal transfer with a semiconductor wafer
JPS6060060A (ja) * 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
US4579080A (en) 1983-12-09 1986-04-01 Applied Materials, Inc. Induction heated reactor system for chemical vapor deposition
JPS6191377A (ja) * 1984-10-12 1986-05-09 Anelva Corp 表面処理装置
US4735902A (en) 1984-10-23 1988-04-05 Matti Siren Stabilized composition containing inositoltriphosphate
JPH07118474B2 (ja) * 1984-12-17 1995-12-18 ソニー株式会社 エツチングガス及びこれを用いたエツチング方法
JPS61147531A (ja) * 1984-12-21 1986-07-05 Toshiba Corp 反応性イオンエツチング方法
US4572759A (en) 1984-12-26 1986-02-25 Benzing Technology, Inc. Troide plasma reactor with magnetic enhancement
US4870245A (en) * 1985-04-01 1989-09-26 Motorola, Inc. Plasma enhanced thermal treatment apparatus
CA1247757A (en) * 1985-05-03 1988-12-28 The Australian National University Method and apparatus for producing large volume magnetoplasmas
JPS627268A (ja) 1985-07-03 1987-01-14 Nec Corp フアクシミリ装置
JPS6212129A (ja) * 1985-07-10 1987-01-21 Hitachi Ltd プラズマ処理装置
US4711698A (en) * 1985-07-15 1987-12-08 Texas Instruments Incorporated Silicon oxide thin film etching process
US4807016A (en) * 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
JPS6252714A (ja) * 1985-08-30 1987-03-07 Olympus Optical Co Ltd 磁気記録媒体
JPH0680650B2 (ja) 1986-04-23 1994-10-12 株式会社日立マイコンシステム 半導体集積回路装置の製造方法
JPS62254428A (ja) * 1986-04-28 1987-11-06 Nippon Telegr & Teleph Corp <Ntt> 反応性スパツタエツチング方法と反応性スパツタエツチング装置
DE3717985A1 (de) 1986-05-28 1987-12-03 Minolta Camera Kk Elektrochrome vorrichtung
JPS639120A (ja) * 1986-06-30 1988-01-14 Canon Inc ドライエツチング用ウエハステ−ジ
US4755345A (en) * 1986-08-01 1988-07-05 The United States Of America As Represented By The United States Department Of Energy Impedance matched, high-power, rf antenna for ion cyclotron resonance heating of a plasma
US4786352A (en) 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
DE3632340C2 (de) 1986-09-24 1998-01-15 Leybold Ag Induktiv angeregte Ionenquelle
US4859908A (en) 1986-09-24 1989-08-22 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus for large area ion irradiation
KR900007687B1 (ko) 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
US4756810A (en) * 1986-12-04 1988-07-12 Machine Technology, Inc. Deposition and planarizing methods and apparatus
GB8629634D0 (en) 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching
JPS63155728A (ja) 1986-12-19 1988-06-28 Canon Inc プラズマ処理装置
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4793897A (en) * 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
US4786359A (en) * 1987-06-24 1988-11-22 Tegal Corporation Xenon enhanced plasma etch
JPS6415928A (en) 1987-07-10 1989-01-19 Hitachi Ltd Dry etching method
JPH0741153Y2 (ja) * 1987-10-26 1995-09-20 東京応化工業株式会社 試料処理用電極
EP0334109B1 (de) * 1988-03-24 1993-06-02 Siemens Aktiengesellschaft Verfahren und Vorrichtung zum Herstellen von aus amorphen Silizium-Germanium-Legierungen bestehenden Halbleiterschichten nach der Glimmentladungstechnik, insbesondere für Solarzellen
JPH02148235A (ja) 1988-11-30 1990-06-07 Toshiba Corp データ退避方式
US4918031A (en) * 1988-12-28 1990-04-17 American Telephone And Telegraph Company,At&T Bell Laboratories Processes depending on plasma generation using a helical resonator
US5015330A (en) * 1989-02-28 1991-05-14 Kabushiki Kaisha Toshiba Film forming method and film forming device
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5122251A (en) 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5421891A (en) 1989-06-13 1995-06-06 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5210466A (en) 1989-10-03 1993-05-11 Applied Materials, Inc. VHF/UHF reactor system
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
DE3942964A1 (de) * 1989-12-23 1991-06-27 Leybold Ag Einrichtung fuer die erzeugung eines plasmas
WO1991010341A1 (en) * 1990-01-04 1991-07-11 Savas Stephen E A low frequency inductive rf plasma reactor
US5203956A (en) * 1990-01-08 1993-04-20 Lsi Logic Corporation Method for performing in-situ etch of a CVD chamber
JP3305313B2 (ja) 1990-04-27 2002-07-22 川崎製鉄株式会社 Rh脱ガス装置による脱炭方法
US5085727A (en) * 1990-05-21 1992-02-04 Applied Materials, Inc. Plasma etch apparatus with conductive coating on inner metal surfaces of chamber to provide protection from chemical corrosion
US5258824A (en) * 1990-08-09 1993-11-02 Applied Materials, Inc. In-situ measurement of a thin film deposited on a wafer
US5169487A (en) * 1990-08-27 1992-12-08 Micron Technology, Inc. Anisotropic etch method
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
EP0484908A3 (en) * 1990-11-08 1993-04-07 Lonza A.G. Microbiological process for the preparation of hydroxylated pyrazinederivatives
WO1992020833A1 (en) * 1991-05-17 1992-11-26 Lam Research Corporation A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT
US5392018A (en) * 1991-06-27 1995-02-21 Applied Materials, Inc. Electronically tuned matching networks using adjustable inductance elements and resonant tank circuits
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US5187454A (en) * 1992-01-23 1993-02-16 Applied Materials, Inc. Electronically tuned matching network using predictor-corrector control system
US6036877A (en) * 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6077384A (en) * 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
KR100255703B1 (ko) * 1991-06-27 2000-05-01 조셉 제이. 스위니 전자기 rf연결부를 사용하는 플라즈마 처리기 및 방법
US5164945A (en) * 1991-07-01 1992-11-17 Laser Centers Of America, Inc. Laser device with intermediate refraction index layer for reduced fresnel losses
JPH0788578B2 (ja) * 1991-07-10 1995-09-27 財団法人国際超電導産業技術研究センター 酸化物薄膜の製造方法および装置
US5249251A (en) * 1991-09-16 1993-09-28 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Optical fiber sensor having an active core
US5180226A (en) * 1991-10-30 1993-01-19 Texas Instruments Incorporated Method and apparatus for precise temperature measurement
JP3221025B2 (ja) * 1991-12-19 2001-10-22 ソニー株式会社 プラズマプロセス装置
US5349313A (en) * 1992-01-23 1994-09-20 Applied Materials Inc. Variable RF power splitter
EP0552491B1 (en) * 1992-01-24 1998-07-15 Applied Materials, Inc. Plasma etch process and plasma processing reactor
US5423945A (en) * 1992-09-08 1995-06-13 Applied Materials, Inc. Selectivity for etching an oxide over a nitride
EP0552490A1 (en) * 1992-01-24 1993-07-28 Applied Materials, Inc. Process for etching an oxide layer over a nitride
US5241245A (en) * 1992-05-06 1993-08-31 International Business Machines Corporation Optimized helical resonator for plasma processing
US5277751A (en) * 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
KR100281345B1 (ko) * 1992-12-01 2001-03-02 조셉 제이. 스위니 전자기 결합성 플래너 플라즈마 장치에서의 산화물 에칭 공정
US5401350A (en) * 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5529657A (en) * 1993-10-04 1996-06-25 Tokyo Electron Limited Plasma processing apparatus
US5449432A (en) 1993-10-25 1995-09-12 Applied Materials, Inc. Method of treating a workpiece with a plasma and processing reactor having plasma igniter and inductive coupler for semiconductor fabrication
JPH07161702A (ja) * 1993-10-29 1995-06-23 Applied Materials Inc 酸化物のプラズマエッチング方法
US5414246A (en) * 1993-12-27 1995-05-09 Ford Motor Company Apparatus for scaleless induction heating
US5468341A (en) * 1993-12-28 1995-11-21 Nec Corporation Plasma-etching method and apparatus therefor
US5399237A (en) * 1994-01-27 1995-03-21 Applied Materials, Inc. Etching titanium nitride using carbon-fluoride and carbon-oxide gas
EP0680072B1 (en) * 1994-04-28 2003-10-08 Applied Materials, Inc. A method of operating a high density plasma CVD reactor with combined inductive and capacitive coupling
US5514246A (en) * 1994-06-02 1996-05-07 Micron Technology, Inc. Plasma reactors and method of cleaning a plasma reactor
JPH07333065A (ja) * 1994-06-08 1995-12-22 Hiroji Kondou 高温融体の温度測定方法
US5783101A (en) * 1994-09-16 1998-07-21 Applied Materials, Inc. High etch rate residue free metal etch process with low frequency high power inductive coupled plasma
US5753044A (en) * 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
ATE181637T1 (de) * 1994-10-31 1999-07-15 Applied Materials Inc Plasmareaktoren zur halbleiterscheibenbehandlung
US5607542A (en) 1994-11-01 1997-03-04 Applied Materials Inc. Inductively enhanced reactive ion etching
US5688357A (en) * 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US5710486A (en) * 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
US5830277A (en) * 1995-05-26 1998-11-03 Mattson Technology, Inc. Thermal processing system with supplemental resistive heater and shielded optical pyrometry
EP0756309A1 (en) * 1995-07-26 1997-01-29 Applied Materials, Inc. Plasma systems for processing substrates

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6409877B1 (en) 1998-02-26 2002-06-25 Matsushita Electronics Corporation Apparatus and method for plasma etching
JP2002510858A (ja) * 1998-03-31 2002-04-09 ラム リサーチ コーポレーション 汚染制御方法およびプラズマ処理チャンバ
KR20000021088A (ko) * 1998-09-25 2000-04-15 구자홍 온도조절수단을 포함하는 플라즈마를 이용한 표면처리장치
JP5013632B2 (ja) * 1998-12-28 2012-08-29 ラム リサーチ コーポレーション プラズマリアクタにおける穿孔プラズマ閉じ込めリング
JP2010267981A (ja) * 1998-12-28 2010-11-25 Lam Res Corp プラズマリアクタにおける穿孔プラズマ閉じ込めリング
JP2002533949A (ja) * 1998-12-28 2002-10-08 ラム リサーチ コーポレーション プラズマリアクタにおける穿孔プラズマ閉じ込めリング
JP2003514390A (ja) * 1999-11-15 2003-04-15 ラム リサーチ コーポレーション プラズマ処理装置用温度制御システム
JP4776130B2 (ja) * 1999-11-15 2011-09-21 ラム リサーチ コーポレーション プラズマ処理装置、半導体製造装置、およびこれに用いる加熱・冷却ブロック
KR100799781B1 (ko) * 2000-11-28 2008-01-31 소니 가부시끼 가이샤 포커스 링, 기판 처리 장치 및 기판 처리 방법
JP2014090177A (ja) * 2001-04-03 2014-05-15 Applied Materials Inc プラズマチャンバーにおいて半導体ワークピースを取り巻く導電性カラー
JP2008159931A (ja) * 2006-12-25 2008-07-10 Tokyo Electron Ltd 基板処理装置、フォーカスリングの加熱方法及び基板処理方法
US8941037B2 (en) 2006-12-25 2015-01-27 Tokyo Electron Limited Substrate processing apparatus, focus ring heating method, and substrate processing method
JP2015149311A (ja) * 2014-02-04 2015-08-20 東京エレクトロン株式会社 温度測定方法及びプラズマ処理システム
WO2022230729A1 (ja) * 2021-04-26 2022-11-03 東京エレクトロン株式会社 基板処理装置及び基板処理方法

Also Published As

Publication number Publication date
EP0807952A3 (en) 1998-01-14
US6218312B1 (en) 2001-04-17
EP0807952A2 (en) 1997-11-19
TW329535B (en) 1998-04-11
US6036877A (en) 2000-03-14
KR970077319A (ko) 1997-12-12
US6440866B1 (en) 2002-08-27

Similar Documents

Publication Publication Date Title
JPH1064882A (ja) ポリマ硬化前駆体材料の熱源を有するプラズマリアクタ
US6024826A (en) Plasma reactor with heated source of a polymer-hardening precursor material
US6818140B2 (en) Low ceiling temperature process for a plasma reactor with heated source of a polymer-hardening precursor material
US6189484B1 (en) Plasma reactor having a helicon wave high density plasma source
US6589437B1 (en) Active species control with time-modulated plasma
JP3426040B2 (ja) 被加熱掃去面を備えるプラズマエッチング装置
US6165311A (en) Inductively coupled RF plasma reactor having an overhead solenoidal antenna
KR100498585B1 (ko) 플라즈마반응기챔버내의반도체공작물을처리하기위한방법
US6524432B1 (en) Parallel-plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
EP0802560B1 (en) Process and electromagnetically coupled plasma apparatus for etching oxides
US6036878A (en) Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US8894806B2 (en) Plasma processing apparatus and plasma processing method
JPH10149899A (ja) 円錐形ドームを有する誘電結合平行平板型プラズマリアクター
CN113039626B (zh) 边缘环的温度及偏压控制
JP4456412B2 (ja) プラズマ処理装置
EP1154466A1 (en) Method and apparatus for plasma processing
KR20210152947A (ko) 에칭 방법 및 기판 처리 장치

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040407

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060131

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060207

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20060627