JP4812281B2 - 高移動度ヘテロ接合相補型電界効果トランジスタの製造方法 - Google Patents

高移動度ヘテロ接合相補型電界効果トランジスタの製造方法 Download PDF

Info

Publication number
JP4812281B2
JP4812281B2 JP2004312192A JP2004312192A JP4812281B2 JP 4812281 B2 JP4812281 B2 JP 4812281B2 JP 2004312192 A JP2004312192 A JP 2004312192A JP 2004312192 A JP2004312192 A JP 2004312192A JP 4812281 B2 JP4812281 B2 JP 4812281B2
Authority
JP
Japan
Prior art keywords
drain
source
layer
sige
heterojunction
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2004312192A
Other languages
English (en)
Other versions
JP2005217391A (ja
Inventor
チーチン・シー・オウヤン
シャンドン・チェン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2005217391A publication Critical patent/JP2005217391A/ja
Application granted granted Critical
Publication of JP4812281B2 publication Critical patent/JP4812281B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7841Field effect transistors with field effect produced by an insulated gate with floating body, e.g. programmable transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Recrystallisation Techniques (AREA)
  • Thin Film Transistor (AREA)

Description

本発明は電子デバイスおよび電子システムに関する。より詳細には、本発明は、電界効果デバイス、および、ソース/ドレインとデバイス本体の間の金属学的接合(metallurgical junction)とほぼ重なる、ヘテロ接合のソース/ドレインを有するこのような構造を作製する方法に関する。
今日の集積回路には膨大な数のデバイスが含まれる。より小型のデバイスは、性能を向上させ、信頼性を向上させるのに極めて重要である。MOS(金属酸化膜半導体電界効果デバイス、一般に絶縁ゲート電界効果デバイスを意味する歴史的な意味をこめた名称)デバイスが、小型化するにつれて技術は複雑になり、デバイスのある世代から次の世代への期待される性能向上を維持するために新規な方法が必要になる。
シリコンMOSの小型化は半導体産業の主要な挑戦課題である。従来の技法は、デバイス寸法がナノメートル領域にまで縮小するにつれて、いくつかの望ましくない物理的な効果を低減させることができなくなり始めている。たとえば、短チャネル効果(short-channel effect)を低減させるのにパンチ・スルー(punchthrough)防止、またはハロー注入(haloimplantation)が使用されていた。しかし、それらの急峻なドープ・プロファイルは、温度により拡散が促進されるために実現が困難であり、それらの高濃度ドープ・チャネルまたはポケット注入領域は、接合容量およびバンド間トンネル現象を増大させるだけではなく、チャネル内のキャリア移動度を低下させる。
バンド・ギャップ技術、すなわちSiと異なるエネルギ・バンドで処理することによってSi中に新しい材料を導入する技術は、デバイス設計に大きな追加の自由度をもたらす。そのような新規な材料のうちで、SiGe合金は優れたメンバーの1つである。分子線エピタキシ(MBE)または様々な種類の化学気相成長(CVD)によって高品質の圧縮歪みSiGe材料を成長させると、成熟したシリコン技術の中にバンド・ギャップ技術の概念を組み込むことが可能になる。
短チャネル効果を低減させる新規な方法は、ソース/本体接合部でエネルギ障壁を内蔵させることである。このヘテロ接合障壁の高さは印加バイアスによらないので、この障壁はドレイン誘導の障壁低下(DIBL:drain induced barrier lowering)に耐えることができる。SiGeヘテロ接合によってもたらされるバンド・オフセットは、大抵は価電子帯にあり、PFETについてこのような効果を使用するのに極めて適している。(以下、用語PFETとPMOS、および用語NFETとNMOSは、ほとんど同義で使用する。)
ヘテロ接合MOSFET(HJMOSFET)は、たとえばQ. Ouyangらの「高移動度ヘテロ接合トランジスタおよび方法(HighMobility Heterojunction Transistor and Method)」という名称の米国特許第6,319,799号、およびQ.Ouyangらのシミュレーション研究、「短チャネル効果が低減しドライブ電流が向上した新規なSi/SiGeヘテロ接合pMOSFET(A NovelSi/SiGe Heterojunction pMOSFET with Reduced Short-Channel Effects and EnhancedDrive Current)」(IEEE Transactions on Electron Devices、V. 47、p. 1943、(2000))に開示されている。この参照文献は、ソースとドレインの間の価電子バンド・オフセットを維持するために、SiGe/Siヘテロ接合と金属学的ドーパント接合が比較的高い精度で互いに重なるか、もしくはpドーパントがSiGe領域中に含まれなければならないことを示している。このような高精度が実現されたときだけ、オフ状態のリークおよび短チャネル効果を低減させるために、このヘテロ接合を有効に使用することができる。これまでは、ヘテロ接合と金属学的接合の望まれる重なりを与える方法がなく、このような重なりを有する横型デバイス構造は開示されていなかった。
米国特許第6,319,799号 Q. Ouyangら、「短チャネル効果が低減しドライブ電流が向上した新規なSi/SiGeヘテロ接合pMOSFET(ANovel Si/SiGe Heterojunction pMOSFET with Reduced Short-Channel Effects andEnhanced Drive Current)」、IEEE Transactions on Electron Devices、V. 47、(2000)、p.1943
本発明の別の目的は、任意の電界効果デバイスにおいて、ヘテロ接合と金属学的接合が最小の許容誤差で整列するヘテロ接合ソース/ドレインを処理する方法を教示することである。
本発明の別の目的は、ヘテロ接合と金属学的接合が最小の許容誤差で整列するヘテロ接合ソース/ドレインを備えるこのような電界効果デバイスを含むチップを備えるプロセッサを教示することである。
本発明では、PMOSが埋め込みSiGeチャネル・デバイス、NMOSが表面シリコン・チャネル・デバイスであるヘテロ接合ソース/ドレインMOSFETデバイスを記述する。本発明の一実施形態によれば、デバイスのヘテロ接合と金属学的接合が狭い許容誤差でほぼ重なる。本発明の別の実施形態は、エピタキシャル成長によってヘテロ接合ソース/ドレインを形成することを含む方法に関する。この新しいヘテロ接合ソース/ドレインMOSFETデバイスはシリコン・オン・インシュレータ(SOI)中で、またはバルクSi技術で実現することができる。このSiGeエピタキシャル・ソース/ドレインには、SiとSiGeの間の格子定数不整合のために圧縮歪みがかかる。PMOS中の正孔電流は主として埋め込み圧縮歪みSiGeチャネルに閉じ込められるので、このような材料の高い正孔移動度のために、このPMOSデバイスもやはりNMOSデバイスと潜在的に同様な電流運搬能力を備え得る。高品質PMOSは、プロセッサを構築するための優れたCMOS回路能力をもたらす。
本発明のこれらおよび他の特徴は、以下に述べる詳細な説明および添付の図面から明らかになるであろう。
図1にSi系ヘテロ接合ソース/ドレイン電界デバイスの例示的な諸実施形態を概略的に示す。各実施形態は、デバイスの本体40と共にヘテロ接合を形成する電界効果デバイス(FET)のソース10およびドレイン10の特徴を含む。すべてのFETのと同様に、このデバイスは(少なくとも1つの)ゲート52を備える。最先端技術の導電性ゲート52は、被覆層51(Si系技術では通常、酸化物およびSiN)によって保護される。このゲート52は、ゲート誘電体53によってデバイスの残りの部分と電気的に分離される。FETに共通する特徴は、ゲート52がこのゲート絶縁体53にわたる容量性結合によってソース10とドレイン10の間のデバイス電流の流れを制御することである。絶縁体領域54は、デバイスの分離に役立つ。一般に、分離は諸図に示すように、通常はSiO製のシャロー・トレンチ54によって実施される。
図1、図2、図3に示す材料は、広い分類区分でSi系材料である。マイクロエレクトロニクス技術で、最も小型化が進んでいる材料はシリコン(Si)である。Si系材料は、Siと同じ基本的な技術内容の様々な合金である。マイクロエレクトロニクスに重要なこのようなSi系材料の1つは、シリコンゲルマニウム(SiGe)合金である。SiGeはSiより格子定数が大きく、Ge濃度が増大するにつれてますます増大する。したがって、SiGeをSi上にエピタキシャル成長または堆積させるとき、それに圧縮歪みがかかる。SiGeのバンド・ギャップはSiより狭い。Ge含有量が多くなるほど、このSiGeのバンド・ギャップは狭くなる。Si/SiGeヘテロ構造では、バンド・ギャップ差はほとんど価電子帯内のみに入る。伝導帯ではバンドの不連続性はほとんど無視できる。デバイス本体とヘテロ接合関係にあるソース/ドレインを備えるFETは、デバイスの小型化にとって有利である。歪みSiGe材からなるチャネルを設けることも、キャリア移動度(特に正孔の)にとって極めて有利である。このような有利性の理由およびそれらの詳細は、以下の刊行物、Q. Ouyangら、「短チャネル効果が低減しドライブ電流が向上した新規なSi/SiGeヘテロ接合pMOSFET(A NovelSi/SiGe Heterojunction pMOSFET with Reduced Short-Channel Effects and EnhancedDrive Current)」(IEEE Transactions on Electron Devices、V. 47、p. 1943、(2000))、および参照により本明細書に組み込まれている、Q.Ouyangらの「高移動度ヘテロ接合トランジスタおよび方法(High Mobility Heterojunction Transistor andMethod)」という名称の米国特許第6,319,799号に出ている。
図1、図2、図3に示す代表的な実施形態では、このデバイスは本質的にSiからなる結晶本体40を備える。SiGe層20を本体40上にエピタキシャルに配設する。「エピタキシャルに」、「エピタキシ」、「エピ」などの用語はそれらの通常の用法で用いられ、単結晶格子構造が界面全体にわたって及ぶことを意味する。一般に、単結晶材料は、当技術分野で周知のいくつかの方法のうちの1つでプラットフォームを形成し、その上に結晶特性を合致させて別の単結晶材料を堆積させる。このような技法としては、たとえば、分子線エピタキシ(MBE)または様々な化学気相成長(CVD)がある。SiGe層20とSi本体40との格子定数の関係から、SiGe層20に圧縮歪みがかかる。このような歪みは正孔移動度を大幅に高める。SiGe層20の最上部にSi層30をエピタキシャルに堆積させる。このSi層30は、たとえば、1)電子型デバイス電流のチャネルとして、2)高品質のゲート誘電体53(通常、SiO、おそらくSiNとの混合材の形の)の成長を可能にする、もしくは3)SiGe層20の汚れを最小にするための保護層としてなど、1つあるいは複数の目的に役立つ。
結晶Si本体40とSiGeソース/ドレイン10の間の境界表面は、Si本体とエピタキシャルな関係にあり、ヘテロ接合界面11を形成する。ヘテロ接合という用語は、2種の異種材料が接触してその界面11でエネルキ帯に大きな不連続があることを意味する。このデバイス中には、金属学的接合と称する別の重要な界面が存在する。これは図1〜3の諸実施形態中、破線65で示す表面であり、そこでは本体40とソース/ドレイン10の間で導電型が変化する。本体40とソース/ドレインとは導電型が異なる。ソース/ドレイン10がp型の場合、本体はn型であり、この逆も同様であり、ソース/ドレイン10がn型の場合、本体はp型である。ホウ素(B)などのp型、およびリン(P)やヒ素(As)などのn型の、2種のドーパントが互いに相殺するところが、本体領域40とソース/ドレイン領域10の間の金属学的接合65の位置である。ヘテロ接合ソース/ドレインの潜在的な利点が顕在化するためには、ヘテロ接合11がほぼ金属学的接合と重なることが好ましい。すなわち、本発明の一態様は、ヘテロ接合11と金属学的接合65とを約10nm未満の許容誤差、好ましくは約5nm未満の許容誤差で重ねて、図1〜3の諸実施形態の代表的なFETデバイスを製作する方法を提供することである。図1、2、3に金属学的接合がヘテロ接合11の本体40側に示してあるが、実際には、それをソース/ドレイン10側にすることもできる。重要なことは、この2つが最小の許容誤差内で重なることである。
提示したすべての代表的な実施形態において、ソース/ドレイン10中、ならびにSiGeエピタキシャル・チャネル層20中のGe濃度は、15%〜50%の範囲内、好ましくは20%〜40%である。ソース/ドレイン10中のSiGe厚は、所与のGe濃度での臨界厚未満に留まっている。この臨界厚は、それを越えるとSiGeが緩和し欠陥および転位が形成される厚さであると定義される。SiGeエピタキシャル層20の厚さは、通常、約5nm〜15nmである。エピタキシャルSi層30の厚さも、通常、約5nm〜15nmである。図1に本体がバルクSiである実施形態を示す。このタイプのデバイスは、今日のマイクロエレクトロニクスにおいて最も一般的なデバイスである。図2、図3にSi本体40が絶縁材料55の最上部に配設されている場合のヘテロ接合ソース/ドレインFETデバイスの例示的な実施形態を示す。このタイプの技術は、通常シリコン・オン・インシュレータ(SOI)技術といわれる。この絶縁材料55は、通常は、また好ましくはSiOである。図2に本体40が移動可能な電荷を収容できるのに十分な容積を備えるSOIの実施形態を示す。このようなSOIデバイスは、部分空乏化デバイス(partially depleted device)といわれる。図3に本体40が移動可能な電荷を収容できるには不十分な容積を備えるSOIの実施形態を示す。このようなSOIデバイスは、完全空乏化デバイス(fullydepleted device)といわれる。図2、図3に示すデバイスの場合、ソース/ドレイン10の直下に少なくとも薄い本体層が存在する。この本体材料は、シード材料として働き、その上にエピタキシャルSiGeのソース/ドレイン10を成長させる。非常に薄い完全空乏化SOIデバイスについての代替実施形態では、横方向シーディング(seeding)からソース/ドレイン10を横方向に成長させることもできる。この場合は、ソース/ドレイン10が絶縁層55に到るまで下に向かって全体的に浸透する。
図1にSiGeソース/ドレイン10の最上部のSiキャップ層15の代表的な実施形態の追加の特徴を示す。このようなSiキャップ層15の目的は、主としてソース/ドレイン10へのより良好な電気的接触を可能にすることである。Siキャップ層の厚さは、比較的幅広くとることができ、通常、約2nm〜約30nmである。Siキャップ層15を通常、SiGeソース/ドレイン10上にエピタキシャルに形成し、続いてすぐSiGeをエピタキシャル成長させる。Siキャップ層15は、図1にだけ示すが、当業者ならこれと他の同様な例示的な実施形態に含めることもできることを理解するはずである。
図3におけるような完全空乏化SOIデバイスのプロファイルは浅いので、ソース/ドレイン10の直列抵抗を低減させるためにこのような構造中にいわゆる盛り上がったソース/ドレイン10を設けることが有利である。FETデバイスは、破線60で示すように明瞭な表面平面を備える。この最上部表面平面はゲート誘電体53とシリコン層30の界面をほぼ通る。図3の完全空乏化SOIFETの場合、ソース10およびドレイン10はこの最上部表面平面より高く盛り上がり、その結果所望の利点をもたらす。
図1、図2、図3に示す代表的な諸実施形態では、Si本体40をn導電型とし、すなわちデバイスをPFETとすることができる。これらのデバイスでは、正孔デバイス電流の流れが価電子帯内のヘテロ接合不連続の結果、SiGe層20中に大部分閉じ込められる。ヘテロ接合11は本体40とソース/ドレイン10の間にあることが望まれるが、それがデバイス電流の経路の障壁を形成する場合は不利になり得るので、SiGe層20ではソース10内のSiGeのGe濃度とドレイン10内のSiGeのGe濃度がほぼ等しくなることが望ましい。Ge濃度がほぼ等しい場合、正孔はSiGe層20上のソース10とドレイン10の間を支障なく通過することができる。
代わりにSi本体をp導電型とし、すなわちデバイスをNFETとすることもできる。これらのデバイスでは、伝導帯内にヘテロ接合不連続がないので、電子デバイス電流の流れが、主としてSi層30中に閉じ込められる。
図4、図5に相補型のSi系ヘテロ接合ソース/ドレイン電界デバイスの例示的な諸実施形態を示す。図4に2つの相補型構造のヘテロ接合ソース/ドレイン電界デバイス(CMOS)、すなわち、p型MOSおよびn型MOSを示す。どちらの型のデバイスも同じ特徴を備える場合、CMOSが得られる。すなわち、PMOSおよびNMOSはどちらもヘテロ接合ソース/ドレインを備え、一方のデバイスはn導電型Si本体40を備え、第2デバイスはp導電型Si本体40’を備える。一実施形態では、このPMOSおよびNMOSはどちらも、約10nm未満、好ましくは約5nm未満の許容誤差で重なるヘテロ接合および金属学的接合を備える。代わりに、PMOSおよびNMOSはどちらもSiGeヘテロ接合ソース/ドレインを備えるが、PMOSデバイスだけが約10nm未満、好ましくは約5nm未満の、ヘテロ接合と金属学的接合の間の許容誤差でほぼ重なっているCMOS構成を設けることもできる。
図5に、PMOSデバイスだけが金属学的接合とほぼ重なるヘテロ接合ソース/ドレイン10を備え、その許容誤差が約10nm未満、好ましくは約5nm未満である別の代表的な実施形態を示す。しかしこの場合は、NMOSデバイスは、SiGe層20およびSi層30を備えるものの、ソース70およびドレイン70中にSiGeを含まない。NMOSデバイスからSiGeソース/ドレイン10を除くと利点が得られる。たとえば、圧縮歪みエピタキシャルSiGeソース/ドレインは、エピタキシャルSiGeの成長温度、通常は500〜600℃から冷却した後、シリコン本体中のゲート端近傍にいくらかの圧縮応力を引き起こす。これによってゲート端近傍のSiGeにさらに圧縮歪みがかかることさえある。この圧縮歪みの増加はPMOSにとって実際有利であり、その結果pチャネル内の正孔移動度がよりいっそう高くなる。しかし、NMOSのSi nチャネル中の圧縮歪みは、バルク・シリコンに比べて電子移動度を低下させる。この影響はゲート長が短いほど顕著である。したがって、NMOSがSiGe層20およびSi層30を有する、従来のソース/ドレイン70を備える図5のこの代表的なCMOSの実施形態は、ヘテロ接合ソース/ドレイン10を備えるPMOSデバイスの有利性のために性能が優れる。さらに別の代表的な実施形態では、ヘテロ接合ソース/ドレイン10、および約10nm未満、好ましくは約5nm未満の許容誤差でほぼ重なるヘテロ接合と金属学的接合を備えるPMOSデバイスを、従来の任意のNMOSデバイスと対にしてCMOS構成を形成することができる。
ヘテロ接合ソース/ドレイン、およびほぼ重なるヘテロ接合と金属学的接合を備えるPFETデバイスの1つの利点は、n型Si本体40とp型SiGeソース/ドレイン10の間のpn接合によるビルトイン・ポテンシャルに加えて、歪みSiGe/Si界面11でのバンド・オフセットが、正孔に対するポテンシャル障壁をもたらし、それが、ドレイン・バイアスの変動によって変化せず、その結果PFETでドレイン誘導の障壁が低下しオフ状態リーク電流が大幅に低減できることである。
デバイスを結晶面および結晶軸方向に沿った方位に向けることにより、正孔移動度の増大に起因するPFETにとっての利点をさらに増進させることができる。正孔の移動度は一般に(110)面上でより高く、電子の移動度は一般に(100)面上でより高いので、CMOSを、PFETが(110)面上にあり、NFETが(100)面上にあるような混成結晶方位構成にすることができる。一般に、PMOSデバイスとNMOSデバイスを共に、本質的に主結晶軸方向(100)、(110)、(111)のどれかに向いているそれらの表面平面60(図3)に一致する向きにするのが有利であり得る。さらに、典型的な(100)ウェハ表面上に、<100>方向または<110>方向、正孔および電子のキャリア移動度がより高くなる方向、あるいはその両方に沿って電流が流れるようにチャネルを配置することができる。局所応力はチャネル方向および結晶方位に依存することがある。
SiGeソース/ドレインを備えるPFETデバイスおよびNFETデバイスのどちらにも共通する利点は、ここで再度挙げるように多数ある。デバイスの直列抵抗のうちでソース/ドレインによってもたらされるデバイスの直列抵抗の一部分は、Si中に比べてSiGe中のBおよびPの固溶度がより高いので、より低くなる。次に、SiGeのバンド・ギャップがより低いので、接触抵抗をより低くすることができる。さらに、SOIの実施形態では、基板浮遊効果(floating body effect)も低減される。というのは、ドレイン近傍の衝突イオン化(impact ionization)によって生成されるホット・キャリア(hotcarrier)は、SiGe中でより狭いバンド・ギャップの障壁高さがより低いために、障壁を通過してソース内部にまで拡散することができるからである。この効果は、PFETおよびNFETのどちらにも生じる。ソース/ドレイン降伏(BD)も大幅に向上させることができる。超薄層の完全空乏化SOIの場合、図3の盛り上がったSiGeソース/ドレインを使用することができる。SiGe中の歪みは、ソース/ドレインの厚さが臨界厚未満であれば維持される。
本発明の一態様では、ソース/ドレイン材料をエピタキシャル堆積させることによってFETのヘテロ接合ソース/ドレインの製作が実現される。このようなエピタキシャル堆積は、所望の材料純度で、結晶品質で、かつ、たとえば分子線エピタキシ(MBE)または化学気相成長(CVD)によって行う制御で実施することができる。
このようなエピタキシャル堆積によるソース/ドレインの製作方法は、Si、SiGe材料系に限定されず、たとえば、III−V族半導体のような幅広い種類のデバイスに適用できる。したがって、ソース/ドレインは、一般に、FETデバイスの本体を形成する第2材料とヘテロ接合を形成する第1材料をエピタキシャル堆積させることによって形成される。図1、図2、図3のSiGeソース/ドレインPMOSの場合のようにそれが有利な場合には、ソースとドレインの間に、本質的に第1材料すなわちソース/ドレイン材料からなるチャネルを設けるステップをさらに含むこともできる。その代わりに、または第1材料チャネルと共に、ソースとドレインの間に、本質的に第2材料、すなわちデバイス本体と同じ材料製のチャネルを設ける製作ステップを実施することもできる。さらに、MBEまたはCVDあるいはその両方でもたらされる精密制御により、本体にある導電型が付与され、ソース/ドレインに反対の導電型が付与されるように、ソース/ドレインをドープすることが可能になり、本体とソース/ドレインの間に金属学的接合を形成することが可能になり、さらにヘテロ接合および金属学的接合が狭い許容誤差で重なることが可能になる。最先端のFET内のこのような狭い許容誤差は、約10nm未満、好ましくは約5nm未満であることが望ましい。
図6〜12にヘテロ接合ソース/ドレイン電界デバイスの例示的な実施形態の製作における諸処理ステップを示す。SiGeヘテロ接合ソース/ドレイン電界デバイスのCMOS処理は、当技術分野で周知のCMOS処理の数多くの確立した諸ステップをたどって実施することができる。ヘテロ接合ソース/ドレインに特有の諸ステップのみを論じ、図6〜12に示す。図6〜12には、図2のような非完全空乏化SOIデバイス(non-fully depleted SOI device)の例示的な実施形態の諸処理ステップを示す。しかし、バルク・デバイスや完全空乏化SOIデバイスのような他の例示的な実施形態でも、ヘテロ接合ソース/ドレインに特有の諸ステップは同一である。
図6に絶縁材料55上に配設され、SiGeエピ層20およびSiエピ層30を既に堆積させたSi本体40中にシャロー・トレンチ54分離を実施した後の製作を示す。分離およびエピ成長の順序は具体的な所望の処理シーケンスに適合するように選択することができる。シャロー・トレンチ54分離の製作の前または後にエピ層20およびS30を成長させることができる。
図7に、当技術分野で周知のいくつかの処理ステップの実施後のプロセスを示す。CMOSウェル注入および閾値調整注入がなされており、したがってSi本体は、2種の導電型、n型およびp型の40、40’になる。ゲート誘電体53、一般に酸化物を成長させてある。ゲート52を堆積させパターン形成し、ゲート・カバー/スペーサ51を形成する。図8に、続いてSiGe材料を堆積させるための空間を形成するように陥凹させたソース/ドレイン領域を示す。このような陥凹化/エッチングは、反応性イオン・エッチングまたは様々なウェット・エッチングあるいはその両方など、当技術分野で周知の技法を用いて実施することができる。
図9に、その場でpドープされたSiGe合金の陥凹ソース/ドレイン領域内への選択的エピタキシを示す。このpドープ・エピはPMOSおよびNMOSのどちらにも浸入し、それによって両デバイス内に理想的なソース/ドレイン10をもたらす。SiGeのこのドープ堆積は、ドープ・プロファイルの十分な制御を可能にし、その結果ヘテロ接合と金属学的接合がほぼ重なるようになる。例示的な実施形態では、ソース/ドレインのこの選択的エピタキシは、約400〜650℃、通常は約550℃の温度範囲内でSiH、Si、またはGeHの前駆体を用いた超高真空化学気相成長(UHV−CVD)によって実施される。金属学的接合の位置を制御するために、この皮膜に、エピタキシ中に追加されるBを用いてその場でホウ素ドープを行うこともできる。
この図は、SiGeソース/ドレイン10の最上部にエピタキシャルSiキャップ層15をもたらす代表的なキャッピング・ステップも示す。Siキャップ層15のエピタキシは、ソース/ドレインのSiGeエピタキシの後に行う。このようなSiキャップ層はデバイスとの接触を良くすることができる。Siキャップ層の厚さは、比較的幅広くとることができ、通常、約2nm以上、約30nm以下である。Siキャップ層15は、図9にだけ示すが、これが他の同様な代表的な実施形態の製作シーケンスの一部であり得ることが当業者には理解されよう。
図10にこのような好ましい実施形態の最終ステップを示す。PMOSをマスクして(57)、たとえばリンやヒ素などのn型種をNMOSソース/ドレイン領域に注入する(58)。この注入量は、pドーパントを打ち消し、NMOSのSiGeソース/ドレインをn型SiGe10’材料に変換し、Siキャップ層を高濃度にnドープされたSiキャップ層15’に変換できるほど高い。この注入58の活性化は、通常、金属学的接合の位置を比較的正確に制御可能な急速熱アニールで行う。例示的な実施形態では、急速熱アニールの条件は約1050〜1100℃、10秒間未満であり得る。あるいは、さらに短いアニール時間を実現するためにレーザ・アニールまたはフラッシュ・アニールを使用することもできる。
エピ堆積およびソース/ドレイン・ドープ・ステップに様々な変更を加えることもできる。その必要が生じた場合、pドープSiGeエピタキシの代わりにPMOSおよびNMOSデバイスのどちらにも、未ドープSiGeエピタキシ、ならびにソース/ドレインの注入および活性化を行うこともできる。状況によっては、n型エピ堆積を使用することさえもできる。当業者には、このような諸ステップにさらに変更を加え得ることは明らかであろう。
図11、図12にヘテロ接合ソース/ドレイン電界デバイスの例示的な代替実施形態の製作における処理ステップを示す。先に述べたように、SiGeヘテロ接合ソース/ドレインで主に利益を受けるのはPFETデバイスであるので、図5を参照して論じたようにSiGeソース/ドレインから生じる歪みを避けるためにNFETからヘテロ接合を完全になくすことができる。図11に、図7〜10に示すようにすべてのステップがソース/ドレイン陥凹化前に実施されており、NMOS中にSiGeエピ層20およびSiエピ層30があるという、処理の中間段階のデバイスを示す。しかし、ソース/ドレイン陥凹化およびSiGeソース/ドレイン・エピ・ステップはPMOSのみに実施される。これは、図11の状況、すなわちPMOSがSiGeエピ・ソース/ドレイン10を所定の位置に備え、NMOSはソース/ドレインを備えていない状況をもたらす。図12に示す次のステップは、図10のものに類似している。PMOSをマスクし(57)、NFETのソース/ドレインを注入する(58)。この実施形態と図10の実施形態の違いは、この場合は、注入58が単にSi本体40’に浸入し、それによって、Si本体40’とヘテロ接合構造が形成されていない通常のソース/ドレイン70をもたらすことである。
図13にヘテロ接合ソース/ドレイン・デバイスを有するチップを備えるプロセッサの象徴図を示す。このようなプロセッサ900は、ヘテロ接合と金属学的接合が約10nm未満、好ましくは約5nm未満の許容誤差で重なったSiGeヘテロ接合ソース/ドレインを備えた少なくとも1つの電界効果デバイスを有する、少なくとも1つのチップ901を備える。このプロセッサ900は、SiGeヘテロ接合ソース/ドレイン・デバイス100から利益を受けることができるどんなプロセッサとすることもできる。これらのデバイスは、多数で、1つあるいは複数のチップ901上のプロセッサの一部を成す。代表的な実施形態では、これらのSiGeヘテロ接合ソース/ドレイン電界効果デバイス100はSOI技術で製作される。SiGeヘテロ接合ソース/ドレイン電界効果デバイスで製作された代表的な実施形態は、通常、コンピュータの中央演算コンプレクスに見られるディジタル・プロセッサ、p型SiGeヘテロ接合ソース/ドレイン電界効果デバイスの高キャリア移動度から大きな利益を受ける混成ディジタル/アナログ・プロセッサ、および一般に、メモリとプロセッサを接続しているモジュール、ルータ、レーダ・システム、高性能ビデオ・テレフォニ、ゲーム・モジュール他などの任意の通信プロセッサである。
上記の教示に照らして、本発明に多くの修正および変更を加えることは可能であり、当業者には明らかなはずである。本発明の範囲は、添付の特許請求の範囲によって規定される。
Si系ヘテロ接合ソース/ドレイン電界デバイスの例示的な実施形態を示す図である。 Si系ヘテロ接合ソース/ドレイン電界デバイスの例示的な実施形態を示す図である。 Si系ヘテロ接合ソース/ドレイン電界デバイスの例示的な実施形態を示す図である。 相補型構造のSi系ヘテロ接合ソース/ドレイン電界デバイスの例示的な実施形態を示す概略図である。 相補型構造のSi系ヘテロ接合ソース/ドレイン電界デバイスの例示的な実施形態を示す概略図である。 ヘテロ接合ソース/ドレイン電界デバイスの例示的な実施形態の製作における諸処理ステップを示す図である。 ヘテロ接合ソース/ドレイン電界デバイスの例示的な実施形態の製作における諸処理ステップを示す図である。 ヘテロ接合ソース/ドレイン電界デバイスの例示的な実施形態の製作における諸処理ステップを示す図である。 ヘテロ接合ソース/ドレイン電界デバイスの例示的な実施形態の製作における諸処理ステップを示す図である。 ヘテロ接合ソース/ドレイン電界デバイスの例示的な実施形態の製作における諸処理ステップを示す図である。 ヘテロ接合ソース/ドレイン電界デバイスの代替の例示的実施形態の製作における諸処理ステップを示す図である。 ヘテロ接合ソース/ドレイン電界デバイスの代替の例示的実施形態の製作における諸処理ステップを示す図である。 ヘテロ接合ソース/ドレイン電界デバイスを含むチップを備えるプロセッサの象徴図である。
符号の説明
10 ソース/ドレイン
11 ヘテロ接合界面
15 Siキャップ層
20 SiGe層
30 Si層
40 Si結晶本体(p導電型Si本体)
40’ p導電型Si本体
51 被覆層
52 ゲート
53 ゲート誘電体
54 絶縁体領域
55 絶縁層
57 マスキング・ステップ
58 n型種の注入ステップ
60 FETデバイスの表面
65 金属学的接合
70 ソース/ドレイン
100 SiGeヘテロ接合ソース/ドレイン・デバイス。
900 プロセッサ
901 チップ

Claims (3)

  1. 下記ステップを含むP型電界効果トランジスタの製造方法
    (1)シリコン・オン・インシュレータ基板を用意するステップ、
    (2)前記シリコン・オン・インシュレータ基板の結晶Si層を貫通して該シリコン・オン・インシュレータ基板の埋め込み絶縁層まで延びる少なくとも2つのシャロー・トレンチ分離を設け、該2つのシャロー・トレンチ分離の間の前記シリコン層上にSiGeエピタキシャル層を設け、次いで、前記SiGeエピタキシャル層上にSiエピタキシャル層を設けるステップ、
    (3)前記(2)のステップを行ったのち、前記結晶Si層にドーパントを注入して、n型にするステップ、
    (4)前記(3)のステップを行ったのち、前記Siエピタキシャル層上にゲート誘電体層を配設するステップ、
    (5)前記ゲート誘電体層上にゲート電極を配設し、該ゲート電極の側面及び上面に被覆層を設けるステップ、
    (6)前記ゲート誘電体層、Siエピタキシャル層、SiGeエピタキシャル層を通って、前記シリコン・オン・インシュレータ基板の絶縁層に達するまで、ソース/ドレインを形成するための凹部を形成するステップ、及び
    (7)前記ソース/ドレインを形成するために、前記凹部内に横方向シーディングによりpドープされたSiGeエピタキシャル層を形成するステップであって、形成されたSiGeエピタキシャル層の上面は、前記ゲート誘電体層と前記Siエピタキシャル層の界面よりも高い、ステップ。
  2. (8)前記ソース及びドレインのSiGeエピタキシャル層の上に、エピタキシャルSi層を設けるステップをさらに含む、請求項1記載の方法。
  3. 前記ステップ(2)において、少なくとも3つのシャロー・トレンチ分離を設け、該シャロー・トレンチ分離のうちの隣接する2つの間を前記P型電界効果トランジスタを形成する領域とし、他の隣接する2つの間がN型電界効果トランジスタを形成する領域とするステップをさらに含み、
    前記ステップ(3)において、N型電界効果トランジスタを形成する領域の前記結晶Si層にドーパントを注入してp型にするステップをさらに含み、前記ステップ(6)及び(7)を、前記P型電界効果トランジスタを形成する領域でのみ行い、
    前記P型電界効果トランジスタをマスクして、前記N型電界効果トランジスタを形成する領域のソース及びドレイン領域にn型ドーパントを注入するステップをさらに含む、請求項1記載の方法。
JP2004312192A 2003-10-31 2004-10-27 高移動度ヘテロ接合相補型電界効果トランジスタの製造方法 Expired - Fee Related JP4812281B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/698,122 US7057216B2 (en) 2003-10-31 2003-10-31 High mobility heterojunction complementary field effect transistors and methods thereof
US10/698122 2003-10-31

Publications (2)

Publication Number Publication Date
JP2005217391A JP2005217391A (ja) 2005-08-11
JP4812281B2 true JP4812281B2 (ja) 2011-11-09

Family

ID=34550542

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004312192A Expired - Fee Related JP4812281B2 (ja) 2003-10-31 2004-10-27 高移動度ヘテロ接合相補型電界効果トランジスタの製造方法

Country Status (4)

Country Link
US (2) US7057216B2 (ja)
JP (1) JP4812281B2 (ja)
KR (1) KR100633499B1 (ja)
CN (1) CN100405611C (ja)

Families Citing this family (249)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100521432B1 (ko) * 2003-07-11 2005-10-13 동부아남반도체 주식회사 모스 트랜지스터 및 그 제조 방법
US20050090082A1 (en) * 2003-10-28 2005-04-28 Texas Instruments Incorporated Method and system for improving performance of MOSFETs
US8097924B2 (en) * 2003-10-31 2012-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Ultra-shallow junction MOSFET having a high-k gate dielectric and in-situ doped selective epitaxy source/drain extensions and a method of making same
US7176522B2 (en) * 2003-11-25 2007-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having high drive current and method of manufacturing thereof
US7545001B2 (en) * 2003-11-25 2009-06-09 Taiwan Semiconductor Manufacturing Company Semiconductor device having high drive current and method of manufacture therefor
US7095006B2 (en) * 2003-12-16 2006-08-22 International Business Machines Corporation Photodetector with hetero-structure using lateral growth
US7129139B2 (en) * 2003-12-22 2006-10-31 Intel Corporation Methods for selective deposition to improve selectivity
US7244654B2 (en) * 2003-12-31 2007-07-17 Texas Instruments Incorporated Drive current improvement from recessed SiGe incorporation close to gate
US7355237B2 (en) * 2004-02-13 2008-04-08 Sandisk Corporation Shield plate for limiting cross coupling between floating gates
US7175709B2 (en) * 2004-05-17 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxy layer and method of forming the same
US7791107B2 (en) * 2004-06-16 2010-09-07 Massachusetts Institute Of Technology Strained tri-channel layer for semiconductor-based electronic devices
TWI279915B (en) * 2004-07-23 2007-04-21 Taiwan Semiconductor Mfg A transistor and a method for forming a strained channel device
WO2006030505A1 (ja) * 2004-09-16 2006-03-23 Fujitsu Limited Mos型電界効果トランジスタ及びその製造方法
US7067400B2 (en) * 2004-09-17 2006-06-27 International Business Machines Corporation Method for preventing sidewall consumption during oxidation of SGOI islands
JP2006093430A (ja) * 2004-09-24 2006-04-06 Nec Electronics Corp 半導体装置
US7157300B2 (en) * 2004-11-19 2007-01-02 Sharp Laboratories Of America, Inc. Fabrication of thin film germanium infrared sensor by bonding to silicon wafer
US7479431B2 (en) * 2004-12-17 2009-01-20 Intel Corporation Strained NMOS transistor featuring deep carbon doped regions and raised donor doped source and drain
JP4327104B2 (ja) * 2005-01-20 2009-09-09 富士通マイクロエレクトロニクス株式会社 Mos型電界効果トランジスタの製造方法及びmos型電界効果トランジスタ
US7465972B2 (en) 2005-01-21 2008-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. High performance CMOS device design
US20060172480A1 (en) * 2005-02-03 2006-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Single metal gate CMOS device design
US20080121932A1 (en) 2006-09-18 2008-05-29 Pushkar Ranade Active regions with compatible dielectric layers
US7268362B2 (en) * 2005-02-25 2007-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. High performance transistors with SiGe strain
US20090206394A1 (en) * 2005-04-01 2009-08-20 Daniel Chanemougame Strained Channel PMOS Transistor and Corresponding Production Method
US20070267722A1 (en) * 2006-05-17 2007-11-22 Amberwave Systems Corporation Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US9153645B2 (en) 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
FR2886761B1 (fr) * 2005-06-06 2008-05-02 Commissariat Energie Atomique Transistor a canal a base de germanium enrobe par une electrode de grille et procede de fabrication d'un tel transistor
TWI252514B (en) * 2005-06-15 2006-04-01 Ind Tech Res Inst Strained germanium field effect transistor and manufacturing method thereof
US20090302349A1 (en) * 2005-06-15 2009-12-10 Industrial Technology Research Institute Strained germanium field effect transistor and method of fabricating the same
US7626246B2 (en) * 2005-07-26 2009-12-01 Amberwave Systems Corporation Solutions for integrated circuit integration of alternative active area materials
US7470943B2 (en) * 2005-08-22 2008-12-30 International Business Machines Corporation High performance MOSFET comprising a stressed gate metal silicide layer and method of fabricating the same
DE102005041225B3 (de) * 2005-08-31 2007-04-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung vertiefter verformter Drain/Source-Gebiete in NMOS- und PMOS-Transistoren
US20070054467A1 (en) * 2005-09-07 2007-03-08 Amberwave Systems Corporation Methods for integrating lattice-mismatched semiconductor structure on insulators
US7638842B2 (en) * 2005-09-07 2009-12-29 Amberwave Systems Corporation Lattice-mismatched semiconductor structures on insulators
JP4940682B2 (ja) * 2005-09-09 2012-05-30 富士通セミコンダクター株式会社 電界効果トランジスタおよびその製造方法
US7612389B2 (en) * 2005-09-15 2009-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded SiGe stressor with tensile strain for NMOS current enhancement
KR100712535B1 (ko) * 2005-09-26 2007-04-27 삼성전자주식회사 측부 성장을 억제할 수 있는 선택적 에피택셜 성장층을갖는 반도체 소자 및 그 제조방법
WO2007036998A1 (ja) * 2005-09-28 2007-04-05 Fujitsu Limited 半導体装置及びその製造方法
US8255843B2 (en) * 2005-11-14 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing strained-silicon semiconductor device
US20070111404A1 (en) * 2005-11-14 2007-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing strained-silicon semiconductor device
KR100760912B1 (ko) * 2005-12-29 2007-09-21 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조 방법
US20070158739A1 (en) * 2006-01-06 2007-07-12 International Business Machines Corporation Higher performance CMOS on (110) wafers
JP2007214208A (ja) * 2006-02-07 2007-08-23 Toshiba Corp 半導体装置及びその製造方法
US7338834B2 (en) * 2006-03-17 2008-03-04 Acorn Technologies, Inc. Strained silicon with elastic edge relaxation
FR2899017A1 (fr) * 2006-03-21 2007-09-28 St Microelectronics Sa Procede de realisation d'un transistor a canal comprenant du germanium
WO2007112066A2 (en) 2006-03-24 2007-10-04 Amberwave Systems Corporation Lattice-mismatched semiconductor structures and related methods for device fabrication
US20070238236A1 (en) * 2006-03-28 2007-10-11 Cook Ted Jr Structure and fabrication method of a selectively deposited capping layer on an epitaxially grown source drain
US7365401B2 (en) * 2006-03-28 2008-04-29 International Business Machines Corporation Dual-plane complementary metal oxide semiconductor
US7566605B2 (en) * 2006-03-31 2009-07-28 Intel Corporation Epitaxial silicon germanium for reduced contact resistance in field-effect transistors
DE102006015087B4 (de) * 2006-03-31 2011-03-10 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung von Transistoren
DE102006019937B4 (de) * 2006-04-28 2010-11-25 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines SOI-Transistors mit eingebetteter Verformungsschicht und einem reduzierten Effekt des potentialfreien Körpers
US7436006B2 (en) * 2006-05-19 2008-10-14 International Business Machines Corporation Hybrid strained orientated substrates and devices
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
KR100739658B1 (ko) * 2006-07-03 2007-07-13 삼성전자주식회사 반도체 장치의 제조 방법.
US7556992B2 (en) * 2006-07-31 2009-07-07 Freescale Semiconductor, Inc. Method for forming vertical structures in a semiconductor device
KR100809327B1 (ko) * 2006-08-10 2008-03-05 삼성전자주식회사 반도체 소자 및 그 제조방법
WO2008030574A1 (en) 2006-09-07 2008-03-13 Amberwave Systems Corporation Defect reduction using aspect ratio trapping
WO2008036256A1 (en) * 2006-09-18 2008-03-27 Amberwave Systems Corporation Aspect ratio trapping for mixed signal applications
WO2008039534A2 (en) 2006-09-27 2008-04-03 Amberwave Systems Corporation Quantum tunneling devices and circuits with lattice- mismatched semiconductor structures
WO2008039495A1 (en) 2006-09-27 2008-04-03 Amberwave Systems Corporation Tri-gate field-effect transistors formed by aspect ratio trapping
WO2008051503A2 (en) 2006-10-19 2008-05-02 Amberwave Systems Corporation Light-emitter-based devices with lattice-mismatched semiconductor structures
KR100833498B1 (ko) * 2006-10-19 2008-05-29 한국전자통신연구원 스트레인드 베리드 채널을 구비하는 광소자
KR101378987B1 (ko) * 2006-10-31 2014-03-28 어드밴스드 마이크로 디바이시즈, 인코포레이티드 인장성 스트레인 및 압축성 스트레인을 생성시키기 위한 임베드된 Si/Ge 물질을 갖는 NMOS 및 PMOS 트랜지스터를 포함하는 반도체 디바이스
DE102006051492B4 (de) * 2006-10-31 2011-05-19 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit NMOS- und PMOS-Transistoren mit eingebettetem Si/Ge-Material zum Erzeugen einer Zugverformung und einer Druckverformung und Verfahren zur Herstellung eines solchen Halbleiterbauelements
US20080124874A1 (en) * 2006-11-03 2008-05-29 Samsung Electronics Co., Ltd. Methods of Forming Field Effect Transistors Having Silicon-Germanium Source and Drain Regions
KR100773359B1 (ko) * 2006-11-20 2007-11-05 삼성전자주식회사 높은 이동도를 갖는 트랜지스터들의 제조방법 및 그에 의해제조된 트랜지스터들
US7525161B2 (en) * 2007-01-31 2009-04-28 International Business Machines Corporation Strained MOS devices using source/drain epitaxy
KR100825809B1 (ko) * 2007-02-27 2008-04-29 삼성전자주식회사 스트레인층을 갖는 반도체 소자의 구조 및 그 제조 방법
US20080217686A1 (en) * 2007-03-09 2008-09-11 International Business Machines Corporation Ultra-thin soi cmos with raised epitaxial source and drain and embedded sige pfet extension
US9508890B2 (en) 2007-04-09 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photovoltaics on silicon
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US7825328B2 (en) 2007-04-09 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
US8329541B2 (en) 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
DE102007030053B4 (de) * 2007-06-29 2011-07-21 Advanced Micro Devices, Inc., Calif. Reduzieren der pn-Übergangskapazität in einem Transistor durch Absenken von Drain- und Source-Gebieten
CN101884117B (zh) 2007-09-07 2013-10-02 台湾积体电路制造股份有限公司 多结太阳能电池
JP5178103B2 (ja) * 2007-09-12 2013-04-10 株式会社東芝 半導体装置およびその製造方法
US7759199B2 (en) * 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
JP2009099702A (ja) * 2007-10-16 2009-05-07 Toshiba Corp 半導体装置及びその製造方法
US7781799B2 (en) * 2007-10-24 2010-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain strained layers
US7948008B2 (en) 2007-10-26 2011-05-24 Micron Technology, Inc. Floating body field-effect transistors, and methods of forming floating body field-effect transistors
JP2009200090A (ja) * 2008-02-19 2009-09-03 Panasonic Corp 半導体装置及びその製造方法
US8454653B2 (en) * 2008-02-20 2013-06-04 Covidien Lp Compound barb medical device and method
DE102008011816B4 (de) 2008-02-29 2015-05-28 Advanced Micro Devices, Inc. Temperaturüberwachung in einem Halbleiterbauelement unter Anwendung eines pn-Übergangs auf der Grundlage von Silizium/Germaniummaterial
US8012839B2 (en) * 2008-02-29 2011-09-06 Chartered Semiconductor Manufacturing, Ltd. Method for fabricating a semiconductor device having an epitaxial channel and transistor having same
US7700416B1 (en) 2008-04-25 2010-04-20 Acorn Technologies, Inc. Tensile strained semiconductor on insulator using elastic edge relaxation and a sacrificial stressor layer
KR101505494B1 (ko) * 2008-04-30 2015-03-24 한양대학교 산학협력단 무 커패시터 메모리 소자
JP2009290069A (ja) * 2008-05-30 2009-12-10 Renesas Technology Corp 半導体装置およびその製造方法
US8183667B2 (en) 2008-06-03 2012-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth of crystalline material
JP2010021525A (ja) * 2008-06-13 2010-01-28 Toshiba Corp 半導体装置の製造方法
US8274097B2 (en) 2008-07-01 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
US7851325B1 (en) 2008-09-12 2010-12-14 Acorn Technologies, Inc. Strained semiconductor using elastic edge relaxation, a buried stressor layer and a sacrificial stressor layer
US8034697B2 (en) 2008-09-19 2011-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of devices by epitaxial layer overgrowth
US20100072515A1 (en) 2008-09-19 2010-03-25 Amberwave Systems Corporation Fabrication and structures of crystalline material
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
CN101409294B (zh) * 2008-11-28 2010-06-02 西安电子科技大学 三维量子阱cmos集成器件及其制作方法
US7759142B1 (en) * 2008-12-31 2010-07-20 Intel Corporation Quantum well MOSFET channels having uni-axial strain caused by metal source/drains, and conformal regrowth source/drains
KR101073643B1 (ko) * 2009-02-19 2011-10-14 서울대학교산학협력단 고성능 단일 트랜지스터 플로팅 바디 dram 소자 및 그 제조 방법
WO2010114956A1 (en) 2009-04-02 2010-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Devices formed from a non-polar plane of a crystalline material and method of making the same
US8106456B2 (en) * 2009-07-29 2012-01-31 International Business Machines Corporation SOI transistors having an embedded extension region to improve extension resistance and channel strain characteristics
US20110031503A1 (en) * 2009-08-10 2011-02-10 International Business Machines Corporation Device with stressed channel
US8174074B2 (en) * 2009-09-01 2012-05-08 International Business Machines Corporation Asymmetric embedded silicon germanium field effect transistor
US8367485B2 (en) 2009-09-01 2013-02-05 International Business Machines Corporation Embedded silicon germanium n-type filed effect transistor for reduced floating body effect
US8298882B2 (en) * 2009-09-18 2012-10-30 International Business Machines Corporation Metal gate and high-K dielectric devices with PFET channel SiGe
US8421162B2 (en) 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
US8273617B2 (en) 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
CN102640269B (zh) * 2009-09-30 2015-08-12 苏沃塔公司 电子装置和系统及其制造和使用方法
US8030144B2 (en) * 2009-10-09 2011-10-04 Globalfoundries Inc. Semiconductor device with stressed fin sections, and related fabrication methods
JP2013511163A (ja) * 2009-11-17 2013-03-28 スボルタ,インコーポレーテッド 電子デバイス及びシステム、並びにその製造方法及び使用方法
WO2011062789A1 (en) * 2009-11-17 2011-05-26 Suvolta, Inc. Electronic devices and systems,and methods for making and using the same
US8633470B2 (en) * 2009-12-23 2014-01-21 Intel Corporation Techniques and configurations to impart strain to integrated circuit devices
US8592325B2 (en) * 2010-01-11 2013-11-26 International Business Machines Corporation Insulating layers on different semiconductor materials
US8815660B2 (en) 2010-02-05 2014-08-26 International Business Machines Corporation Structure and method for reducing floating body effect of SOI MOSFETs
US8361867B2 (en) 2010-03-19 2013-01-29 Acorn Technologies, Inc. Biaxial strained field effect transistor devices
US8530286B2 (en) 2010-04-12 2013-09-10 Suvolta, Inc. Low power semiconductor transistor structure and method of fabrication thereof
US9059201B2 (en) 2010-04-28 2015-06-16 Acorn Technologies, Inc. Transistor with longitudinal strain in channel induced by buried stressor relaxed by implantation
US8361868B2 (en) 2010-04-28 2013-01-29 Acorn Technologies, Inc. Transistor with longitudinal strain in channel induced by buried stressor relaxed by implantation
CN101859796B (zh) * 2010-05-20 2012-11-14 清华大学 具有原位掺杂源漏的mos管结构及其形成方法
US8258031B2 (en) * 2010-06-15 2012-09-04 International Business Machines Corporation Fabrication of a vertical heterojunction tunnel-FET
US8569128B2 (en) 2010-06-21 2013-10-29 Suvolta, Inc. Semiconductor structure and method of fabrication thereof with mixed metal types
US8759872B2 (en) 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
US8492234B2 (en) 2010-06-29 2013-07-23 International Business Machines Corporation Field effect transistor device
US8575654B2 (en) 2010-08-04 2013-11-05 Institute of Microelectronics, Chinese Academy of Sciences Method of forming strained semiconductor channel and semiconductor device
CN102347235B (zh) * 2010-08-04 2014-02-12 中国科学院微电子研究所 应变半导体沟道形成方法和半导体器件
US8395213B2 (en) * 2010-08-27 2013-03-12 Acorn Technologies, Inc. Strained semiconductor using elastic edge relaxation of a stressor combined with buried insulating layer
US9406798B2 (en) 2010-08-27 2016-08-02 Acorn Technologies, Inc. Strained semiconductor using elastic edge relaxation of a stressor combined with buried insulating layer
US10833194B2 (en) 2010-08-27 2020-11-10 Acorn Semi, Llc SOI wafers and devices with buried stressor
US8486776B2 (en) 2010-09-21 2013-07-16 International Business Machines Corporation Strained devices, methods of manufacture and design structures
CN102446853A (zh) * 2010-09-30 2012-05-09 中国科学院微电子研究所 应变半导体沟道形成方法和半导体器件
US8377783B2 (en) 2010-09-30 2013-02-19 Suvolta, Inc. Method for reducing punch-through in a transistor device
US8685847B2 (en) 2010-10-27 2014-04-01 International Business Machines Corporation Semiconductor device having localized extremely thin silicon on insulator channel region
US8642407B2 (en) * 2010-11-04 2014-02-04 International Business Machines Corporation Devices having reduced susceptibility to soft-error effects and method for fabrication
US8404551B2 (en) 2010-12-03 2013-03-26 Suvolta, Inc. Source/drain extension control for advanced transistors
US20120161105A1 (en) * 2010-12-22 2012-06-28 Willy Rachmady Uniaxially strained quantum well device and method of making same
US8173524B1 (en) 2011-01-11 2012-05-08 International Business Machines Corporation Process for epitaxially growing epitaxial material regions
CN102637601A (zh) * 2011-02-14 2012-08-15 中芯国际集成电路制造(上海)有限公司 一种具有掩埋沟道的mos晶体管形成方法
US8461875B1 (en) 2011-02-18 2013-06-11 Suvolta, Inc. Digital circuits having improved transistors, and methods therefor
US8525271B2 (en) 2011-03-03 2013-09-03 Suvolta, Inc. Semiconductor structure with improved channel stack and method for fabrication thereof
US8400219B2 (en) 2011-03-24 2013-03-19 Suvolta, Inc. Analog circuits having improved transistors, and methods therefor
US8748270B1 (en) 2011-03-30 2014-06-10 Suvolta, Inc. Process for manufacturing an improved analog transistor
US8835266B2 (en) * 2011-04-13 2014-09-16 International Business Machines Corporation Method and structure for compound semiconductor contact
US8999861B1 (en) 2011-05-11 2015-04-07 Suvolta, Inc. Semiconductor structure with substitutional boron and method for fabrication thereof
US8796048B1 (en) 2011-05-11 2014-08-05 Suvolta, Inc. Monitoring and measurement of thin film layers
US8811068B1 (en) 2011-05-13 2014-08-19 Suvolta, Inc. Integrated circuit devices and methods
US8569156B1 (en) 2011-05-16 2013-10-29 Suvolta, Inc. Reducing or eliminating pre-amorphization in transistor manufacture
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US8735987B1 (en) 2011-06-06 2014-05-27 Suvolta, Inc. CMOS gate stack structures and processes
US8946064B2 (en) * 2011-06-16 2015-02-03 International Business Machines Corporation Transistor with buried silicon germanium for improved proximity control and optimized recess shape
US8995204B2 (en) 2011-06-23 2015-03-31 Suvolta, Inc. Circuit devices and methods having adjustable transistor body bias
US8629016B1 (en) 2011-07-26 2014-01-14 Suvolta, Inc. Multiple transistor types formed in a common epitaxial layer by differential out-diffusion from a doped underlayer
KR101891373B1 (ko) 2011-08-05 2018-08-24 엠아이이 후지쯔 세미컨덕터 리미티드 핀 구조물을 갖는 반도체 디바이스 및 그 제조 방법
US8748986B1 (en) 2011-08-05 2014-06-10 Suvolta, Inc. Electronic device with controlled threshold voltage
US8645878B1 (en) 2011-08-23 2014-02-04 Suvolta, Inc. Porting a circuit design from a first semiconductor process to a second semiconductor process
US8614128B1 (en) 2011-08-23 2013-12-24 Suvolta, Inc. CMOS structures and processes based on selective thinning
US8713511B1 (en) 2011-09-16 2014-04-29 Suvolta, Inc. Tools and methods for yield-aware semiconductor manufacturing process target generation
US9236466B1 (en) 2011-10-07 2016-01-12 Mie Fujitsu Semiconductor Limited Analog circuits having improved insulated gate transistors, and methods therefor
CN102637687B (zh) * 2011-10-17 2015-06-17 上海华力微电子有限公司 基于埋层n型阱的异质结1t-dram结构及其制备方法
CN102437127A (zh) * 2011-11-30 2012-05-02 上海华力微电子有限公司 基于硅-锗硅异质结的单晶体管dram单元及其制备方法
CN102437126A (zh) * 2011-11-30 2012-05-02 上海华力微电子有限公司 基于源体异质结的单晶体管dram单元及其制备方法
US8895327B1 (en) 2011-12-09 2014-11-25 Suvolta, Inc. Tipless transistors, short-tip transistors, and methods and circuits therefor
US8819603B1 (en) 2011-12-15 2014-08-26 Suvolta, Inc. Memory circuits and methods of making and designing the same
US8883600B1 (en) 2011-12-22 2014-11-11 Suvolta, Inc. Transistor having reduced junction leakage and methods of forming thereof
US8599623B1 (en) 2011-12-23 2013-12-03 Suvolta, Inc. Circuits and methods for measuring circuit elements in an integrated circuit device
CN103187447B (zh) * 2011-12-31 2016-05-11 中芯国际集成电路制造(上海)有限公司 Pmos晶体管结构及其制造方法
US8877619B1 (en) 2012-01-23 2014-11-04 Suvolta, Inc. Process for manufacture of integrated circuits with different channel doping transistor architectures and devices therefrom
US8970289B1 (en) 2012-01-23 2015-03-03 Suvolta, Inc. Circuits and devices for generating bi-directional body bias voltages, and methods therefor
US9093550B1 (en) 2012-01-31 2015-07-28 Mie Fujitsu Semiconductor Limited Integrated circuits having a plurality of high-K metal gate FETs with various combinations of channel foundation structure and gate stack structure and methods of making same
US9343318B2 (en) * 2012-02-07 2016-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Salicide formation using a cap layer
US9059248B2 (en) 2012-02-09 2015-06-16 International Business Machines Corporation Junction butting on SOI by raised epitaxial structure and method
US8648388B2 (en) * 2012-02-15 2014-02-11 International Business Machines Corporation High performance multi-finger strained silicon germanium channel PFET and method of fabrication
US9406567B1 (en) 2012-02-28 2016-08-02 Mie Fujitsu Semiconductor Limited Method for fabricating multiple transistor devices on a substrate with varying threshold voltages
US8863064B1 (en) 2012-03-23 2014-10-14 Suvolta, Inc. SRAM cell layout structure and devices therefrom
US9299698B2 (en) 2012-06-27 2016-03-29 Mie Fujitsu Semiconductor Limited Semiconductor structure with multiple transistors having various threshold voltages
CN102723341B (zh) * 2012-07-16 2015-09-16 西安电子科技大学 一种混合晶面应变Si垂直沟道BiCMOS集成器件及制备方法
CN102738179B (zh) * 2012-07-16 2015-08-19 西安电子科技大学 一种SOI应变SiGe CMOS集成器件及制备方法
CN102738165B (zh) * 2012-07-16 2016-03-30 西安电子科技大学 一种混合晶面平面应变BiCMOS集成器件及制备方法
CN102751283B (zh) * 2012-07-16 2016-03-02 西安电子科技大学 一种混合晶面应变Si应变SiGe平面BiCMOS集成器件及制备方法
CN102723342B (zh) * 2012-07-16 2015-05-20 西安电子科技大学 一种混合晶面垂直沟道应变BiCMOS集成器件及制备方法
US8637955B1 (en) 2012-08-31 2014-01-28 Suvolta, Inc. Semiconductor structure with reduced junction leakage and method of fabrication thereof
US9112057B1 (en) 2012-09-18 2015-08-18 Mie Fujitsu Semiconductor Limited Semiconductor devices with dopant migration suppression and method of fabrication thereof
US8815656B2 (en) * 2012-09-19 2014-08-26 International Business Machines Corporation Semiconductor device and method with greater epitaxial growth on 110 crystal plane
US9041126B2 (en) 2012-09-21 2015-05-26 Mie Fujitsu Semiconductor Limited Deeply depleted MOS transistors having a screening layer and methods thereof
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9287138B2 (en) 2012-09-27 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET low resistivity contact formation method
US8823065B2 (en) * 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8946035B2 (en) * 2012-09-27 2015-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Replacement channels for semiconductor devices and methods for forming the same using dopant concentration boost
CN103779275A (zh) * 2012-10-17 2014-05-07 中国科学院微电子研究所 Cmos制造方法
CN103779223B (zh) * 2012-10-23 2016-07-06 中国科学院微电子研究所 Mosfet的制造方法
CN104854698A (zh) 2012-10-31 2015-08-19 三重富士通半导体有限责任公司 具有低变化晶体管外围电路的dram型器件以及相关方法
US8816754B1 (en) 2012-11-02 2014-08-26 Suvolta, Inc. Body bias circuits and methods
US9093997B1 (en) 2012-11-15 2015-07-28 Mie Fujitsu Semiconductor Limited Slew based process and bias monitors and related methods
TW201423984A (zh) * 2012-12-07 2014-06-16 Richtek Technology Corp 異質接面半導體複合薄膜及其製造方法
US9070477B1 (en) 2012-12-12 2015-06-30 Mie Fujitsu Semiconductor Limited Bit interleaved low voltage static random access memory (SRAM) and related methods
US9112484B1 (en) 2012-12-20 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit process and bias monitors and related methods
US9268885B1 (en) 2013-02-28 2016-02-23 Mie Fujitsu Semiconductor Limited Integrated circuit device methods and models with predicted device metric variations
US8994415B1 (en) 2013-03-01 2015-03-31 Suvolta, Inc. Multiple VDD clock buffer
US20140246696A1 (en) * 2013-03-04 2014-09-04 Globalfoundries Inc. Transistor with embedded strain-inducing material formed in cavities formed in a silicon/germanium substrate
US9012956B2 (en) * 2013-03-04 2015-04-21 Globalfoundries Inc. Channel SiGe removal from PFET source/drain region for improved silicide formation in HKMG technologies without embedded SiGe
US8988153B1 (en) 2013-03-09 2015-03-24 Suvolta, Inc. Ring oscillator with NMOS or PMOS variation insensitivity
US9299801B1 (en) 2013-03-14 2016-03-29 Mie Fujitsu Semiconductor Limited Method for fabricating a transistor device with a tuned dopant profile
US9449967B1 (en) 2013-03-15 2016-09-20 Fujitsu Semiconductor Limited Transistor array structure
US9112495B1 (en) 2013-03-15 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit device body bias circuits and methods
US8946819B2 (en) * 2013-05-08 2015-02-03 Globalfoundries Singapore Pte. Ltd. Silicon-on-insulator integrated circuits with local oxidation of silicon and methods for fabricating the same
US9478571B1 (en) 2013-05-24 2016-10-25 Mie Fujitsu Semiconductor Limited Buried channel deeply depleted channel transistor
CN104253090B (zh) * 2013-06-26 2017-11-03 中芯国际集成电路制造(上海)有限公司 Cmos晶体管的形成方法
US8976575B1 (en) 2013-08-29 2015-03-10 Suvolta, Inc. SRAM performance monitor
US9716176B2 (en) 2013-11-26 2017-07-25 Samsung Electronics Co., Ltd. FinFET semiconductor devices including recessed source-drain regions on a bottom semiconductor layer and methods of fabricating the same
CN104681535B (zh) * 2013-11-29 2017-09-29 台湾积体电路制造股份有限公司 半导体器件的接触件结构
KR102021887B1 (ko) 2013-12-09 2019-09-17 삼성전자주식회사 반도체 소자
CN104752216B (zh) * 2013-12-30 2017-11-03 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法
US20150214331A1 (en) * 2014-01-30 2015-07-30 Globalfoundries Inc. Replacement metal gate including dielectric gate material
US10103064B2 (en) * 2014-05-28 2018-10-16 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor structure including epitaxial channel layers and raised source/drain regions
US9490340B2 (en) 2014-06-18 2016-11-08 Globalfoundries Inc. Methods of forming nanowire devices with doped extension regions and the resulting devices
US9431512B2 (en) * 2014-06-18 2016-08-30 Globalfoundries Inc. Methods of forming nanowire devices with spacers and the resulting devices
US9502565B2 (en) * 2014-06-27 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Channel strain control for nonplanar compound semiconductor devices
US9710006B2 (en) 2014-07-25 2017-07-18 Mie Fujitsu Semiconductor Limited Power up body bias circuits and methods
US9319013B2 (en) 2014-08-19 2016-04-19 Mie Fujitsu Semiconductor Limited Operational amplifier input offset correction with transistor threshold voltage adjustment
CN104241373B (zh) * 2014-08-29 2017-02-15 北京大学 一种反错层型异质结共振隧穿场效应晶体管及其制备方法
CN104992942B (zh) * 2015-07-03 2018-03-16 西安电子科技大学 垂直层叠应变Si/SiGe异质结CMOS器件结构及其制备方法
US9768254B2 (en) * 2015-07-30 2017-09-19 International Business Machines Corporation Leakage-free implantation-free ETSOI transistors
US9515165B1 (en) 2015-09-11 2016-12-06 International Business Machines Corporation III-V field effect transistor (FET) with reduced short channel leakage, integrated circuit (IC) chip and method of manufacture
CN106601617A (zh) * 2015-10-16 2017-04-26 中国科学院微电子研究所 半导体器件制造方法
US9875976B2 (en) * 2015-12-31 2018-01-23 Taiwan Semiconductor Manufacturing Company Ltd. Switching device
US9614087B1 (en) 2016-05-17 2017-04-04 International Business Machines Corporation Strained vertical field-effect transistor (FET) and method of forming the same
US10249731B1 (en) * 2017-09-25 2019-04-02 International Business Macines Corporation Vertical FET with sharp junctions
US10777566B2 (en) 2017-11-10 2020-09-15 Macronix International Co., Ltd. 3D array arranged for memory and in-memory sum-of-products operations
US10719296B2 (en) 2018-01-17 2020-07-21 Macronix International Co., Ltd. Sum-of-products accelerator array
US10957392B2 (en) 2018-01-17 2021-03-23 Macronix International Co., Ltd. 2D and 3D sum-of-products array for neuromorphic computing system
US10242737B1 (en) 2018-02-13 2019-03-26 Macronix International Co., Ltd. Device structure for neuromorphic computing system
US10635398B2 (en) 2018-03-15 2020-04-28 Macronix International Co., Ltd. Voltage sensing type of matrix multiplication method for neuromorphic computing system
US10664746B2 (en) 2018-07-17 2020-05-26 Macronix International Co., Ltd. Neural network system
US11138497B2 (en) 2018-07-17 2021-10-05 Macronix International Co., Ltd In-memory computing devices for neural networks
US11636325B2 (en) 2018-10-24 2023-04-25 Macronix International Co., Ltd. In-memory data pooling for machine learning
US10672469B1 (en) 2018-11-30 2020-06-02 Macronix International Co., Ltd. In-memory convolution for machine learning
US11562229B2 (en) 2018-11-30 2023-01-24 Macronix International Co., Ltd. Convolution accelerator using in-memory computation
US11934480B2 (en) 2018-12-18 2024-03-19 Macronix International Co., Ltd. NAND block architecture for in-memory multiply-and-accumulate operations
US11119674B2 (en) 2019-02-19 2021-09-14 Macronix International Co., Ltd. Memory devices and methods for operating the same
US10783963B1 (en) 2019-03-08 2020-09-22 Macronix International Co., Ltd. In-memory computation device with inter-page and intra-page data circuits
US10833198B2 (en) 2019-03-14 2020-11-10 International Business Machines Corporation Confined source drain epitaxy to reduce shorts in CMOS integrated circuits
US11132176B2 (en) 2019-03-20 2021-09-28 Macronix International Co., Ltd. Non-volatile computing method in flash memory
US10910393B2 (en) 2019-04-25 2021-02-02 Macronix International Co., Ltd. 3D NOR memory having vertical source and drain structures
KR102050012B1 (ko) * 2019-05-09 2019-11-28 경북대학교 산학협력단 트랜지스터 및 트랜지스터 제조방법
US10777689B1 (en) 2019-10-18 2020-09-15 Hong Kong Applied Science and Technology Research Institute Company, Limited Silicon-carbide shielded-MOSFET embedded with a trench Schottky diode and heterojunction gate
US11605710B2 (en) * 2020-12-11 2023-03-14 Globalfoundries U.S. Inc. Transistor with air gap under source/drain region in bulk semiconductor substrate
US11737274B2 (en) 2021-02-08 2023-08-22 Macronix International Co., Ltd. Curved channel 3D memory device
US11916011B2 (en) 2021-04-14 2024-02-27 Macronix International Co., Ltd. 3D virtual ground memory and manufacturing methods for same
US20220344516A1 (en) * 2021-04-23 2022-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Low ge isolated epitaxial layer growth over nano-sheet architecture design for rp reduction
US11710519B2 (en) 2021-07-06 2023-07-25 Macronix International Co., Ltd. High density memory with reference memory using grouped cells and corresponding operations

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3599010A (en) * 1967-11-13 1971-08-10 Texas Instruments Inc High speed, low power, dynamic shift register with synchronous logic gates
JPH05152336A (ja) * 1991-11-28 1993-06-18 Nec Corp Soimosfet及びその製造方法
US5818076A (en) * 1993-05-26 1998-10-06 Semiconductor Energy Laboratory Co., Ltd. Transistor and semiconductor device
JP2655052B2 (ja) * 1993-10-07 1997-09-17 日本電気株式会社 半導体装置およびその製造方法
JPH11500873A (ja) * 1995-12-15 1999-01-19 フィリップス エレクトロニクス ネムローゼ フェンノートシャップ SiGe層を具えた半導体電界効果デバイス
JP3383154B2 (ja) * 1996-06-20 2003-03-04 株式会社東芝 半導体装置
KR100243648B1 (ko) 1996-12-21 2000-03-02 정선종 선택적 재성장에 의한 고전자 이동도 트랜지스터 제조방법
US6274894B1 (en) * 1999-08-17 2001-08-14 Advanced Micro Devices, Inc. Low-bandgap source and drain formation for short-channel MOS transistors
US6319799B1 (en) * 2000-05-09 2001-11-20 Board Of Regents, The University Of Texas System High mobility heterojunction transistor and method
JP2001338988A (ja) * 2000-05-25 2001-12-07 Hitachi Ltd 半導体装置及びその製造方法
US6495402B1 (en) * 2001-02-06 2002-12-17 Advanced Micro Devices, Inc. Semiconductor-on-insulator (SOI) device having source/drain silicon-germanium regions and method of manufacture
JP2002237590A (ja) * 2001-02-09 2002-08-23 Univ Tohoku Mos型電界効果トランジスタ
US6406951B1 (en) * 2001-02-12 2002-06-18 Advanced Micro Devices, Inc. Fabrication of fully depleted field effect transistor with raised source and drain in SOI technology
US6605498B1 (en) * 2002-03-29 2003-08-12 Intel Corporation Semiconductor transistor having a backfilled channel material
WO2003105206A1 (en) * 2002-06-10 2003-12-18 Amberwave Systems Corporation Growing source and drain elements by selecive epitaxy
US6891192B2 (en) * 2003-08-04 2005-05-10 International Business Machines Corporation Structure and method of making strained semiconductor CMOS transistors having lattice-mismatched semiconductor regions underlying source and drain regions

Also Published As

Publication number Publication date
US7057216B2 (en) 2006-06-06
KR100633499B1 (ko) 2006-10-16
US20060128105A1 (en) 2006-06-15
CN100405611C (zh) 2008-07-23
US20050093021A1 (en) 2005-05-05
US7368358B2 (en) 2008-05-06
JP2005217391A (ja) 2005-08-11
CN1612353A (zh) 2005-05-04
KR20050041881A (ko) 2005-05-04

Similar Documents

Publication Publication Date Title
JP4812281B2 (ja) 高移動度ヘテロ接合相補型電界効果トランジスタの製造方法
US7494861B2 (en) Method for metal gated ultra short MOSFET devices
JP5745076B2 (ja) SiGeチャネルを有するpFET接合プロフィールのための方法および構造体
KR101656531B1 (ko) 반도체 장치 및 그 제조 방법
US20120276695A1 (en) Strained thin body CMOS with Si:C and SiGe stressor
US9064972B2 (en) Method of forming a gated diode structure for eliminating RIE damage from cap removal
US20080116487A1 (en) Methods of fabricating transistors having high carrier mobility and transistors fabricated thereby
JP2014038898A (ja) 半導体装置
CN109801961B (zh) 半导体结构及其形成方法
US20070252216A1 (en) Semiconductor device and a method of manufacturing such a semiconductor device
JP2005123580A (ja) 高移動度電界効果トランジスタの構造およびその製造方法
KR102426239B1 (ko) 듀얼 게이트 유전체 트랜지스터
US6812074B2 (en) SOI field effect transistor element having a recombination region and method of forming same
US20090142892A1 (en) Method of fabricating semiconductor device having thin strained relaxation buffer pattern and related device
US7687348B2 (en) Semiconductor device and method of producing the same
KR20180118539A (ko) 레이저 어닐링에 의한 고상 성장법을 사용하여 finFET을 위한 소스 및 드레인을 형성하는 방법
US7518191B1 (en) Silicon on insulator devices having body-tied-to-source and methods of making
EP1523775B1 (en) SOI field effect transistor element having a recombination region and method of forming same
JPH0590517A (ja) 半導体装置及びその製造方法
KR100760912B1 (ko) 반도체 소자 및 그 제조 방법
KR100709069B1 (ko) 과잉운반자의 드레인 효율을 높인 이종접합 반도체소자구조 및 이의 제조방법
KR100788353B1 (ko) 반도체 소자 및 그 제조 방법
JPH11177082A (ja) Mis型電界効果トランジスタおよびその製造方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080520

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20080819

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080819

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20080819

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20080819

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20090108

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20090115

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20090126

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20090212

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20090728

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20090730

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20090728

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090901

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091022

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100706

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101105

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20101105

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20101105

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20101129

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110426

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110725

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110816

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20110816

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110823

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140902

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees