CN100405611C - 高迁移率异质结互补场效应晶体管及其方法 - Google Patents

高迁移率异质结互补场效应晶体管及其方法 Download PDF

Info

Publication number
CN100405611C
CN100405611C CNB2004100870097A CN200410087009A CN100405611C CN 100405611 C CN100405611 C CN 100405611C CN B2004100870097 A CNB2004100870097 A CN B2004100870097A CN 200410087009 A CN200410087009 A CN 200410087009A CN 100405611 C CN100405611 C CN 100405611C
Authority
CN
China
Prior art keywords
sige
source
layer
leakage
type
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CNB2004100870097A
Other languages
English (en)
Other versions
CN1612353A (zh
Inventor
欧阳齐庆
陈向东
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1612353A publication Critical patent/CN1612353A/zh
Application granted granted Critical
Publication of CN100405611C publication Critical patent/CN100405611C/zh
Anticipated expiration legal-status Critical
Active legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7841Field effect transistors with field effect produced by an insulated gate with floating body, e.g. programmable transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

本发明公开了一种高性能场效应器件的结构和制备方法。该MOS结构包括一种导电类型的晶体Si本体,外延地生长在Si本体上作为空穴的埋置沟道的应变的SiGe层,外延地生长在SiGe层作为用于电子的表面沟道的Si层,源和漏,包括外延淀积并具有与Si本体相反的导电极性的应变的SiGe。SiGe源和漏与Si本体形成了异质结和冶金结,其中异质结和冶金结以小于约10nm,优选小于约5nm的公差重合。异质结源/漏为减少短沟道效应的手段。由于增加了压缩应变的SiGe沟道,这些结构对PMOS特别有利。代表性的实施例包括块材和SOI上的CMOS结构。

Description

高迁移率异质结互补场效应晶体管及其方法
技术领域
本发明涉及电子器件及系统。特别涉及场效应器件及制备这种结构的方法,该器件具有基本上与源/漏和器件本体之间的冶金结重合的异质结源/漏。
背景技术
今天的集成电路包括大量的器件。较小器件的关键是增强性能和提高可靠性。随着MOS(金属氧化物半导体场效应器件,在常规的绝缘栅场效应器件中为具有历史性内涵意义的名称)器件不断按比例缩小,技术变得越来越复杂,并且需要新的方法来保持从一代器件到下一代器件预期的性能提高。
在半导体工业中,硅MOS缩放变成主要的挑战。随着器件尺寸缩减到纳米范围以下,常规的技术开始不能减少某些不希望的物理效应。例如,抗穿通或晕圈注入用于降低短沟道效应。然而,由于温度增强了扩散,难以获得突变的掺杂轮廓,并且这些重掺杂的沟道或袋注入区不仅增加了结电容和带与带之间的隧穿,而且降低了沟道中的载流子迁移率。
带隙技术,即将与Si不同能带的新材料引入到Si内在器件设计中提供了重要的附加自由度。这些新材料之中,SiGe合金是其中一个突出成员。通过分子束外延(MBE)或各种化学汽相淀积(CVD)生长高质量的压缩应变SiGe材料将带隙技术的概念引入到成熟的硅技术中。
降低短沟道效应的新方式是在源/本体结具有内建能量势垒。由于异质结势垒的高度不取决于施加的偏置,它可以阻止漏引入的势垒降低(DIBL)。由SiGe异质结提供的带偏置主要在价带中,并且非常适合于使用这种效应用于PEFT。(在下文中,术语PFET和PMOS以及术语NFET和NMOS可以互换使用。)
异质结MOSFET(HJMOSFET)已公开在例如Q.Quyang等人的US.专利6,319,799 B1“High Mobility Heterojunction Transistorand Method”,和Q.Quyang等人的仿真研究“A Novel Si/SiGeHeterjunction pMOSFET with Reduced Short-Channe Effects andEnhanced Drive Current”,IEEE Transactions on Electron Devices,V.47,1943页(2000)中。后一参考文件显示SiGe/Si异质结和掺杂剂冶金结以相对高的精度相互重合,或者在SiGe区内包含p掺杂剂,以便源和体之间的价带偏置。只有在这种精度时异质结才可以有效地用于降低截止状态漏电和短沟道效应。到目前为止,没有方法可以得到异质结和冶金结之间需要的重合,并且没有公开具有这种重合的横向器件结构。
发明内容
本发明介绍了异质结源/漏MOSFET器件,其中PMOS为埋置的SiGe沟道器件,而NMOS为表面硅沟道器件。根据本发明的一个方面,器件的异质结和冶金结在非常小的公差内重合。本发明的另一方面涉及包括通过外延淀积形成异质结源/漏的方法。可以在绝缘体上硅(SOI)或体Si技术中实现新的异质结源/漏MOSFET器件。由于Si和SiGe之间的晶格常数失配,SiGe外延源/漏将压缩应变,由于这种材料中的高空穴迁移率造成PMOS中的空穴电流主要限制到埋置的压缩应变的SiGe沟道,这种PMOS器件可以具有与NMOS器件可能类似的载流能力。高质量的PMOS为构建处理器提供了优良的CMOS电路能力。
本发明提供了一种场效应器件,包括:一种导电类型的晶体Si本体;外延地设置在所述Si本体上的SiGe层;外延地设置在所述SiGe层上的Si层;以及源和漏,包括与Si本体成外延关系的SiGe,并通过SiGe层和Si层相互连接,源和漏具有与Si本体相反的导电类型,每个与Si本体形成了异质结和冶金结,其中异质结和冶金结以小于10nm的公差重合。
本发明还提供了一种PMOS场效应器件包括:n型导电类型的晶体Si本体;外延地设置在n型Si本体上的SiGe层;外延地设置在所述SiGe层上的Si层;以及p型导电类型的源和漏,包括与n型Si本体成外延关系的SiGe,并通过SiGe层和Si层相互连接,源和漏各自与n型Si本体形成了异质结和冶金结,其中异质结和冶金结以小于10nm的公差重合。
本发明还提供了一种制备场效应器件的方法,包括以下步骤:通过第一材料的外延淀积制备源和漏,其中该第一材料与第二材料形成异质结,并且其中第二材料构成了器件的本体;并且,形成本体与源和漏之间的冶金结,其中异质结和冶金结以小于10nm的公差重合,源和漏与本体相比具有相反的导电类型。
本发明提供了一种处理器,包括:至少一个芯片,其中该芯片包括至少一个场效应器件,并且其中至少一个场效应器件包括:一种导电类型的晶体Si本体;外延地设置在所述Si本体上的SiGe层;外延地设置在所述SiGe层上的Si层;以及源和漏,包括与Si本体成外延关系的SiGe,并通过SiGe层和Si层相互连接,源和漏具有与Si本体相反的导电极性,每个与Si本体形成了异质结和冶金结,其中异质结和冶金结以小于10nm的公差重合。
根据以上列出的目标,本发明介绍了具有SiGe异质结源/漏的Si基MOSFET器件,其中异质结和源/漏与Si本体的冶金结以最小的公差重合。
本发明的另一目的是教授了一种用于任何场效应器件处理在异质结和冶金结结构中具有最小公差的异质结源和漏的方法。
本发明的另一目的是教授了处理器,包括含有这种场效应器件的芯片,器件在异质结和冶金结结构中具有最小的公差的异质结源和漏。
附图说明
从下面附带的详细介绍和附图中,本发明的这些和其它特点将变得很显然,其中:
图1示意性地示出了Si基异质结源/漏场器件的示例性实施例;
图2示意性地示出了在互补结构中Si基异质结源/漏场器件的示例性实施例;
图3示出了制备异质结源/漏场器件的示例性实施例中的处理步骤;
图4示出了制备异质结源/漏场器件的备选示例性实施例中的处理步骤;以及
图5示出了含有异质结源/漏场器件的芯片的处理器的符号图。
具体实施方式
图1示意性地示出了Si基异质结源/漏场器件的示例性实施例。每个实施例含有与器件的本体40形成异质结的场效应器件(FET)的源10和漏10特征。对于每个FET,器件具有(至少一个)栅极52。现有技术状态中的导电栅极52由覆盖层51保护,在Si基技术中通常为氧化物和SiN。栅极52通过栅极介质53与器件的其余部分电隔离。FET的共同性质是容性耦合在栅极绝缘体53之间的栅极52控制了源10和漏10之间的器件电流。绝缘区54用于隔离器件。通常通过由SiO2制成的浅沟槽54进行隔离,如图所示。
图1中所示结构的材料属于Si基材料的宽范畴中。在微电子技术中,小型化中进展最好的材料是硅(Si)。Si基材料为具有与Si相同的基本技术含量的多种合金。对于微电子器件一种这种重要的Si基材是硅-锗(Si-Ge)合金。SiGe具有比Si的晶格常数,并随Ge浓度增加而增加。因此,当SiGe外延生长或淀积在Si上时,它处于压缩应变。SiGe的带隙小于Si的。Ge含量越高,SiGe的带隙越小。对于Si-SiGe异质结构,带隙差异几乎完全提供在价带中。在导带中,带不连贯性的几乎可以忽略不计,在与器件本体为异质结关系而具有源和漏的FET中,具有器件小型化的优点。同样,具有由应变的SiGe材料组成的沟道对于载流子迁移率,特别是空穴迁移率具有突出优点。这些优点的原因及具有内容可以在以下公开出版物中找到:Q.Quyang等人的“A Novel Si/SiGe Heterjunction pMOSFET with ReducedShort-Channe Effects and Enhanced Drive Current”,IEEETransactions on Electron Devices,V.47,1943页(2000)。同样具有SiGe异质结源/漏以及SiGe沟道的PMOS公开在Q.Quyang等人的US.专利6,319,799 B1“High Mobility Heterojunction Transistor andMethod”中,这里作为参考引入。
在图1所示的代表性实施例中,器件具有基本上由Si组成的晶体本体40。SiGe层20外延地设置在本体40上。术语“外延地”、“外延”、“epi”等表达了它们的习惯用法:意味着单晶晶格结构支撑界面。通常单晶材料形成了平台,在其上具有通过本领域中已知的几种技术中的一种淀积的具有匹配的晶体特性的另一单晶材料。这样的技术例如为分子束外延(MBE)或各种化学汽相淀积(CVD)。由于SiGe层20和Si本体40之间的晶格常数关系,SiGe层20处于压力应变。这种应变显著增强了空穴迁移率。在SiGe层20的顶部,外延地设置Si层30。该Si层30例如可以作为一种或几种目的,例如:1)用于电子型器件电流的沟道;2)允许生长高质量的栅极介质53,通常为SiO2,可以是与SiN的混合物;或者3)作为保护层以使SiGe层20的污染物最小。
晶体Si本体40和SiGe源和漏10之间毗连的表面与Si本体为外延关系,形成了异质结界面11。术语异质结意味着两个不相类似的材料接触并且在界面11处能带突然不连续。在器件中存在另一重要界面,称做冶金结。如图1的实施例中虚线65所示,其中本体40和源和漏10之间的导电类型改变。本体40和源和漏之间具有相反的导电类型。如果源/漏10为p型,那么本体为n型,反之亦然,如果源/漏10为n型,那么本体为p型。两种类型的掺杂剂,p型,例如硼(B),n型,例如磷(P)或砷(As),相互平衡的位置就是本体40和源/漏10之间的冶金结65的位置。为了具体化异质结源/漏的可能的优点,优选异质结11基本上与冶金结重合。由此,本发明的一个方之面提供了制备图1的代表性FET器件实施例的方法,异质结11和冶金结65以小于约10nm的公差重合,并且优选小于约5nm。虽然在图1A、1B和1C中冶金结显示在异质结11的本体40一侧上,但是实际上它同样可以在异质结11的源/漏10一侧。关键在于两者以最小的公差重合。
在显示的所有代表性实施例中,源和漏10以及SiGe外延沟道层20中的Ge浓度在15%到50%的范围内,优选在约20%到40%之间。源/漏10中的SiGe厚度位于给定的Ge浓度的临界厚度之下。定义临界厚度从而超过它时,SiGe将应力释放,并且将形成缺陷和位错。SiGe外延沟道层20的厚度在约5nm和15nm之间。外延Si层30的厚度通常在约5nm和15nm之间。图1A示出了本体为体Si的一个实施例。这种类型的器件为目前微电子器件中最常见的器件。图1B和1C示出了当Si本体40设置在绝缘材料55的顶部上时异质结源/漏FET器件的代表性实施例。这种类型的技术通常称做绝缘体上硅(SOI)技术。绝缘体材料55通常并优选SiO2。图1B示出了本体40具有足够的体积以容纳可移动电荷的SOI实施例。这种SOI器件称做部分耗尽的器件。图1C示出了本体40的体积不足以容纳可移动电荷的SOI实施例。这种SOI器件称做全耗尽的器件。对于图1B和1C中示出了器件,在源和漏10下面存在至少薄的本体层。该本体材料作为籽材料,在其上生长了外延的SiGe源和漏10。在备选的实施例中,对于极薄的全耗尽SOI器件,可以横向地生长源和漏10,从横向的籽晶开始,此时源和漏10将一直穿透到绝缘层55。
图1A示出了Si帽盖层15位于SiGe源和漏10顶上的代表性实施例的附加结构。这种Si帽盖层15的目的主要是有助于与源和漏10较好的电接触。Si帽盖层15的厚度可以较宽松(relax),通常在约2nm和约3nm之间。该Si帽盖层15通常外延地形成在SiGe源和漏10上,之后立即进行SiGe的外延生长。虽然Si帽盖层15仅图示在图1A中,但是本领域中的技术人员应该理解,它同样可以是其它代表性实施例的一部分。
由于图1C中的全耗尽SOI器件具有浅轮廓,因此有利的是在这种结构中具有所谓的隆起的源/漏10,以减少源/漏串联电阻。FET器件具有良好限定的表面平面,由虚线60示出。该顶表面平面基本上穿过栅极介质53和硅层30之间的界面。对于图1C的全耗尽SOI FET,源10和漏10隆起在顶表面平面之上,得到了需要的优点。
在图1所示的代表性实施例中,Si本体40可以具有n型导电性,即器件为PFET,在这些器件中,价带中异质结不连续的结果,器件空穴流主要限定在SiGe层20中。由于异质结11优选位于本体40和源/漏10之间,但是如果在器件电流的路径中形成了阻挡将很不利,对于SiGe层20优选基本上等于源10和漏10中SiGe的Ge浓度。由于基本上等于Ge浓度,空穴可以没有阻碍地在源10和漏10与SiGe层20之间穿过。
可选地,Si本体40可以具有p型导电性,即器件为NFET。对于这些器件,导带中缺少异质结不连续的结果,器件电子流主要限定在Si层30中。
图2示意性地示出了在互补结构中Si基异质结源/漏场器件的示例性实施例。图2A示出了互补结构中的两个异质结源/漏场器件(CMOS),即p型MOS和n型MOS。可以得到如下的CMOS:两种类型的器件具有相同特性-即,PMOS和NMOS都具有异质结源/漏,一个器件具有n型导电性的Si本体40,而第二个器件具有p型导电性的Si本体40’。在一个实施例中,PMOS和NMOS具有异质结和冶金结,以小于约10nm的公差重合,优选小于约5nm。可选地,可以得到如下的CMOS结构:PMOS和NMOS都具有SiGe异质结源/漏,但是仅PMOS器件具有大致的重合,异质结和冶金结之间的公差小于约10nm,优选小于约5nm的公差。
图2B示出了仅PMOS器件具有异质结和冶金结之间在公差小于约10nm,优选小于约5nm之内大致重合的异质结源/漏10。然而此时,NMOS器件在源70和漏70中没有SiGe,尽管它具有SiGe层20和Si层30。从NMOS器件中省略源/漏10具有一些益处。例如,由通常约500-600℃的外延SiGe生长温度冷却之后,压缩应变的外延SiGe源/漏将在栅极边缘附近的硅本体中产生一些压缩应力。这使得栅极边缘附近的SiGe更加压缩应变。这种额外的压缩应变实际上对PMOS很有利,导致p沟道中更高的空穴迁移率。然而,与体硅相比,NMOS的Si的n沟道中的压缩应变降低了电子迁移率。对于更短的栅极长度,效应变得更明显。因此,由于具有异质结源/漏10的PMOS器件的强度,在NMOS具有SiGe层20和Si层30的常规的源和漏70的图2B中,CMOS的该代表性实施例具有优异的性能。在另一代表性实施例中,PMOS器件具有异质结源/漏10,和按公差小于约10nm并优选小于约5nm而基本上重合的异质结和冶金结,可以与任何常规的NMOS器件成对形成CMOS结构。
具有异质结源/漏和基本上重合的异质结和冶金结的PFET器件的一个优点是除了由n型Si本体40和p型SiGe源/漏10之间的p/n结而固有的电势之外,在应变的SiGe/Si界面11处的带偏置提供了对于空穴的势垒,它不随漏极偏压改变,由此对于PFET可以显著地降低漏引入的势垒下降和截止状态泄露电流。
通过根据晶面和晶向定向器件可以进一步增强由空穴迁移率增加获得的PFET的优点。由于空穴迁移率已知在(110)表面上通常较高,并且电子迁移率已知在(100)表面上通常较高,因此CMOS具有混合的晶向结构,由此PFET位于(110)表面上,NFET位于(100)表面上。一般来说,有利的是将PMOS和NMOS器件取向在它们的表面平面60上(图1C),该表面平面实质上位于主晶向(100)、(110)以及(111)的任一个中。此外,在典型的(100)晶面表面上,沟道可以设置得电流沿<100>和/或<110>方向,对于空穴和电子都具有较高的载流子迁移率。局部应力与沟道方向和晶向相关。
具有应变的SiGe源/漏的PFET和NFET的优点有很多,现在列举如下。由于与Si相比SiGe中的B和P的溶解度较高,因此由源/漏导致的那部分器件串联电阻降低。接下来,由于SiGe的带隙较小,因此接触电阻可以更小。而且,在SOI实施例中,由于SiGe内较窄带隙的势垒高度下降,由于漏附近的碰撞电离产生的热载流子会扩散穿过势垒进入源内,因此浮体效应降低。该效应会发生在PFET和NFET中。同样,源/漏击穿(BVds)可以显著改善。对于超薄全耗尽的SOI,可以使用图1C的隆起的SiGe源/漏。可以保持SiGe中的应变,只要它的厚度在临界厚度之下。
本发明的一个方面提供了通过外延淀积源/漏材料制备用于FET的异质结源/漏。例如通过分子束外延(MBE)或多种类型的化学汽相淀积(CVD),这种外延淀积可以获得需要的材料纯度、晶体质量以及控制。
通过外延淀积制备源/漏的方法不限于Si、SiGe材料系,而是可以应用于多种器件,例如III-V半导体族。由此,通过外延淀积与第二材料形成异质结的第一材料通常形成了源和漏,第二材料构成了FET器件的本体。如果有利,例如对于图1的SiGe源/漏PMOS,可以进一步包括在源和漏之间提供沟道的制造步骤,由此沟道实质上由第一材料,即源/漏的材料组成。可选地,或者与第一材料沟道结合,可以进行在源和漏之间提供沟道的步骤,源/漏实质上由第二材料,即与器件本体的相同。而且,在MBE和/或CVD中提供的精确控制允许掺杂源和漏,由此本体提供有一种导电类型,源和漏提供有相反的导电类型,形成了本体与源和漏之间的冶金结,而且具有小公差重合的异质结和冶金结,在现有的FET的技术中,小公差需要小于约10nm,优选小于约5nm。
图3和4示出了异质结源/漏场器件的示例性实施例中的工艺步骤。SiGe异质结源/漏场器件的CMOS工艺可以依照现有技术中公知的CMOS工艺中许多成熟的步骤。仅讨论对于异质结源/漏场器件独特的那些步骤并显示在图3和4中。图3和4示出了未全耗尽的SOI器件的示例性实施例的工艺步骤,如同在图1B上。然而,在其它的示例性实施例中,例如体器件,或者全耗尽的SOI器件,异质结源/漏场器件特定的步骤是相同的。
图3A示出了在设置在绝缘材料55上的Si本体40中进行浅沟槽54隔离之后的制备,已淀积了SiGe外延层20和Si外延层30。可以选择隔离和外延生长的顺序以适合特殊需要的工艺顺序。可以在制备浅沟槽隔离54之前或之后生长外延层20和30。
图3B示出了进行了本领域中公知的几个工艺步骤之后的工艺。CMOS阱注入和阈值调节注入已经进行,结果Si本体成为两种导电类型,即n和p型40和40’。已经生长了栅介质53,典型地为氧化物。淀积并构图栅52,并形成栅覆盖/隔离层51。图3C表示源/漏区已经被凹进,以得到用于随后淀积SiGe材料的空间。这样的凹进/蚀刻可以采用现有技术已知的技术来进行,如反应离子蚀刻,和/或各种湿刻。
图3D表示向凹陷的源/漏区中原位p掺杂SiGe合金的选择性外延。p掺杂的外延进入到PMOS和NMOS中,在两个器件中产生相同的源/漏10。SiGe合金的原位掺杂淀积使得可以充分控制掺杂剖面,从而异质结和冶金结之间基本上重合。在示例的实施方式中,这种源和漏的选择性外延可以通过采用SiH4、Si2H4或GeH4前体在大约400-650℃的温度范围内、优选大约550℃的超高真空化学气相淀积(UHV-CVD)来进行。为了控制冶金结的位置,可以在外延期间采用添加的B2H5对膜原位硼掺杂。
该图也代表性地示出了在SiGe源和漏10的顶部产生外延Si帽盖层15的帽盖形成步骤。Si帽盖层1的外延在源和漏的SiGe外延之后。这样的Si帽盖层便于接触到器件。Si帽盖层的厚度可以较宽松,典型地在2nm和30nm之间。尽管淀积这种Si帽盖层15步骤仅显示在图3D中,本领域中的技术人员应该理解,这同样仅为其它代表性实施例的制造顺序的一部分。
图3E示出了在这种优选实施例中的最终步骤。在掩蔽PMOS 57时,例如用磷或砷的n型物质注入NMOS源/漏区58。注入剂量高足以超越p掺杂剂并将NMOS的SiGe源/漏转变成n型10’材料,将Si帽盖层转变成重掺杂的n掺杂15’。通常用快速热退火激活该注入58,能较精确地控制冶金结位置。在示例性实施例中,快速热退火调节可以约1050-1100℃,小于10秒钟。或者,可以使用激光退火或快速退火以获得更短的退火时间。
可以改变外延淀积和源/漏掺杂步骤。如果需要代替p掺杂的SiGe外延,那么对于PMOS和NMOS器件,需要进行未掺杂的SiGe外延和注入并激活源/漏。对于一些情况,甚至可以使用外延淀积。对于本领域中的普通技术人员,显然可以进一步改变这些步骤。
图4示出了制备异质结源/漏场器件的备选示例性实施例中的处理步骤。由于以上所述SiGe异质结源/漏场器件的主要收益者是PFET器件,可以和NFET一起省略异质结,以便避免由SiGe源/漏产生的应变,如上参考图2B介绍的。图4A示出了工艺的中间阶段,所有的步骤都在实施图3所示的凹进源/漏之前,SiGe外延层20和Si外延层30存在于NMOS。然而仅对PMOS进行源/漏凹进和SiGe源/漏外延步骤。这导致图4A所示的情况,PMOS具有SiGe外延源/漏10,NMOS没有源和漏。图4B中所示的下一步骤类似于图3E中的一个。PMOS被掩蔽57,注入NFET的源/漏58。本实施例与图3E的实施例之间的差异为注入58仅进入Si本体40’内,得到常见的源/漏70,Si本体40’没有形成异质结。
图5示出了含有异质结源/漏场器件的芯片的处理器的符号图。这种处理器900具有至少一个芯片901,含有至少一个场效应器件100,具有SiGe异质结源/漏和按小于约10nm并且优选约5nm公差重合的异质结和冶金结。处理器900可以是受益于SiGe异质结源/漏器件的任何处理器。这些器件形成了一个或多个芯片901上处理器的一部分。在代表性实施例中,用SOI技术制备这些SiGe异质结源/漏场器件100。用SiGe异质结源/漏场器件制备的处理的代表性实施例为数字处理器,通常位于计算机的中央处理器群中;混合的数字/模拟处理器,显著受益于p型SiGe异质结源/漏场效应器件中载流子的高迁移率;以及一般来说任何通信处理器,例如连接存储器到处理器的模块、缩放刻模机、雷达系统、高性能的可视电话、游戏模块等。
根据以上教授,可以对本发明做出许多修改和变形,并且对本领域中的技术人员来说是显而易见的。本发明的范围由权利要求书限定。

Claims (37)

1.一种场效应器件,包括:
一种导电类型的晶体Si本体;
外延地设置在所述Si本体上的SiGe层;
外延地设置在所述SiGe层上的Si层;以及
源和漏,包括与Si本体成外延关系的SiGe,并通过SiGe层和Si层相互连接,源和漏具有与Si本体相反的导电类型,每个与Si本体形成了异质结和冶金结,其中异质结和冶金结以小于10nm的公差重合。
2.根据权利要求1的器件,其中公差小于5nm。
3.根据权利要求1的器件,其中Si本体设置在绝缘层的顶部上。
4.根据权利要求3的器件,其中绝缘层是SiO2
5.根据权利要求1的器件,其中Si本体导电类型为n型,并且其中空穴器件电流主要限制在SiGe层中。
6.根据权利要求5的器件,其中空穴器件电流沿着<100>或<110>晶向中的一个取向。
7.根据权利要求1的器件,其中Si本体导电类型为p型,并且其中电子器件电流主要限制在Si层中。
8.根据权利要求1的器件,其中SiGe层和源和漏中的SiGe压缩应变。
9.根据权利要求1的器件,其中SiGe层厚度在5nm和15nm之间。
10.根据权利要求1的器件,其中SiGe层具有等于源和漏中的SiGe中Ge浓度的Ge浓度。
11.根据权利要求10的器件,其中SiGe层中的Ge浓度在15%和50%之间。
12.根据权利要求1的器件,其中器件具有顶部表面平面,并且其中源和漏隆起在顶部表面平面之上。
13.根据权利要求1的器件,其中器件具有位于(100)、(110)或(111)晶面之一中的顶部表面平面。
14.根据权利要求1的器件,其中源和漏进一步包括设置在应变的SiGe顶部上的外延Si帽盖层,其中Si帽盖层位于2nm和30nm厚度之间。
15.根据权利要求1的器件,其中Si本体导电类型为n型,器件以互补电路结构与场效应器件连接,该场效应器件包括:
p型导电类型的晶体Si本体;
外延地设置在p型Si本体上的SiGe层;
外延地设置在所述SiGe层上的Si层;以及
n型导电类型的源和漏,包括与Si本体成外延关系的SiGe,并通过SiGe层和Si层相互连接,源和漏各自与Si本体形成了异质结和冶金结,其中异质结和冶金结以小于10nm的公差重合。
16.根据权利要求1的器件,其中Si本体导电类型为n型,器件以互补电路结构与场效应器件连接,该场效应器件包括:
p型导电类型的晶体Si本体;
外延地设置在p型Si本体上的SiGe层;
外延地设置在所述SiGe层上的Si层;以及
n型导电类型的源和漏,包括与p型Si本体成外延关系的SiGe,并通过SiGe层和Si层相互连接。
17.根据权利要求1的器件,其中Si本体导电类型为n型,器件以互补电路结构与场效应器件连接,该场效应器件包括:
p型导电类型的晶体Si本体;
外延地设置在p型Si本体上的SiGe层;
外延地设置在所述SiGe层上的Si层;以及
通过SiGe层和Si层相互连接的n型导电类型的源和漏。
18.根据权利要求1的器件,其中Si本体导电类型为n型,所述器件以互补电路结构与NMOS器件连接。
19.一种PMOS场效应器件包括:
n型导电类型的晶体Si本体;
外延地设置在n型Si本体上的SiGe层;
外延地设置在所述SiGe层上的Si层;以及
p型导电类型的源和漏,包括与n型Si本体成外延关系的SiGe,并通过SiGe层和Si层相互连接,源和漏各自与n型Si本体形成了异质结和冶金结,其中异质结和冶金结以小于10nm的公差重合。
20.根据权利要求19的器件,其中公差小于5nm。
21.根据权利要求19的器件,其中Si本体设置在绝缘层的顶上。
22.根据权利要求21的器件,其中绝缘层是SiO2
23.根据权利要求19的器件,其中SiGe层厚度在5nm和15nm之间。
24.根据权利要求19的器件,其中SiGe层中的Ge浓度在15%和50%之间。
25.根据权利要求24的器件,其中SiGe层的Ge浓度等于源和漏中的SiGe中Ge浓度。
26.一种制备场效应器件的方法,包括以下步骤:
通过第一材料的外延淀积制备源和漏,其中该第一材料与第二材料形成异质结,并且其中第二材料构成了器件的本体;并且,
形成本体与源和漏之间的冶金结,其中异质结和冶金结以小于10nm的公差重合,源和漏与本体相比具有相反的导电类型。
27.根据权利要求26的方法,还包括以下步骤:
提供源和漏之间的沟道,其中沟道由第一材料组成。
28.根据权利要求26的方法,还包括以下步骤:
提供源和漏之间的沟道,其中沟道由第二材料组成。
29.根据权利要求26的方法,其中本体导电类型为选自n型或p型中的一种。
30.根据权利要求27的方法,其中第一材料选为SiGe,第二材料选为Si。
31.根据权利要求30的方法,其中本体选择为绝缘体上的Si层。
32.根据权利要求30的方法,其中在外延淀积中,将SiGe选择为未掺杂状态或p掺杂状态中的一种。
33.根据权利要求30的方法,其中在外延淀积中,将SiGe选择为具有15%和50%之间的Ge浓度。
34.根据权利要求30的方法,其中SiGe沟道选择为厚度在5nm和15nm之间。
35.根据权利要求26的方法,还包括用具有2nm和30nm之间厚度的第二材料的外延层对第一材料形成帽盖的步骤。
36.根据权利要求26的方法,其中器件具有顶部表面平面,并且源和漏制作成高于顶部表面平面。
37.一种处理器,包括:
至少一个芯片,其中该芯片包括至少一个场效应器件,并且其中至少一个场效应器件包括:
一种导电类型的晶体Si本体;
外延地设置在所述Si本体上的SiGe层;
外延地设置在所述SiGe层上的Si层;以及
源和漏,包括与Si本体成外延关系的SiGe,并通过SiGe层和Si层相互连接,源和漏具有与Si本体相反的导电极性,每个与Si本体形成了异质结和冶金结,其中异质结和冶金结以小于10nm的公差重合。
CNB2004100870097A 2003-10-31 2004-10-22 高迁移率异质结互补场效应晶体管及其方法 Active CN100405611C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/698,122 2003-10-31
US10/698,122 US7057216B2 (en) 2003-10-31 2003-10-31 High mobility heterojunction complementary field effect transistors and methods thereof

Publications (2)

Publication Number Publication Date
CN1612353A CN1612353A (zh) 2005-05-04
CN100405611C true CN100405611C (zh) 2008-07-23

Family

ID=34550542

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004100870097A Active CN100405611C (zh) 2003-10-31 2004-10-22 高迁移率异质结互补场效应晶体管及其方法

Country Status (4)

Country Link
US (2) US7057216B2 (zh)
JP (1) JP4812281B2 (zh)
KR (1) KR100633499B1 (zh)
CN (1) CN100405611C (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102723342A (zh) * 2012-07-16 2012-10-10 西安电子科技大学 一种混合晶面垂直沟道应变BiCMOS集成器件及制备方法
CN102723341A (zh) * 2012-07-16 2012-10-10 西安电子科技大学 一种混合晶面应变Si垂直沟道BiCMOS集成器件及制备方法
CN102738179A (zh) * 2012-07-16 2012-10-17 西安电子科技大学 一种SOI应变SiGe CMOS集成器件及制备方法
CN104752216A (zh) * 2013-12-30 2015-07-01 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法

Families Citing this family (245)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100521432B1 (ko) * 2003-07-11 2005-10-13 동부아남반도체 주식회사 모스 트랜지스터 및 그 제조 방법
US20050090082A1 (en) * 2003-10-28 2005-04-28 Texas Instruments Incorporated Method and system for improving performance of MOSFETs
US8097924B2 (en) * 2003-10-31 2012-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Ultra-shallow junction MOSFET having a high-k gate dielectric and in-situ doped selective epitaxy source/drain extensions and a method of making same
US7545001B2 (en) * 2003-11-25 2009-06-09 Taiwan Semiconductor Manufacturing Company Semiconductor device having high drive current and method of manufacture therefor
US7176522B2 (en) * 2003-11-25 2007-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having high drive current and method of manufacturing thereof
US7095006B2 (en) * 2003-12-16 2006-08-22 International Business Machines Corporation Photodetector with hetero-structure using lateral growth
US7129139B2 (en) * 2003-12-22 2006-10-31 Intel Corporation Methods for selective deposition to improve selectivity
US7244654B2 (en) * 2003-12-31 2007-07-17 Texas Instruments Incorporated Drive current improvement from recessed SiGe incorporation close to gate
US7355237B2 (en) * 2004-02-13 2008-04-08 Sandisk Corporation Shield plate for limiting cross coupling between floating gates
US7175709B2 (en) * 2004-05-17 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxy layer and method of forming the same
US7791107B2 (en) * 2004-06-16 2010-09-07 Massachusetts Institute Of Technology Strained tri-channel layer for semiconductor-based electronic devices
CN100401530C (zh) * 2004-07-23 2008-07-09 台湾积体电路制造股份有限公司 形成应变沟道元件的方法
WO2006030505A1 (ja) * 2004-09-16 2006-03-23 Fujitsu Limited Mos型電界効果トランジスタ及びその製造方法
US7067400B2 (en) * 2004-09-17 2006-06-27 International Business Machines Corporation Method for preventing sidewall consumption during oxidation of SGOI islands
JP2006093430A (ja) * 2004-09-24 2006-04-06 Nec Electronics Corp 半導体装置
US7157300B2 (en) * 2004-11-19 2007-01-02 Sharp Laboratories Of America, Inc. Fabrication of thin film germanium infrared sensor by bonding to silicon wafer
US7479431B2 (en) * 2004-12-17 2009-01-20 Intel Corporation Strained NMOS transistor featuring deep carbon doped regions and raised donor doped source and drain
JP4327104B2 (ja) * 2005-01-20 2009-09-09 富士通マイクロエレクトロニクス株式会社 Mos型電界効果トランジスタの製造方法及びmos型電界効果トランジスタ
US7465972B2 (en) * 2005-01-21 2008-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. High performance CMOS device design
US20060172480A1 (en) * 2005-02-03 2006-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Single metal gate CMOS device design
US20080121932A1 (en) * 2006-09-18 2008-05-29 Pushkar Ranade Active regions with compatible dielectric layers
US7268362B2 (en) * 2005-02-25 2007-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. High performance transistors with SiGe strain
WO2006103321A1 (fr) * 2005-04-01 2006-10-05 Stmicroelectronics (Crolles 2) Sas Transistor pmos a canal contraint et procede de fabrication correspondant
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US9153645B2 (en) * 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US20070267722A1 (en) * 2006-05-17 2007-11-22 Amberwave Systems Corporation Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
FR2886761B1 (fr) * 2005-06-06 2008-05-02 Commissariat Energie Atomique Transistor a canal a base de germanium enrobe par une electrode de grille et procede de fabrication d'un tel transistor
US20090302349A1 (en) * 2005-06-15 2009-12-10 Industrial Technology Research Institute Strained germanium field effect transistor and method of fabricating the same
TWI252514B (en) * 2005-06-15 2006-04-01 Ind Tech Res Inst Strained germanium field effect transistor and manufacturing method thereof
US7626246B2 (en) * 2005-07-26 2009-12-01 Amberwave Systems Corporation Solutions for integrated circuit integration of alternative active area materials
US7470943B2 (en) * 2005-08-22 2008-12-30 International Business Machines Corporation High performance MOSFET comprising a stressed gate metal silicide layer and method of fabricating the same
DE102005041225B3 (de) * 2005-08-31 2007-04-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung vertiefter verformter Drain/Source-Gebiete in NMOS- und PMOS-Transistoren
US20070054467A1 (en) * 2005-09-07 2007-03-08 Amberwave Systems Corporation Methods for integrating lattice-mismatched semiconductor structure on insulators
US7638842B2 (en) * 2005-09-07 2009-12-29 Amberwave Systems Corporation Lattice-mismatched semiconductor structures on insulators
JP4940682B2 (ja) 2005-09-09 2012-05-30 富士通セミコンダクター株式会社 電界効果トランジスタおよびその製造方法
US7612389B2 (en) * 2005-09-15 2009-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded SiGe stressor with tensile strain for NMOS current enhancement
KR100712535B1 (ko) * 2005-09-26 2007-04-27 삼성전자주식회사 측부 성장을 억제할 수 있는 선택적 에피택셜 성장층을갖는 반도체 소자 및 그 제조방법
WO2007036998A1 (ja) * 2005-09-28 2007-04-05 Fujitsu Limited 半導体装置及びその製造方法
US8255843B2 (en) * 2005-11-14 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing strained-silicon semiconductor device
US20070111404A1 (en) * 2005-11-14 2007-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing strained-silicon semiconductor device
KR100760912B1 (ko) * 2005-12-29 2007-09-21 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조 방법
US20070158739A1 (en) * 2006-01-06 2007-07-12 International Business Machines Corporation Higher performance CMOS on (110) wafers
JP2007214208A (ja) * 2006-02-07 2007-08-23 Toshiba Corp 半導体装置及びその製造方法
US7338834B2 (en) * 2006-03-17 2008-03-04 Acorn Technologies, Inc. Strained silicon with elastic edge relaxation
FR2899017A1 (fr) * 2006-03-21 2007-09-28 St Microelectronics Sa Procede de realisation d'un transistor a canal comprenant du germanium
WO2007112066A2 (en) 2006-03-24 2007-10-04 Amberwave Systems Corporation Lattice-mismatched semiconductor structures and related methods for device fabrication
US7365401B2 (en) * 2006-03-28 2008-04-29 International Business Machines Corporation Dual-plane complementary metal oxide semiconductor
US20070238236A1 (en) * 2006-03-28 2007-10-11 Cook Ted Jr Structure and fabrication method of a selectively deposited capping layer on an epitaxially grown source drain
US7566605B2 (en) * 2006-03-31 2009-07-28 Intel Corporation Epitaxial silicon germanium for reduced contact resistance in field-effect transistors
DE102006015087B4 (de) * 2006-03-31 2011-03-10 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung von Transistoren
DE102006019937B4 (de) * 2006-04-28 2010-11-25 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines SOI-Transistors mit eingebetteter Verformungsschicht und einem reduzierten Effekt des potentialfreien Körpers
US7436006B2 (en) * 2006-05-19 2008-10-14 International Business Machines Corporation Hybrid strained orientated substrates and devices
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
KR100739658B1 (ko) * 2006-07-03 2007-07-13 삼성전자주식회사 반도체 장치의 제조 방법.
US7556992B2 (en) * 2006-07-31 2009-07-07 Freescale Semiconductor, Inc. Method for forming vertical structures in a semiconductor device
KR100809327B1 (ko) * 2006-08-10 2008-03-05 삼성전자주식회사 반도체 소자 및 그 제조방법
WO2008030574A1 (en) 2006-09-07 2008-03-13 Amberwave Systems Corporation Defect reduction using aspect ratio trapping
US20080070355A1 (en) * 2006-09-18 2008-03-20 Amberwave Systems Corporation Aspect ratio trapping for mixed signal applications
WO2008039495A1 (en) 2006-09-27 2008-04-03 Amberwave Systems Corporation Tri-gate field-effect transistors formed by aspect ratio trapping
US7875958B2 (en) 2006-09-27 2011-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum tunneling devices and circuits with lattice-mismatched semiconductor structures
US8502263B2 (en) 2006-10-19 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Light-emitter-based devices with lattice-mismatched semiconductor structures
KR100833498B1 (ko) 2006-10-19 2008-05-29 한국전자통신연구원 스트레인드 베리드 채널을 구비하는 광소자
DE102006051492B4 (de) * 2006-10-31 2011-05-19 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit NMOS- und PMOS-Transistoren mit eingebettetem Si/Ge-Material zum Erzeugen einer Zugverformung und einer Druckverformung und Verfahren zur Herstellung eines solchen Halbleiterbauelements
KR101378987B1 (ko) * 2006-10-31 2014-03-28 어드밴스드 마이크로 디바이시즈, 인코포레이티드 인장성 스트레인 및 압축성 스트레인을 생성시키기 위한 임베드된 Si/Ge 물질을 갖는 NMOS 및 PMOS 트랜지스터를 포함하는 반도체 디바이스
US20080124874A1 (en) * 2006-11-03 2008-05-29 Samsung Electronics Co., Ltd. Methods of Forming Field Effect Transistors Having Silicon-Germanium Source and Drain Regions
KR100773359B1 (ko) * 2006-11-20 2007-11-05 삼성전자주식회사 높은 이동도를 갖는 트랜지스터들의 제조방법 및 그에 의해제조된 트랜지스터들
US7525161B2 (en) * 2007-01-31 2009-04-28 International Business Machines Corporation Strained MOS devices using source/drain epitaxy
KR100825809B1 (ko) * 2007-02-27 2008-04-29 삼성전자주식회사 스트레인층을 갖는 반도체 소자의 구조 및 그 제조 방법
US20080217686A1 (en) * 2007-03-09 2008-09-11 International Business Machines Corporation Ultra-thin soi cmos with raised epitaxial source and drain and embedded sige pfet extension
US9508890B2 (en) 2007-04-09 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photovoltaics on silicon
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
US7825328B2 (en) 2007-04-09 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
US8329541B2 (en) 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
DE102007030053B4 (de) * 2007-06-29 2011-07-21 Advanced Micro Devices, Inc., Calif. Reduzieren der pn-Übergangskapazität in einem Transistor durch Absenken von Drain- und Source-Gebieten
KR101093588B1 (ko) 2007-09-07 2011-12-15 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 멀티-정션 솔라 셀
JP5178103B2 (ja) * 2007-09-12 2013-04-10 株式会社東芝 半導体装置およびその製造方法
US7759199B2 (en) * 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
JP2009099702A (ja) * 2007-10-16 2009-05-07 Toshiba Corp 半導体装置及びその製造方法
US7781799B2 (en) * 2007-10-24 2010-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain strained layers
US7948008B2 (en) * 2007-10-26 2011-05-24 Micron Technology, Inc. Floating body field-effect transistors, and methods of forming floating body field-effect transistors
JP2009200090A (ja) * 2008-02-19 2009-09-03 Panasonic Corp 半導体装置及びその製造方法
US8454653B2 (en) * 2008-02-20 2013-06-04 Covidien Lp Compound barb medical device and method
DE102008011816B4 (de) 2008-02-29 2015-05-28 Advanced Micro Devices, Inc. Temperaturüberwachung in einem Halbleiterbauelement unter Anwendung eines pn-Übergangs auf der Grundlage von Silizium/Germaniummaterial
US8012839B2 (en) 2008-02-29 2011-09-06 Chartered Semiconductor Manufacturing, Ltd. Method for fabricating a semiconductor device having an epitaxial channel and transistor having same
US7700416B1 (en) 2008-04-25 2010-04-20 Acorn Technologies, Inc. Tensile strained semiconductor on insulator using elastic edge relaxation and a sacrificial stressor layer
KR101505494B1 (ko) * 2008-04-30 2015-03-24 한양대학교 산학협력단 무 커패시터 메모리 소자
JP2009290069A (ja) * 2008-05-30 2009-12-10 Renesas Technology Corp 半導体装置およびその製造方法
US8183667B2 (en) 2008-06-03 2012-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth of crystalline material
JP2010021525A (ja) * 2008-06-13 2010-01-28 Toshiba Corp 半導体装置の製造方法
US8274097B2 (en) 2008-07-01 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
US7851325B1 (en) 2008-09-12 2010-12-14 Acorn Technologies, Inc. Strained semiconductor using elastic edge relaxation, a buried stressor layer and a sacrificial stressor layer
KR101216541B1 (ko) 2008-09-19 2012-12-31 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 에피텍셜층 과성장에 의한 장치의 형성
US20100072515A1 (en) 2008-09-19 2010-03-25 Amberwave Systems Corporation Fabrication and structures of crystalline material
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
CN101409294B (zh) * 2008-11-28 2010-06-02 西安电子科技大学 三维量子阱cmos集成器件及其制作方法
US7759142B1 (en) * 2008-12-31 2010-07-20 Intel Corporation Quantum well MOSFET channels having uni-axial strain caused by metal source/drains, and conformal regrowth source/drains
KR101073643B1 (ko) * 2009-02-19 2011-10-14 서울대학교산학협력단 고성능 단일 트랜지스터 플로팅 바디 dram 소자 및 그 제조 방법
CN102379046B (zh) 2009-04-02 2015-06-17 台湾积体电路制造股份有限公司 从晶体材料的非极性平面形成的器件及其制作方法
US8106456B2 (en) * 2009-07-29 2012-01-31 International Business Machines Corporation SOI transistors having an embedded extension region to improve extension resistance and channel strain characteristics
US20110031503A1 (en) * 2009-08-10 2011-02-10 International Business Machines Corporation Device with stressed channel
US8367485B2 (en) 2009-09-01 2013-02-05 International Business Machines Corporation Embedded silicon germanium n-type filed effect transistor for reduced floating body effect
US8174074B2 (en) * 2009-09-01 2012-05-08 International Business Machines Corporation Asymmetric embedded silicon germanium field effect transistor
US8298882B2 (en) * 2009-09-18 2012-10-30 International Business Machines Corporation Metal gate and high-K dielectric devices with PFET channel SiGe
US8421162B2 (en) 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
US8273617B2 (en) 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
JP2013507000A (ja) * 2009-09-30 2013-02-28 スボルタ,インコーポレーテッド 電子デバイス及びシステム、並びにその製造方法及び使用方法
US8030144B2 (en) * 2009-10-09 2011-10-04 Globalfoundries Inc. Semiconductor device with stressed fin sections, and related fabrication methods
JP2013511163A (ja) * 2009-11-17 2013-03-28 スボルタ,インコーポレーテッド 電子デバイス及びシステム、並びにその製造方法及び使用方法
WO2011062789A1 (en) * 2009-11-17 2011-05-26 Suvolta, Inc. Electronic devices and systems,and methods for making and using the same
US8633470B2 (en) * 2009-12-23 2014-01-21 Intel Corporation Techniques and configurations to impart strain to integrated circuit devices
US8592325B2 (en) * 2010-01-11 2013-11-26 International Business Machines Corporation Insulating layers on different semiconductor materials
US8815660B2 (en) 2010-02-05 2014-08-26 International Business Machines Corporation Structure and method for reducing floating body effect of SOI MOSFETs
US8361867B2 (en) 2010-03-19 2013-01-29 Acorn Technologies, Inc. Biaxial strained field effect transistor devices
US8530286B2 (en) 2010-04-12 2013-09-10 Suvolta, Inc. Low power semiconductor transistor structure and method of fabrication thereof
US9059201B2 (en) 2010-04-28 2015-06-16 Acorn Technologies, Inc. Transistor with longitudinal strain in channel induced by buried stressor relaxed by implantation
US8361868B2 (en) 2010-04-28 2013-01-29 Acorn Technologies, Inc. Transistor with longitudinal strain in channel induced by buried stressor relaxed by implantation
CN101859796B (zh) * 2010-05-20 2012-11-14 清华大学 具有原位掺杂源漏的mos管结构及其形成方法
US8258031B2 (en) * 2010-06-15 2012-09-04 International Business Machines Corporation Fabrication of a vertical heterojunction tunnel-FET
US8569128B2 (en) 2010-06-21 2013-10-29 Suvolta, Inc. Semiconductor structure and method of fabrication thereof with mixed metal types
US8759872B2 (en) 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
US8492234B2 (en) 2010-06-29 2013-07-23 International Business Machines Corporation Field effect transistor device
US8575654B2 (en) 2010-08-04 2013-11-05 Institute of Microelectronics, Chinese Academy of Sciences Method of forming strained semiconductor channel and semiconductor device
CN102347235B (zh) * 2010-08-04 2014-02-12 中国科学院微电子研究所 应变半导体沟道形成方法和半导体器件
US9406798B2 (en) 2010-08-27 2016-08-02 Acorn Technologies, Inc. Strained semiconductor using elastic edge relaxation of a stressor combined with buried insulating layer
US8395213B2 (en) * 2010-08-27 2013-03-12 Acorn Technologies, Inc. Strained semiconductor using elastic edge relaxation of a stressor combined with buried insulating layer
US10833194B2 (en) 2010-08-27 2020-11-10 Acorn Semi, Llc SOI wafers and devices with buried stressor
US8486776B2 (en) 2010-09-21 2013-07-16 International Business Machines Corporation Strained devices, methods of manufacture and design structures
CN102446853A (zh) * 2010-09-30 2012-05-09 中国科学院微电子研究所 应变半导体沟道形成方法和半导体器件
US8377783B2 (en) 2010-09-30 2013-02-19 Suvolta, Inc. Method for reducing punch-through in a transistor device
US8685847B2 (en) 2010-10-27 2014-04-01 International Business Machines Corporation Semiconductor device having localized extremely thin silicon on insulator channel region
US8642407B2 (en) * 2010-11-04 2014-02-04 International Business Machines Corporation Devices having reduced susceptibility to soft-error effects and method for fabrication
US8404551B2 (en) 2010-12-03 2013-03-26 Suvolta, Inc. Source/drain extension control for advanced transistors
US20120161105A1 (en) * 2010-12-22 2012-06-28 Willy Rachmady Uniaxially strained quantum well device and method of making same
US8173524B1 (en) 2011-01-11 2012-05-08 International Business Machines Corporation Process for epitaxially growing epitaxial material regions
CN102637601A (zh) * 2011-02-14 2012-08-15 中芯国际集成电路制造(上海)有限公司 一种具有掩埋沟道的mos晶体管形成方法
US8461875B1 (en) 2011-02-18 2013-06-11 Suvolta, Inc. Digital circuits having improved transistors, and methods therefor
US8525271B2 (en) 2011-03-03 2013-09-03 Suvolta, Inc. Semiconductor structure with improved channel stack and method for fabrication thereof
US8400219B2 (en) 2011-03-24 2013-03-19 Suvolta, Inc. Analog circuits having improved transistors, and methods therefor
US8748270B1 (en) 2011-03-30 2014-06-10 Suvolta, Inc. Process for manufacturing an improved analog transistor
US8835266B2 (en) * 2011-04-13 2014-09-16 International Business Machines Corporation Method and structure for compound semiconductor contact
US8999861B1 (en) 2011-05-11 2015-04-07 Suvolta, Inc. Semiconductor structure with substitutional boron and method for fabrication thereof
US8796048B1 (en) 2011-05-11 2014-08-05 Suvolta, Inc. Monitoring and measurement of thin film layers
US8811068B1 (en) 2011-05-13 2014-08-19 Suvolta, Inc. Integrated circuit devices and methods
US8569156B1 (en) 2011-05-16 2013-10-29 Suvolta, Inc. Reducing or eliminating pre-amorphization in transistor manufacture
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US8735987B1 (en) 2011-06-06 2014-05-27 Suvolta, Inc. CMOS gate stack structures and processes
US8946064B2 (en) * 2011-06-16 2015-02-03 International Business Machines Corporation Transistor with buried silicon germanium for improved proximity control and optimized recess shape
US8995204B2 (en) 2011-06-23 2015-03-31 Suvolta, Inc. Circuit devices and methods having adjustable transistor body bias
US8629016B1 (en) 2011-07-26 2014-01-14 Suvolta, Inc. Multiple transistor types formed in a common epitaxial layer by differential out-diffusion from a doped underlayer
KR101891373B1 (ko) 2011-08-05 2018-08-24 엠아이이 후지쯔 세미컨덕터 리미티드 핀 구조물을 갖는 반도체 디바이스 및 그 제조 방법
US8748986B1 (en) 2011-08-05 2014-06-10 Suvolta, Inc. Electronic device with controlled threshold voltage
US8645878B1 (en) 2011-08-23 2014-02-04 Suvolta, Inc. Porting a circuit design from a first semiconductor process to a second semiconductor process
US8614128B1 (en) 2011-08-23 2013-12-24 Suvolta, Inc. CMOS structures and processes based on selective thinning
US8713511B1 (en) 2011-09-16 2014-04-29 Suvolta, Inc. Tools and methods for yield-aware semiconductor manufacturing process target generation
US9236466B1 (en) 2011-10-07 2016-01-12 Mie Fujitsu Semiconductor Limited Analog circuits having improved insulated gate transistors, and methods therefor
CN102637687B (zh) * 2011-10-17 2015-06-17 上海华力微电子有限公司 基于埋层n型阱的异质结1t-dram结构及其制备方法
CN102437127A (zh) * 2011-11-30 2012-05-02 上海华力微电子有限公司 基于硅-锗硅异质结的单晶体管dram单元及其制备方法
CN102437126A (zh) * 2011-11-30 2012-05-02 上海华力微电子有限公司 基于源体异质结的单晶体管dram单元及其制备方法
US8895327B1 (en) 2011-12-09 2014-11-25 Suvolta, Inc. Tipless transistors, short-tip transistors, and methods and circuits therefor
US8819603B1 (en) 2011-12-15 2014-08-26 Suvolta, Inc. Memory circuits and methods of making and designing the same
US8883600B1 (en) 2011-12-22 2014-11-11 Suvolta, Inc. Transistor having reduced junction leakage and methods of forming thereof
US8599623B1 (en) 2011-12-23 2013-12-03 Suvolta, Inc. Circuits and methods for measuring circuit elements in an integrated circuit device
CN103187447B (zh) * 2011-12-31 2016-05-11 中芯国际集成电路制造(上海)有限公司 Pmos晶体管结构及其制造方法
US8970289B1 (en) 2012-01-23 2015-03-03 Suvolta, Inc. Circuits and devices for generating bi-directional body bias voltages, and methods therefor
US8877619B1 (en) 2012-01-23 2014-11-04 Suvolta, Inc. Process for manufacture of integrated circuits with different channel doping transistor architectures and devices therefrom
US9093550B1 (en) 2012-01-31 2015-07-28 Mie Fujitsu Semiconductor Limited Integrated circuits having a plurality of high-K metal gate FETs with various combinations of channel foundation structure and gate stack structure and methods of making same
US9343318B2 (en) * 2012-02-07 2016-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Salicide formation using a cap layer
US9059248B2 (en) 2012-02-09 2015-06-16 International Business Machines Corporation Junction butting on SOI by raised epitaxial structure and method
US8648388B2 (en) * 2012-02-15 2014-02-11 International Business Machines Corporation High performance multi-finger strained silicon germanium channel PFET and method of fabrication
US9406567B1 (en) 2012-02-28 2016-08-02 Mie Fujitsu Semiconductor Limited Method for fabricating multiple transistor devices on a substrate with varying threshold voltages
US8863064B1 (en) 2012-03-23 2014-10-14 Suvolta, Inc. SRAM cell layout structure and devices therefrom
US9299698B2 (en) 2012-06-27 2016-03-29 Mie Fujitsu Semiconductor Limited Semiconductor structure with multiple transistors having various threshold voltages
CN102751283B (zh) * 2012-07-16 2016-03-02 西安电子科技大学 一种混合晶面应变Si应变SiGe平面BiCMOS集成器件及制备方法
CN102738165B (zh) * 2012-07-16 2016-03-30 西安电子科技大学 一种混合晶面平面应变BiCMOS集成器件及制备方法
US8637955B1 (en) 2012-08-31 2014-01-28 Suvolta, Inc. Semiconductor structure with reduced junction leakage and method of fabrication thereof
US9112057B1 (en) 2012-09-18 2015-08-18 Mie Fujitsu Semiconductor Limited Semiconductor devices with dopant migration suppression and method of fabrication thereof
US8815656B2 (en) * 2012-09-19 2014-08-26 International Business Machines Corporation Semiconductor device and method with greater epitaxial growth on 110 crystal plane
US9041126B2 (en) 2012-09-21 2015-05-26 Mie Fujitsu Semiconductor Limited Deeply depleted MOS transistors having a screening layer and methods thereof
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9287138B2 (en) 2012-09-27 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET low resistivity contact formation method
US8946035B2 (en) * 2012-09-27 2015-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Replacement channels for semiconductor devices and methods for forming the same using dopant concentration boost
US9105490B2 (en) * 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
CN103779275A (zh) * 2012-10-17 2014-05-07 中国科学院微电子研究所 Cmos制造方法
CN103779223B (zh) * 2012-10-23 2016-07-06 中国科学院微电子研究所 Mosfet的制造方法
US9431068B2 (en) 2012-10-31 2016-08-30 Mie Fujitsu Semiconductor Limited Dynamic random access memory (DRAM) with low variation transistor peripheral circuits
US8816754B1 (en) 2012-11-02 2014-08-26 Suvolta, Inc. Body bias circuits and methods
US9093997B1 (en) 2012-11-15 2015-07-28 Mie Fujitsu Semiconductor Limited Slew based process and bias monitors and related methods
TW201423984A (zh) * 2012-12-07 2014-06-16 Richtek Technology Corp 異質接面半導體複合薄膜及其製造方法
US9070477B1 (en) 2012-12-12 2015-06-30 Mie Fujitsu Semiconductor Limited Bit interleaved low voltage static random access memory (SRAM) and related methods
US9112484B1 (en) 2012-12-20 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit process and bias monitors and related methods
US9268885B1 (en) 2013-02-28 2016-02-23 Mie Fujitsu Semiconductor Limited Integrated circuit device methods and models with predicted device metric variations
US8994415B1 (en) 2013-03-01 2015-03-31 Suvolta, Inc. Multiple VDD clock buffer
US20140246696A1 (en) * 2013-03-04 2014-09-04 Globalfoundries Inc. Transistor with embedded strain-inducing material formed in cavities formed in a silicon/germanium substrate
US9012956B2 (en) * 2013-03-04 2015-04-21 Globalfoundries Inc. Channel SiGe removal from PFET source/drain region for improved silicide formation in HKMG technologies without embedded SiGe
US8988153B1 (en) 2013-03-09 2015-03-24 Suvolta, Inc. Ring oscillator with NMOS or PMOS variation insensitivity
US9299801B1 (en) 2013-03-14 2016-03-29 Mie Fujitsu Semiconductor Limited Method for fabricating a transistor device with a tuned dopant profile
US9449967B1 (en) 2013-03-15 2016-09-20 Fujitsu Semiconductor Limited Transistor array structure
US9112495B1 (en) 2013-03-15 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit device body bias circuits and methods
US8946819B2 (en) * 2013-05-08 2015-02-03 Globalfoundries Singapore Pte. Ltd. Silicon-on-insulator integrated circuits with local oxidation of silicon and methods for fabricating the same
US9478571B1 (en) 2013-05-24 2016-10-25 Mie Fujitsu Semiconductor Limited Buried channel deeply depleted channel transistor
CN104253090B (zh) * 2013-06-26 2017-11-03 中芯国际集成电路制造(上海)有限公司 Cmos晶体管的形成方法
US8976575B1 (en) 2013-08-29 2015-03-10 Suvolta, Inc. SRAM performance monitor
US9716176B2 (en) 2013-11-26 2017-07-25 Samsung Electronics Co., Ltd. FinFET semiconductor devices including recessed source-drain regions on a bottom semiconductor layer and methods of fabricating the same
CN104681535B (zh) * 2013-11-29 2017-09-29 台湾积体电路制造股份有限公司 半导体器件的接触件结构
KR102021887B1 (ko) 2013-12-09 2019-09-17 삼성전자주식회사 반도체 소자
US20150214331A1 (en) * 2014-01-30 2015-07-30 Globalfoundries Inc. Replacement metal gate including dielectric gate material
US10103064B2 (en) * 2014-05-28 2018-10-16 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor structure including epitaxial channel layers and raised source/drain regions
US9431512B2 (en) * 2014-06-18 2016-08-30 Globalfoundries Inc. Methods of forming nanowire devices with spacers and the resulting devices
US9490340B2 (en) 2014-06-18 2016-11-08 Globalfoundries Inc. Methods of forming nanowire devices with doped extension regions and the resulting devices
US9502565B2 (en) 2014-06-27 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Channel strain control for nonplanar compound semiconductor devices
US9710006B2 (en) 2014-07-25 2017-07-18 Mie Fujitsu Semiconductor Limited Power up body bias circuits and methods
US9319013B2 (en) 2014-08-19 2016-04-19 Mie Fujitsu Semiconductor Limited Operational amplifier input offset correction with transistor threshold voltage adjustment
CN104241373B (zh) * 2014-08-29 2017-02-15 北京大学 一种反错层型异质结共振隧穿场效应晶体管及其制备方法
CN104992942B (zh) * 2015-07-03 2018-03-16 西安电子科技大学 垂直层叠应变Si/SiGe异质结CMOS器件结构及其制备方法
US9768254B2 (en) 2015-07-30 2017-09-19 International Business Machines Corporation Leakage-free implantation-free ETSOI transistors
US9515165B1 (en) 2015-09-11 2016-12-06 International Business Machines Corporation III-V field effect transistor (FET) with reduced short channel leakage, integrated circuit (IC) chip and method of manufacture
CN106601617A (zh) * 2015-10-16 2017-04-26 中国科学院微电子研究所 半导体器件制造方法
US9875976B2 (en) * 2015-12-31 2018-01-23 Taiwan Semiconductor Manufacturing Company Ltd. Switching device
US9614087B1 (en) 2016-05-17 2017-04-04 International Business Machines Corporation Strained vertical field-effect transistor (FET) and method of forming the same
US10249731B1 (en) * 2017-09-25 2019-04-02 International Business Macines Corporation Vertical FET with sharp junctions
US10777566B2 (en) 2017-11-10 2020-09-15 Macronix International Co., Ltd. 3D array arranged for memory and in-memory sum-of-products operations
US10719296B2 (en) 2018-01-17 2020-07-21 Macronix International Co., Ltd. Sum-of-products accelerator array
US10957392B2 (en) 2018-01-17 2021-03-23 Macronix International Co., Ltd. 2D and 3D sum-of-products array for neuromorphic computing system
US10242737B1 (en) 2018-02-13 2019-03-26 Macronix International Co., Ltd. Device structure for neuromorphic computing system
US10635398B2 (en) 2018-03-15 2020-04-28 Macronix International Co., Ltd. Voltage sensing type of matrix multiplication method for neuromorphic computing system
US10664746B2 (en) 2018-07-17 2020-05-26 Macronix International Co., Ltd. Neural network system
US11138497B2 (en) 2018-07-17 2021-10-05 Macronix International Co., Ltd In-memory computing devices for neural networks
US11636325B2 (en) 2018-10-24 2023-04-25 Macronix International Co., Ltd. In-memory data pooling for machine learning
US11562229B2 (en) 2018-11-30 2023-01-24 Macronix International Co., Ltd. Convolution accelerator using in-memory computation
US10672469B1 (en) 2018-11-30 2020-06-02 Macronix International Co., Ltd. In-memory convolution for machine learning
US11934480B2 (en) 2018-12-18 2024-03-19 Macronix International Co., Ltd. NAND block architecture for in-memory multiply-and-accumulate operations
US11119674B2 (en) 2019-02-19 2021-09-14 Macronix International Co., Ltd. Memory devices and methods for operating the same
US10783963B1 (en) 2019-03-08 2020-09-22 Macronix International Co., Ltd. In-memory computation device with inter-page and intra-page data circuits
US10833198B2 (en) 2019-03-14 2020-11-10 International Business Machines Corporation Confined source drain epitaxy to reduce shorts in CMOS integrated circuits
US11132176B2 (en) 2019-03-20 2021-09-28 Macronix International Co., Ltd. Non-volatile computing method in flash memory
US10910393B2 (en) 2019-04-25 2021-02-02 Macronix International Co., Ltd. 3D NOR memory having vertical source and drain structures
KR102050012B1 (ko) * 2019-05-09 2019-11-28 경북대학교 산학협력단 트랜지스터 및 트랜지스터 제조방법
US10777689B1 (en) 2019-10-18 2020-09-15 Hong Kong Applied Science and Technology Research Institute Company, Limited Silicon-carbide shielded-MOSFET embedded with a trench Schottky diode and heterojunction gate
US11605710B2 (en) * 2020-12-11 2023-03-14 Globalfoundries U.S. Inc. Transistor with air gap under source/drain region in bulk semiconductor substrate
US11737274B2 (en) 2021-02-08 2023-08-22 Macronix International Co., Ltd. Curved channel 3D memory device
US11916011B2 (en) 2021-04-14 2024-02-27 Macronix International Co., Ltd. 3D virtual ground memory and manufacturing methods for same
US20220344516A1 (en) * 2021-04-23 2022-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Low ge isolated epitaxial layer growth over nano-sheet architecture design for rp reduction
US11710519B2 (en) 2021-07-06 2023-07-25 Macronix International Co., Ltd. High density memory with reference memory using grouped cells and corresponding operations

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523606A (en) * 1993-10-07 1996-06-04 Nec Corporation BiCMOS semiconductor device having SiGe heterojunction and Si homo-junction transistors
US6271551B1 (en) * 1995-12-15 2001-08-07 U.S. Philips Corporation Si-Ge CMOS semiconductor device

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3599010A (en) * 1967-11-13 1971-08-10 Texas Instruments Inc High speed, low power, dynamic shift register with synchronous logic gates
JPH05152336A (ja) * 1991-11-28 1993-06-18 Nec Corp Soimosfet及びその製造方法
US5818076A (en) * 1993-05-26 1998-10-06 Semiconductor Energy Laboratory Co., Ltd. Transistor and semiconductor device
JP3383154B2 (ja) * 1996-06-20 2003-03-04 株式会社東芝 半導体装置
KR100243648B1 (ko) 1996-12-21 2000-03-02 정선종 선택적 재성장에 의한 고전자 이동도 트랜지스터 제조방법
US6274894B1 (en) * 1999-08-17 2001-08-14 Advanced Micro Devices, Inc. Low-bandgap source and drain formation for short-channel MOS transistors
US6319799B1 (en) * 2000-05-09 2001-11-20 Board Of Regents, The University Of Texas System High mobility heterojunction transistor and method
JP2001338988A (ja) * 2000-05-25 2001-12-07 Hitachi Ltd 半導体装置及びその製造方法
US6495402B1 (en) * 2001-02-06 2002-12-17 Advanced Micro Devices, Inc. Semiconductor-on-insulator (SOI) device having source/drain silicon-germanium regions and method of manufacture
JP2002237590A (ja) * 2001-02-09 2002-08-23 Univ Tohoku Mos型電界効果トランジスタ
US6406951B1 (en) * 2001-02-12 2002-06-18 Advanced Micro Devices, Inc. Fabrication of fully depleted field effect transistor with raised source and drain in SOI technology
US6605498B1 (en) * 2002-03-29 2003-08-12 Intel Corporation Semiconductor transistor having a backfilled channel material
WO2003105206A1 (en) * 2002-06-10 2003-12-18 Amberwave Systems Corporation Growing source and drain elements by selecive epitaxy
US6891192B2 (en) * 2003-08-04 2005-05-10 International Business Machines Corporation Structure and method of making strained semiconductor CMOS transistors having lattice-mismatched semiconductor regions underlying source and drain regions

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5523606A (en) * 1993-10-07 1996-06-04 Nec Corporation BiCMOS semiconductor device having SiGe heterojunction and Si homo-junction transistors
US6271551B1 (en) * 1995-12-15 2001-08-07 U.S. Philips Corporation Si-Ge CMOS semiconductor device

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102723342A (zh) * 2012-07-16 2012-10-10 西安电子科技大学 一种混合晶面垂直沟道应变BiCMOS集成器件及制备方法
CN102723341A (zh) * 2012-07-16 2012-10-10 西安电子科技大学 一种混合晶面应变Si垂直沟道BiCMOS集成器件及制备方法
CN102738179A (zh) * 2012-07-16 2012-10-17 西安电子科技大学 一种SOI应变SiGe CMOS集成器件及制备方法
CN102723342B (zh) * 2012-07-16 2015-05-20 西安电子科技大学 一种混合晶面垂直沟道应变BiCMOS集成器件及制备方法
CN102738179B (zh) * 2012-07-16 2015-08-19 西安电子科技大学 一种SOI应变SiGe CMOS集成器件及制备方法
CN102723341B (zh) * 2012-07-16 2015-09-16 西安电子科技大学 一种混合晶面应变Si垂直沟道BiCMOS集成器件及制备方法
CN104752216A (zh) * 2013-12-30 2015-07-01 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法
CN104752216B (zh) * 2013-12-30 2017-11-03 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法

Also Published As

Publication number Publication date
JP4812281B2 (ja) 2011-11-09
KR20050041881A (ko) 2005-05-04
US7368358B2 (en) 2008-05-06
US7057216B2 (en) 2006-06-06
CN1612353A (zh) 2005-05-04
JP2005217391A (ja) 2005-08-11
KR100633499B1 (ko) 2006-10-16
US20060128105A1 (en) 2006-06-15
US20050093021A1 (en) 2005-05-05

Similar Documents

Publication Publication Date Title
CN100405611C (zh) 高迁移率异质结互补场效应晶体管及其方法
US7098057B2 (en) Ultra high-speed Si/SiGe modulation-doped field effect transistors on ultra thin SOI/SGOI substrate
US6111267A (en) CMOS integrated circuit including forming doped wells, a layer of intrinsic silicon, a stressed silicon germanium layer where germanium is between 25 and 50%, and another intrinsic silicon layer
JP4299736B2 (ja) 超スケーラブルな高速ヘテロ接合垂直nチャネルmisfetおよびその方法
US7824968B2 (en) LDMOS using a combination of enhanced dielectric stress layer and dummy gates
US7687829B2 (en) Stressed field effect transistors on hybrid orientation substrate
CN100418198C (zh) 低泄漏异质结垂直晶体管及其高性能器件
US20080179636A1 (en) N-fets with tensilely strained semiconductor channels, and method for fabricating same using buried pseudomorphic layers
US20090045411A1 (en) Forming Embedded Dielectric Layers Adjacent to Sidewalls of Shallow Trench Isolation Regions
US8158498B2 (en) P-channel MOS transistor and fabrication process thereof
CN101243556A (zh) 包括受应力的栅极金属硅化物层的高性能mosfet及其制作方法
US20140167186A1 (en) Semiconductor device structures including strained transistor channels
JP2014038898A (ja) 半導体装置
US7135365B2 (en) Method of manufacturing MOS transistors
US20070252216A1 (en) Semiconductor device and a method of manufacturing such a semiconductor device
US8288757B2 (en) Semiconductor device and manufacturing method thereof
Huang et al. Investigation of electrical characteristics of vertical junction Si n-type tunnel FET
US7772060B2 (en) Integrated SiGe NMOS and PMOS transistors
Ohguro et al. 0.18/spl mu/m low voltage/low power RF CMOS with zero Vth analog MOSFETs made by undoped epitaxial channel technique
JPH0590517A (ja) 半導体装置及びその製造方法
US20080111153A1 (en) Electronic device including a heterojunction region and a process for forming the electronic device
Harame SiGe--materials, Processing, and Devices: Proceedings of the First International Symposium
KR20070070863A (ko) 반도체 소자 및 그 제조 방법
JPH04280682A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20171201

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171201

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

TR01 Transfer of patent right