JP3117331U - 半導体ウエハ処理システムにおけるシャワーヘッドのためのデュアルガスフェースプレート - Google Patents

半導体ウエハ処理システムにおけるシャワーヘッドのためのデュアルガスフェースプレート Download PDF

Info

Publication number
JP3117331U
JP3117331U JP2005008118U JP2005008118U JP3117331U JP 3117331 U JP3117331 U JP 3117331U JP 2005008118 U JP2005008118 U JP 2005008118U JP 2005008118 U JP2005008118 U JP 2005008118U JP 3117331 U JP3117331 U JP 3117331U
Authority
JP
Japan
Prior art keywords
gas distribution
distribution plate
gas
plate
flow path
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2005008118U
Other languages
English (en)
Inventor
ピー. ウモトイ サルヴァドル
チャン−ライ レイ ローレンス
エヌ. ニューエン アン
エイチ. チャオ スティーヴ
デー. ニューエン ハン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of JP3117331U publication Critical patent/JP3117331U/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】 フェースプレートが、反応チャンバ内のプロセス領域に到達する前に複数のガスが混合されることなく、複数のガスをプロセス領域に供給する複数のガス通路を有する、半導体ウエハ処理システムのシャワーヘッドのためのフェースプレートの提供。
【解決手段】 シャワーヘッドは、フェースプレートとガス分配マニホールドアセンブリを含んでいる。フェースプレートは、マニホールドアセンブリからフェースプレートを通ってプロセス領域に第1ガスを運搬する複数の第1ガス穴と、マニホールドアセンブリから第2ガスを受容する円周プレナムに複数の第2ガス穴を結合する複数の流路と、を画成している。フェースプレートとマニホールドアセンブリは、実質的に固体のニッケル成分から各々製造されている。
【選択図】 図1

Description

考案の背景
考案の分野
[0001]本考案は、半導体ウエハ処理システムに関し、更に詳細には、半導体ウエハ処理システムの反応チャンバへ少なくとも2種のプロセスガスを供給するガス分配シャワーヘッドに関する。
関連技術の説明
[0002]半導体ウエハ処理システムは、一般的に、プロセス領域の近くのチャンバ内に、半導体ウエハを支持するペデスタルを有する処理チャンバを含んでいる。チャンバは、部分的に、プロセス領域を画成する真空の囲いを形成している。ガス分配アセンブリ又はシャワーヘッドは、プロセス領域に1種以上のプロセスガスを供給する。その後、ガスは加熱され及び/又はウエハ上で一定のプロセスを行うプラズマを形成するためにエネルギーが供給される。これらのプロセスには、ウエハ上に膜を堆積させる化学気相堆積(CVD)やウエハから物質を除去するエッチング反応が含まれてもよい。
[0003]多数のガスを必要とするプロセスにおいて、通常、ガスは、混合チャンバ内で混合され、次に、コンジットによってシャワーヘッドに結合される。例えば、四塩化チタン(TiCl4)及びアンモニア(NH3)をプロセスガスとして用いる窒化チタン堆積において、2種のプロセスガスがそれぞれ、ヘリウムや水素などのキャリアガスとともに、混合チャンバに供給され、これらのガスが混合されて、気体混合物を形成する。気体混合物は、それから、気体混合物がプロセス領域に均一に分配されるように、複数の穴を有する分配プレートにコンジットを通って結合される。気体混合物がプロセス領域に入り、エネルギーが注入されると、四塩化チタンとアンモニアが化学的に反応して、窒化チタンを形成するように(即ち、TiCl4は、NH3によって還元される)、四塩化チタンとアンモニア間で化学反応が起こる。窒化チタンは、化学気相堆積反応においてウエハ上に堆積する。
[0004]他の2種のガスの化学気相反応が含まれる。テトラジエチルアミノチタン(TDEAT)をアンモニアと組み合わせて熱分解して窒化チタンを生成する;テトラジメチルアミノチタン(TDMAT)をアンモニア又は窒素水素混合物を組み合わせて熱分解して窒化チタンを生成する;又は六フッ化タングステン(WF6)を、水素(H2)を用いて還元してタングステンを生成する。ウエハの処理に2種以上のガスを必要とするこれらのケース及び他のいかなるケースにおいても、多数のガスがプロセス領域に均一に供給されることが必要である。
[0005]ガスがプロセス領域に均一に分配されることを確実にするために、通常は、プロセス領域へガスを放出する前に、ガスを混合することが都合が良いにもかかわらず、混合チャンバ内で、ガスは、還元を開始するか、又は他の反応を開始する傾向がある。従って、気体混合物がプロセス領域に到達する前に、混合チャンバ、コンジット、他のチャンバ構成部品の堆積又はエッチングが起こり得る。更に、生成物による反応物が、チャンバガス分配構成部品に蓄積しうる。
[0006]ガスが、分配プレートを出て、プロセス領域に入るまで、分離した通路中のガスを維持する努力として、1997年1月21日に発行された米国特許第5,595,606号(“606”特許)には、それらが分配プレートを出てプロセス領域に入るまで、別々の通路の2種のガスを維持するシャワーヘッドを形成する多数のブロックスタックが開示されている。このように、ガスがウエハ近くのプロセス領域に達するまで、ガスは、混合されたりし、お互いに反応することはない。
[0007]図14は、“606”特許の先行技術のシャワーヘッド50を示す断面図である。
そのシャワーヘッド50は、上部ブロック58、中央ブロック60及び下部ブロック62を含んでいる。シャワーヘッド50は、第1ガス通路54a、54b、54cの第1組(あわせて通路54)と、第2ガス通路52a、52b、52cの第2組(あわせて通路52)を含んでいる。通路52、54は、通路の独立性を保持するように、上部ブロック58から下部ブロック58へ分岐する。ガスは、ポート64を通して通路52に、ポート72を通して通路54に供給される。通路52と54は、中央ブロック60に形成されるマニホールド80と82を用いて分岐する。具体的には、通路52はマニホールド80を通じて分岐し、通路54はマニホールド82を通じて分岐する。
[0008]冷却流路84は、ガス出口78を冷却するため、ガス出口78の近く、下部ブロック62に設けられている。このような方法で、シャワーヘッド50は、プロセスガスの液化温度よりも低い温度に維持される。例えば、TDEATの場合、40℃より低い温度である。
[0009]ブロック58、60、62は、シャワーヘッド50中のガスを密封するためにブロック58、60、62の間に載置されたOリング90を介して互いに積み重ねられる。この種のOリング90は、ガスがシャワーヘッドの外へ洩出しないことを確実にするために効果的である一方、様々なブロックの連結部において、ガス通路52と54の間のリークにより、シャワーヘッド内でガスが混ざらないことを確実にすることにはあまり効果的ではない。そのようなガスの混合は、デュアルガス通路アセンブリの目的を失する。即ち、ガスが、下部ブロック62を出て、プロセス領域へ入るまで、ガスが完全に分離されるわけではない。更に、プロセスチャンバ内にOリングが存在すると、Oリング材料が破損したり、チャンバを汚染したり、更に悪い事には、ウエハ表面を汚染する可能性がある。
[0010]2000年にUmotoyらに発行された米国特許第6,086,677号は、アルミニウムから製造され、深さ0.2〜0.4ミルにニッケルでめっきされたフェースプレートを提供している。フェースプレートの様々なさまざまなキャビティ中や流路中へのニッケルめっきプロセスは高価である。更に、ニッケルめっき組成物は、より高いプロセス温度において分解する可能性がある。例えば、ニッケルめっきが約340℃より高い処理温度で分解し始めることが観察されている。一部の化学気相堆積処理ステップにおいて、処理領域は、最高約375℃の温度に至る。
[0011]従って、プロセス領域に到達する前にガスが混合しないように、少なくとも2種のガスをプロセス領域内へ運ぶシャワーヘッドが必要である。更に、シャワーヘッド内のガスを密封するためにエラストマーやソフトOリングを必要としないシャワーヘッドの構成が必要である。またさらに、340℃を上回る処理温度に耐える可能性がある固体ニッケルから製造されるデュアルガスフェースプレートが必要である。
考案の概要
[0012]先行技術に伴うある不利な点は、本明細書において記載されている半導体ウエハ処理システム用のフェースプレートとシャワーヘッドによって解決される。少なくとも一つの実施形態において、半導体ウエハ処理システムのためのフェースプレートが提供される。フェースプレートは、第2ガス分配プレートに結合される第1ガス分配プレートを含んでいる。第1及び第2双方のガス分配プレートは、固体ニッケル成分から製造される。第1ガス分配プレートと第2ガス分配プレートは、各々複数の穴を含み、双方のそれぞれのプレートを通って一列に並べられて伸びている。第2ガス分配プレートは、第2ガス分配プレートの下部を通って形成された複数の第2穴と、上部に形成された相互連結流路を含んでいる。相互連結流路は、複数の第2穴の上方に位置する。第1ガス分配プレートは、第2ガス分配プレートに結合されるときに、円周キャビティを画成する凹部下表面を有する。第2ガス分配プレートの相互連結流路は、複数の第1穴により画成された第2流路から独立したフェースプレートを通じて、第1流路を形成するために、複数の第2穴と、円周キャビティと流体連通されている。
[0013]少なくとも他の一実施形態において、半導体ウエハ処理システム用のシャワーヘッドが供給される。シャワーヘッドは、第1ガス分配プレート中の第1ガス穴に第1ガスを供給し、第2ガス分配プレート中の流路に第2ガスを供給するためのフェースプレートに結合されたガス分配マニホールドアセンブリを含んでいる。フェースプレートは、第2ガス分配プレートに結合される第1ガス分配プレートを含んでいる。第1と第2のガス分配プレートは双方とも、固体ニッケル成分から製造される。第1ガス分配プレートと第2ガス分配プレートは、各々、双方のそれぞれのプレートに一列に並んで伸びている複数の第1穴を含んでいる。第2ガス分配プレートは、更に、第2ガス分配プレートの下部を通って形成された複数の第2穴と、上部に形成された相互連結流路を含んでいる。相互連結流路は、複数の第2穴の上方に位置する。第1ガス分配プレートは、第2ガス分配プレートに結合されるときに、円周キャビティを画成する凹部下表面を有する。第2ガス分配プレートの相互連結流路は、複数の第1穴により画成された第2流路から独立したフェースプレートを通って第1流路を形成するために、複数の第2穴と円周キャビティと流体で連通している。
[0014]更に別の実施形態では、シャワーヘッドは、下部ガス分配プレート及び上部ガス分配プレートを有するフェースプレートに結合されたガス分配マニホールドアセンブリを含んでいる。下部ガス分配プレートと上部ガス分配プレートの各々は、固体のニッケル成分から製造される。フェースプレートは、下部ガス分配プレートと上部ガス分配プレートを通って、一連に並んで伸びている複数の第1ガス穴を有する。複数の第2ガス穴は、複数の相互連結流路へ下部ガス分配プレートを通って伸びている。相互連結流路は、上部ガス分配プレートを通って伸びている複数の第3ガス穴に接続している円周プレナムに結合される。ガス分配マニホールドアセンブリは、上部ガス分配プレート中の第1ガス穴に第1ガスを供給し、下部ガス分配プレート中の第3ガス穴と相互連結流路に第3ガスを供給する。
[0015]本考案の教示は、添付図面と共に以下の詳細な説明を考慮することによって、容易に理解することができる。
[0030]理解を容易にするために、図に共通して同一の要素を示すために、可能な部分では、同じ符号を用いた。
詳細な説明
[0031]図1は、本考案のシャワーヘッド114を利用している説明的半導体ウエハ処理反応チャンバ100を示す断面模式図である。チャンバ100はプロセス領域104を画成し、プロセス領域104は、ウエハ表面上に、材料を堆積し、又はウエハ表面から材料をエッチングするために用いられる。基板106、例えば、半導体ウエハは、プロセス領域104の近くに維持され、ペデスタル108の上表面上に支持される。ペデスタル108は、チャンバ100内を垂直に移動し(矢印110で示すように)、スリットバルブ112を通して基板106を取り出すことができる位置に下降する。下降位置にいる間、新たな基板106をペデスタル108に配置することができる。その後、示されるように、ペデスタル108は、処理位置に上昇し、ウエハ106は、プロセス領域近くに置かれる。プロセスガスは、シャワーヘッド114によって供給される。本考案の好適実施形態において、複数のガスがウエハ処理に用いられる。例示的には、2つのガスが用いられる。プロセスガス1(例えば、四塩化チタンTiCl4)とプロセスガス2(例えばアンモニアNH3)である。これらのガスは、ウエハを処理するため、即ち、ウエハ上の気相又はウエハの化学エッチングに必要な気体混合物を形成する。それぞれの供給源116と118からのプロセスガスは、バルブ120と122を通って、シャワーヘッド114まで達するチャンバ100の壁128まで通っているコンジット124と126にそれぞれ供給される。シャワーヘッド114は、チャンバ100のリッドを形成する。
[0032]シャワーヘッド114は、フェースプレート130とガス分配マニホールド132を含んでいる。ガス分配マニホールド32は、チャンバ壁128を通ってガスを運搬するコンジット124と126にそれぞれ結合される2本のコンジット134と136を備えている。シャワーヘッド114とチャンバ100の壁128間の接続面138のコンジットは、各々のコンジット124と126に外接するOリング140及び142を用いて効果的に密封される。第1プロセスガスは、フェースプレート130に第1プロセスガスを分配する円筒形チャンバ144にコンジット134を経て供給される。第2プロセスガスは、フェースプレート130に第2プロセスガスを分配する環状チャンバ146にコンジット136を経て供給される
[0033]フェースプレート130は、複数のガスを、プロセス領域104に到達する前に混合しないように、プロセス領域104に供給するための複数のガス通路を含んでいる。一つ以上の実施形態において、フェースプレート130は、下部ガス分配プレート148と上部ガス分配プレート150を含んでいる。2つのプレート148、150は、各々、2つのプロセスガスをプロセス領域104に入れるための2本の異なった通路を画成するさまざまな流路と穴を含んでいる。流路と穴の個々の構成は、下部ガス分配プレート148については、図3,図4に、上方ガス分配プレート150については、図6、図7、図8に詳述される。流路と穴の間の密封にOリングを用いないで流路を画成するためには、一体的なフェースプレート130を形成するため、下部及び上部ガス分配プレート148、150がお互いに融着される。フェースプレート130は、ガス分配マニホールド132に、好ましくはボルトで締められる(複数のボルト152を使用する)。フェースプレート130とマニホールド132の結合面は、各々1〜3mmの平坦度を有する。このように、それらの構成材は、Oリングを使用せずにボルトで締められることができ、ガス混合を避けるための十分な密封状態が生じる。フェースプレート130とマニホールドアセンブリ132は、340℃を上回る温度に耐えることができる固体ニッケル金属、例えば、固体のニッケル200シリーズ材料で製造される。
[0034]図2は、下部ガス分配プレート148を示す平面図である。図3は、図2の線3‐3に沿った下部ガス分配プレート148を示す部分断面図である。図4は、図2において表される下部ガス分配プレート148の一部分を示す詳細な平面図である。図5は、図4の線5‐5に沿った詳細な断面図である。下部ガス分配プレート148の開示内容を最も良く理解するために、読者は、同時に図2、3、4及び5を参照しなければならない。
[0035]図2‐図5を参照すると、下部ガス分配プレート148は、平面図が円形又はディスク状である。下部プレート148は、中心入口領域200及び周囲のフランジ202を有する。望ましくは、フランジ202は、ほぼ2.5mmの厚み幅を有する。一方、中心入口領域200はほぼ1.21cmの厚み幅を有する。中心領域200はフランジ202の幅によって定められる。それはほぼ2.54cmである。中心入口の領域200は、2組の穴204と206を含む。各々の穴204、206は、隣接穴からほぼ6.35mmの中心−中心間距離を有する。通常、第1ガスのための穴206(例えば、TiCl4のための穴は、0.025インチである)は、第2ガスのための穴204(例えば、NH3のための穴)とほぼ同じ大きさである。
[0036]下部ガス分配プレート148から出るそれぞれのガスのための穴204と206は、約700個が好ましい。しかしながら、各々のガスのための穴サイズ及び穴数の選択は、プロセス条件に基づく設計者の選択の問題である。この点で、穴サイズは、気体流速度、ガス圧、ガス種類、チャンバ圧などによって、変動する。穴サイズは、また、フェースプレート表面にわたって変化してもよい。穴を通るガス流量は、フェースプレート130の穴の位置と相関する。
[0037]第1ガスのための穴206は、中心入口領域200を通って伸びて、穴210によってくり広げられる。或いは、2枚のプレート148、150が一緒に蝋付けされた後、穴208と210を穿設することができる。中心入口領域200は、幅3.173mm及び深さ9.525mmを有する溝又は流路208を形成するために切断される。流路208は、水平(線201で示すように)から45°の角度で形成されて、穴204の上に配設される。流路208は“クリスクロス(十字)”パターンに切断され、流路の開口頂部が閉じられる時、第2ガスのためのガスマニホールドを形成する。従って、流路208が形成された後、正方形パターンの突起212(図4に示される)が穴206周辺に残される。正方形パターン(即ち、4つの等辺及び4つの直角)は、ダイヤモンド状の島パターン(即ち、4つの等辺と2つの鈍角)より、機械加工するのが容易であり、正方形カットは、ダイヤモンドカットよりも、バリ残りが少ない。
[0038]図6は、上部ガス分配プレート150を示す平面図である。図7は、図6の線7‐7に沿ったプレート150を示す断面図である。図8は、図7に示されるプレート150の一部分を示す分解断面図である。図6-図8を参照すると、上部ガス分配プレート150は、組み立ての際に、下部ガス分配プレート148のフランジ202に連結され載置される外部エッジ(フランジ支持体600)を有する。上部ガス分配プレート150の中央は、凹部602である。凹部602は、上部プレート150及び下部プレート150が組み合わさるように、実質的に下部ガス分配プレート148の、高い中心入口領域200と適合する。上部ガス分配プレート150は、中央に位置するほぼ1.6mmの直径を有する複数の穴604を含み、これらの穴は、下部ガス分配プレート148の第1ガスのための穴210と一列に並ぶ。更に、上部ガス分配プレート150の端部近くであって、フランジ押え600の内部は、下部ガス分配プレート148中の流路208にガスを分配するために用いられる複数の穴606がある。第1ガス穴206及び下部ガス分配プレート148のそれらに付随するカウンタボア210の配置に同様に適合するほぼ700の穴が、上部ガス分配プレート150にある。下部ガス分配プレート148の流路208にガスを供給するガス分配穴606は、各々ほぼ6.35mm.の直径を有する、8つの穴ができるように、上部ガス分配プレート150の周辺に配設される。
[0039]図9は、フェースプレート130の一部分を示す組み立て図である。フェースプレート130を取り付けるために、下部148及び上部150のガス分配プレートの表面は、1〜3mm以内に均一でなければならない。ニッケル板を溶解させるために、隣接表面は、シリコンリッチなアルミニウムで被覆されてもよい。下部148及び上部150の分配プレートは、それからお互いに固定され、そのアセンブリは、ガス分配プレート148、150がその中でお互いに融合される炉に載置される。この方法で、2つのプレートは1つの(即ち、一体的な)部材、即ち、フェースプレート130を形成する。或いは、ガス分配プレート148、150の各々は、固体のニッケル成分から製造され、蝋付けによって融着される。いずれの例においても、フェースプレート130の中でガスを保持するか又はガスの分離を維持するために、Oリングは必要ではない。
[0040]下部プレート148と上部プレート150は、フランジ202とフランジ押え600が接合する部分で融合する。具体的には、フランジ202とフランジ押え600は、外部エッジ902と融合し、フェースプレート130内部で、全てのガスを維持するための十分な密封を形成する。更に、上部ガス分配プレート150と下部ガス分配プレート148のフランジ202は、下部ガス分配プレート148中に形成されるガス流路208に、ガスを供給する円周プレナム900を形成する。穴606は、この円周プレナム900にガスを供給する。上部ガス分配プレート150は、流路208の最上部を形成し、均一な矩形断面の流路208が、第2プロセスガスを下部ガス分配プレート148の穴204に分配するために形成されるようになっている。上部ガス分配プレート150の穴604は、第1プロセスガスが妨げられずに分配プレート148と150の両方を通過し、チャンバ102のプロセス領域104に到達するように、下部ガス分配プレート148(図5に示す)の穴210と一列に並べられる。一旦融合すると、複数の取り付け穴904(ボルト頭(図示せず)がフェースプレート表面と同一高さを維持できるように、さらに穴が開けられる。)は、フェースプレート130をガス分配マニホールド132に添着するのを容易にするために、円周縁領域902中に形成される。
[0041]更に詳細にガス分配マニホールド132を考慮すると、図10は、ガス分配マニホールド132を示す平面図である。図11は、図10の線11‐11に沿ったガス分配マニホールド132を示す断面図である。図12は、図10に示されるガス分配マニホールド132を示す底面図である。図10‐図12を参照すると、ガス分配マニホールド132は、コンジット124と126(図1に示される)からフェースプレート130まで各々のプロセスガスを供給する。マニホールド132は、3つの構成要素;下部プレート1000、中央プレート1002、上部プレート1004を含んでいる。下部プレート1000は、フェースプレート130の直径と同じか又は実質的に同じ直径を有する第1キャビティ1006を含んでいる。第1キャビティ1006は、フェースプレート130と組み合わさるように設計されている。第2キャビティ1008は、フェースプレート130が、第1キャビティ1006への取付によって、マニホールド132に当接するときに、チャンバ144が画成されるように、第1キャビティ1006と共軸であるが、より小さい直径を有する。チャンバ144は、第1プロセスガスを上部ガス分配プレート150の穴604に分配する。中央に位置する穴1010は、中央穴1010から上部プレート1004の端部の近くまで延びるコンジット134と、チャンバ144を結合する。その位置で、コンジット134は、チャンバ壁102のコンジット124と結合する。コンジット134を形成するために、上部プレート1004は、ガスが流れるフライス削りされた流路を底表面に有する。流路は、中央プレート1002の最上面が流路134の底部を形成するように、中央プレート1002に上部プレート1004を取り付けることによって完成される。
[0042]コンジット126とチャンバ100の壁128からの第2プロセスガスとフェースプレート130を結合させるために、環状チャンバ146が、マニホールド132内で画成される。環状チャンバ146は、下部プレート1000の最上面の環状チャンネル146をフライス削りすることによって形成される。放射状の方向を有する流路1012は、各々の流路1012の末端の穴1014に、環状チャンネル146を接続する。更に、コンジット136を形成する流路が、環状流路146から接続面138でのコンジット結合位置まで伸びている下部プレート1000において形成される。環状流路146の最上面は、中央プレート1002によって閉じられる。閉じられた環状流路146は、第2プロセスガスをフェースプレート130中の分配プレナム900に結合する、放射状に伸びている流路1012と穴1014によって形成される。
[0043]ガス分配マニホールドアセンブリ132を製造するために、下部プレート、中央プレート、上部プレート1000、1002、1004は、結合面がシリコンリッチなアルミニウム膜で被覆されてもよい。或いは、下部プレート1000、中央プレート1002、及び上部プレート1004は、固体のニッケル200シリーズ材料から製造される。全てのマニホールドアセンブリ132は、それから、お互いに接触面を融合させて、一体的マニホールドアセンブリ132を形成するために、約550℃の温度で、燃焼加熱炉に固定されて、載置される。このように、プロセスガス間の分離を維持するために、Oリングは必要でない。前述の実施形態のシャワーヘッド114は、10-5Torrの真空試験において検査され、各々のガス流入コンジット134と136に供給されるガスの間で、混合及び交差汚染は、経験されなかった。
[0044]本明細書において、上で又は他で記載されている実施形態のいずれかにおいて、シャワーヘッド114は、シャワーヘッド114を均一で一定の温度に維持することができる冷却プレート又は他の冷却構成材と結合されることができる。このような冷却プレートは、冷却プレートがガス分配マニホールド132の上部に冷却プレートが載置されている間、冷却剤が冷却プレート内を通って循環するように切断された冷却流路か、さもなければ、内部に形成された冷却流路を有する機構を用いて形成することができる。マニホールドアセンブリ132の上部に取り付けられる冷却プレート1100の図示される配置は、図11に示される。
[0045]図13は、フェースプレート1300の別の実施例の一部分の断面図を表す。この実施形態は、上部ガス分配プレート1302及び下部ガス分配プレート1304を含む。下部ガス分配プレート1304は、プレート1304が複数のガス分配穴を画成するという点で、先に述べた下部ガス分配プレート(図9の148)より小さい(1組の穴1306は第1ガスを分配するためにあり、1組の穴1308は第2ガスを分配するためにある)。その他の穴は、下部プレート1304の上部1310からくり広げられる。垂直方向の管状コンジット(チューブ)1312の一端は、各々のカウンタボアに位置する。各々のチューブ1312の他端は、上部ガス分配プレート1302の穴1320を通過する。上下のガス分配プレート1302と1304及びチューブ1312は、やはり固体ニッケルで製造される。一旦取り付けられると、前述の実施形態に記載されているのと同様に、フェースプレート1300は、炉内に載置され、接触面を蝋付けするために加熱される。
[0046]チューブ1312の各々は、第2ガスが、ガス分配穴1308に到達するように、第2ガスのためのガス流路を画成する。上部ガス分配プレート1302の下表面1314と下部ガス分配プレート1304の上表面1310は、第1ガスをガス分配穴1306に分配するキャビティ1316を画成する。第1ガスは、一つ以上の入口1318を経てキャビティ1316に供給される。ガスマニホールド(図示されないが、図1のマニホールドアセンブリ132と同一である)は、フェースプレート1300と結合されて、第1ガスを入口1318に、第2ガスをフェースプレート1300のチューブ1312に供給する。このフェースプレートの実施形態を含んでいるシャワーヘッドの取付及び動作は、前述の実施例と同一である。
[0047]本明細書において上で又は他で記載されているいかなる実施形態のその他の製造プロセスは、フェースプレート構造を“積み重ねる”ためにスタッキングダイカット層を含んでいる(各層は、約5mm厚)。積み重ね又は貼り合せられた層は、それから炉に載置されて、一体的なフェースプレートに融合される。フェースプレートの材料は、固体のニッケルである。本考案の教示を組み込む各種実施形態が詳細に本明細書において図と共に記載されたにもかかわらず、当業者はまだこれらの教示(後に続くものも含む)を組み込んだ多くの他の様々な実施形態を容易に構成することができる。
[0048]少なくとも一つの個々の実施形態において、シャワーヘッドは、一体的フェースプレートとガス分配マニホールドアセンブリを有する。フェースプレートは、上部ガス分配プレートと下部ガス分配プレートの各々から製造され、それらは一緒に蝋付けされるか又は融合されて、一体的フェースプレートを形成する。プレートの各々は、固体のニッケル材料、例えば、固体のニッケル200シリーズ材料から製造される。プロセスガスは、ガス分配マニホールドアセンブリによってフェースプレートのさまざまな流路へ、別々に運搬される。ガス分配間にホールドアセンブリは、上部ガス分配プレートの裏又は最上面にボルトで締められる。任意選択で、シャワーヘッドを所定の温度に維持するために、冷却プレートを、ガス分配マニホールドアセンブリにボルトで締めることができる。
[0049]上の又は他の本明細書における一つ以上の実施形態において、上下のガス分配プレートは、各々、下部プレート及び上部プレートの両方を通って、一列に並べられて伸びている複数のガス穴を備えている。フェースプレートの上部ガス分配プレートは、複数の第1ガス穴にガスを供給するチャンバを含む。第1プロセスガスは、上部チャンバの複数の穴を通って供給される。第1ガス穴は、プロセス領域に第1ガスを分配する。前述のように、下部ガス分配プレートは、同様に、上部ガス分配プレートの穴と一列に並ぶ複数の穴を含んでいる。下部ガス分配プレートは、上部プレートの下に配置される。このように、第1プロセスガスは、汚染されない純粋な状態で、プロセス領域に分配される。一つのアレンジメントにおいては、プロセス領域に、より均一なガスを分配するために、ガス分配プレートの表面に、ガス分配穴を均等に分布させて、下部ガス分配プレートを、円形の平面図形態を有するようにする。
[0050]上の又は他の本明細書における一つ以上の実施形態において、下部ガス分配プレートを通って伸び、複数の相互連結流路によって接続される、複数の第2ガス穴が設けられる。相互連結流路は、第2プロセスガスを導入する円周プレナムと結合される。第2ガス穴は、円周プレナムによって第2プロセスガスと流体連通する。複数の第2ガス穴及びそれらの相互連結流路は、複数の第1ガス穴の各々に関して密封される。このように、フェースプレートの中で別々のガスが流体連通することが排除される。
[0051]上の又は他の本明細書における一つ以上の実施形態において、上部ガス分配プレートの底表面は、下部ガス分配プレートの最上面に結合され、融合される。この点で、上部ガス分配プレートの底部の平坦面が、第2ガスを運搬するマニホールド流路の最上面を形成する。マニホールド流路の全ては、下部ガス分配プレートの外部エッジの近くに位置する円周プレナムによって相互に結合される。複数の穴は、ガスを円周プレナムへ供給するために、円周プレナム中の上部ガス分配プレートの端部近くに穿設される。ガスは、下部ガス分配プレートの第2ガス穴にガスを供給するマニホールド流路と結合される。
[0052]上の又は他の本明細書における一つ以上の実施形態において、下部及び上部のガス分配プレートは、フェースプレートの中でOリングを使用しないようにするために、融合される。一つのアレンジメントにおいて、融合は、まず3〜5mm厚み幅のシリコンリッチなアルミニウム膜又は薄膜を接触面に付加することによって行われる。次に、2枚のガス分配プレートは、相互に固定される。フェースプレートは、それからほぼ550℃の温度で、真空チャンバ内部で加熱される。このような方法で、ガス分配プレートは、プレートがお互いに接触する位置で融合される。他のアレンジメントにおいて、ガス分配プレートの各々は、固体のニッケル200シリーズ材料から製造される。蝋付けされた表面は、ガスが、上部ガス分配プレートから下部ガス分配プレートに移行するときに、ガスの分離を維持する適当な密封を形成するために、好ましくは1〜3mmの平坦度を有する。固体のニッケルプレートは、望ましいコンタクトシールを得るために蝋付けされる。
[0053]前述が本考案の実施形態に関する一方、その他及び本考案の別の実施例は、本考案の基本的範囲から逸脱することなく、案出されることができ、本考案の範囲は、添付された実用新案登録請求の範囲で決定される。
図1は、本考案のシャワーヘッドを含んでいる半導体ウエハプロセスリアクタを示す概略断面図である。 図2は、下部ガス分配プレートを示す平面図である。 図3は、図2の線3‐3に沿った下部ガス分配プレートを示す部分断面図である。 図4は、下部ガス分配プレートの一部分を示す詳細な平面図である。 図5は、図4の線5‐5に沿った下部ガス分配プレートの一部分を示す詳細な断面図である。 図6は、上部ガス分配プレートを示す平面図である。 図7は、図6の線7‐7に沿った上部ガス分配プレートを示す部分断面図である。 図8は、図7に示される上部ガス分配プレートの一部分を示す分解断面図を表す。 図9は、本考案のシャワーヘッド用フェースプレートを形成している下部及び上部ガス分配プレートの取り付け部分を示す詳細な断面図である。 図10は、ガス分配マニホールドアセンブリを示す平面図である。 図11は、図10の線11‐11に沿ったガスアセンブリを示す断面図である。 図12は、ガス分配マニホールドアセンブリを示す底面図である。 図13は、シャワーヘッドの一部分の別の実施形態を示す断面図である。 図14は、従来の技術のデュアルガスシャワーヘッドを示す断面立体分解図である。
符号の説明
50…シャワーヘッド、52…ガス通路、54…ガス通路、58…上部ブロック、60…中央ブロック、62…下部ブロック、72…ポート、78…出口、80…マニホールド、82…マニホールド、84…流路、100…チャンバ、104…プロセス領域、106…基板、108…ペデスタル、112…スリットバルブ、114…シャワーヘッド、116…供給源、118…供給源、124…コンジット、126…コンジット、128…壁、130…フェースプレート、132…ガス分配マニホールド、134…コンジット、140…Oリング、142…Oリング、144…円筒状チャンバ、146…環状チャンバ、148…下部ガス分配プレート、150…上部ガス分配プレート、152…ボルト、200…中心入口領域、202…フランジ、204…穴、206…穴、208…流路、210…穴、212…正方形状凸部、600…フランジ支持体、602…凹部、606…穴、900…周囲プレナム、902…周囲エッジ領域、904…穴、1000…下部プレート、1002…中央プレート、1004…上部プレート、1100…冷却プレート、1012…流路、1300…フェースプレート、1302…上部ガス分配プレート、1304…下部ガス分配プレート、1308…穴、1310…上側、1312…チューブ、1316…キャビティ、1318…入口。

Claims (20)

  1. 半導体ウエハ処理システムのためのフェースプレートであって、
    各プレートが固体ニッケル成分から製造された、第2ガス分配プレートに結合された第1ガス分配プレートであって、
    該第1ガス分配プレートと該第2ガス分配プレートが、それぞれ、下部ガス分配プレート及と上部ガス分配プレートの双方を通って一列に並んで伸びている複数の第1穴を備え、
    第2ガス分配プレートが、その下部を通って形成された複数の第2穴を含み、その上部で、該複数の第2穴の上方に形成された複数の相互連結流路を含み、
    該第1ガス分配プレートが、該第2ガス分配プレートに結合したときに、円周キャビティを画成する下方凹表面を有し、該複数の第1穴によって画成された第2流路から独立した該フェースプレートを通る第1流路を形成するために、該第2ガス分配プレートの該相互連結流路が、該複数第2穴と該円周キャビティと流体連通している、前記プレート、
    を備えている、前記フェースプレート。
  2. 該第2ガス分配プレートの該相互連結流路が、クリスクロス(criss-cross)パターンに形成されている、請求項1記載のフェースプレート。
  3. 該相互連結流路が切断されて、該第2ガス分配プレートの該上部において正方形状凸部を形成している、請求項1記載のフェースプレート。
  4. 該第1ガス分配プレートが、該第1ガス分配プレートを該第2ガス分配プレートに蝋付けすることによって該第2ガス分配プレートに結合されている、請求項1記載のフェースプレート。
  5. 該第1ガス分配プレートと該第2ガス分配プレートを通る該複数の第1穴が、該第1ガス分配プレートと該第2ガス分配プレートが共に蝋付けされた後に穿設されている、請求項4記載のフェースプレート。
  6. 半導体ウエハ処理システムのためのシャワーヘッドであって、
    固体ニッケル成分から製造された一体的構造を有するフェースプレートであって、
    該フェースプレートが、第1ガス分配プレートと第2ガス分配プレートを含み、各々がそれを通じて一列に並んで伸びている複数の第1穴を有し、
    該第2ガス分配プレートが、その下部を通って形成された複数の第2穴と、その上部、該複数の第2穴の上方に形成された複数の相互連結流路を含み、
    該第1ガス分配プレートが、該第2ガス分配プレートに結合したときに、円周空間を画成する下方凹表面を有し、該複数の第1穴によって画成された第2流路から独立した該フェースプレートを通る第1流路を形成するために、該第2ガス分配プレートの該相互連結流路が該複数の第2穴と該円周キャビティと流体連通している、前記フェースプレートと、
    第1ガスを該第1ガス分配プレート中の該第1ガス穴へ、また、第2ガスを該第2ガス分配プレート中の該流路へ供給するために該フェースプレートに結合されたガス分配マニホールドアセンブリと、
    を備えている、前記シャワーヘッド。
  7. 冷却プレートが、前記ガス分配マニホールドアセンブリに添着されている、請求項6記載のシャワーヘッド。
  8. 該第2ガス分配プレート中の該相互連結流路が、クリスクロスパターンに形成され、該第2ガス分配プレートの該上部において、該相互連結流路が切断されて正方形状凸部を形成している、請求項6記載のシャワーヘッド。
  9. 正方形状凸部が、該内部ガス分配キャビティ内へ伸び、それを通る流路を画成している、請求項8記載のシャワーヘッド。
  10. 該第1ガス分配プレートを該第2ガス分配プレートへ蝋付けすることにより該フェースプレートが形成されている、請求項6記載のシャワーヘッド。
  11. 該ガス分配マニホールドが、更に、該第1ガス分配プレート中の該複数の第1穴へ該第1ガスを供給する円筒形の第1ガス流路を備えている、請求項6記載のシャワーヘッド。
  12. 該ガス分配マニホールドが、更に、環状キャビティと、該第2ガスを該周囲プレナムへ供給する該環状キャビティから伸びている放射状流路と、を有する第2ガス流路を備えている、請求項11記載のシャワーヘッド。
  13. 半導体ウエハ処理システムのためのシャワーヘッドであって、
    上部ガス分配プレートに結合した下部ガス分配プレートを有するフェースプレートであって、
    該下部ガス分配プレートと該上部ガス分配プレートの各々が、固体ニッケル成分から製造され、
    該フェースプレートが、該下部ガス分配プレートと前記上部ガス分配プレートの双方を通って、一列に並んで伸びている複数の第1ガス穴と、複数の相互連結流路へ該下部ガス分配プレートを通って伸びている複数の第2ガス穴とを有し、該相互連結流路が該上部ガス分配プレートを通って伸びている第3ガス穴に接続されている周囲プレナムに結合されている、前記フェースプレートと、
    前記上部ガス分配プレート中の該第1ガス穴に第1ガス、また、前記下部ガス分配プレート中の該第3ガス穴と流路に第2ガスを供給するために前記フェースプレートに結合されたガス分配マニホールドアセンブリと、
    を備えている、前記シャワーヘッド。
  14. 該下部ガス分配プレート中の該相互連結流路が、クリスクロスパターンに形成されている、請求項13記載のシャワーヘッド。
  15. 該相互連結流路が、該下部ガス分配プレートの上部において切断されて正方形状凸部を形成している、請求項14記載のシャワーヘッド。
  16. 該フェースプレートが、該上部ガス分配プレートと該下部ガス分配プレートを蝋付けすることにより形成されている、請求項13記載のシャワーヘッド。
  17. 該ガス分配マニホールドが、更に、
    該第1ガスを該上部ガス分配プレート中の該複数の第1ガス穴へ供給する円筒形状の第1ガス流路と、
    環状キャビティと、該第2ガスを該周囲プレナムに供給する該環状キャビティから伸びている放射状流路と、を有する第2ガス流路と、
    を備えている、請求項13記載のシャワーヘッド。
  18. 該上部ガス分配プレートと該下部ガス分配プレートを通る該複数の第1穴が、該上部ガス分配プレートと該下部ガス分配プレートが共に蝋付けされた後に穿設される、請求項16記載のシャワーヘッド。
  19. 該固体ニッケル成分が、Ni200シリーズ材料を含んでいる、請求項13記載のシャワーヘッド。
  20. 該第1穴がそれを通って形成されたものの間の該上部ガス分配プレートの一部分が、該下部ガス分配プレートの該正方形状凸部の上表面に蝋付けされている、請求項16記載のシャワーヘッド。
JP2005008118U 2004-07-29 2005-10-03 半導体ウエハ処理システムにおけるシャワーヘッドのためのデュアルガスフェースプレート Expired - Fee Related JP3117331U (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/901,768 US20060021703A1 (en) 2004-07-29 2004-07-29 Dual gas faceplate for a showerhead in a semiconductor wafer processing system

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2005221989 Continuation 2005-07-29

Publications (1)

Publication Number Publication Date
JP3117331U true JP3117331U (ja) 2006-01-05

Family

ID=35730810

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005008118U Expired - Fee Related JP3117331U (ja) 2004-07-29 2005-10-03 半導体ウエハ処理システムにおけるシャワーヘッドのためのデュアルガスフェースプレート

Country Status (4)

Country Link
US (1) US20060021703A1 (ja)
JP (1) JP3117331U (ja)
CN (1) CN2848367Y (ja)
TW (1) TWM290304U (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009031413A1 (ja) * 2007-09-06 2009-03-12 Tokyo Electron Limited 天板及びこれを用いたプラズマ処理装置
US8925351B2 (en) 2006-01-20 2015-01-06 Tokyo Electron Limited Manufacturing method of top plate of plasma processing apparatus
CN115516131A (zh) * 2020-07-08 2022-12-23 应用材料公司 多通道喷头设计及其制造方法
JP7529889B2 (ja) 2020-07-21 2024-08-06 アプライド マテリアルズ インコーポレイテッド 半導体処理システム用の分配構成要素

Families Citing this family (475)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4306403B2 (ja) * 2003-10-23 2009-08-05 東京エレクトロン株式会社 シャワーヘッド構造及びこれを用いた成膜装置
US20070234956A1 (en) * 2006-04-05 2007-10-11 Dalton Jeremie J Method and apparatus for providing uniform gas delivery to a reactor
CN100451163C (zh) * 2006-10-18 2009-01-14 中微半导体设备(上海)有限公司 用于半导体工艺件处理反应器的气体分布装置及其反应器
US20080099147A1 (en) * 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
JP2008186865A (ja) * 2007-01-26 2008-08-14 Tokyo Electron Ltd 基板処理装置
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
CN101489344B (zh) * 2008-01-14 2011-07-06 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备、其气体分配装置及工艺气体提供方法
US20100018463A1 (en) * 2008-07-24 2010-01-28 Chen-Hua Yu Plural Gas Distribution System
CN100568453C (zh) * 2008-08-22 2009-12-09 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备、气体分配装置以及气体输送方法
US9121096B2 (en) * 2008-10-10 2015-09-01 Alta Devices, Inc. Concentric showerhead for vapor deposition
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
CN103352206B (zh) * 2008-12-04 2015-09-16 威科仪器有限公司 用于化学气相沉积的进气口元件及其制造方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN101643904B (zh) * 2009-08-27 2011-04-27 北京北方微电子基地设备工艺研究中心有限责任公司 深硅刻蚀装置和深硅刻蚀设备的进气系统
US9449859B2 (en) * 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US20110097487A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including bonded plates
US20110097488A1 (en) * 2009-10-27 2011-04-28 Kerr Roger S Fluid distribution manifold including mirrored finish plate
US20110198034A1 (en) * 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
US8845806B2 (en) * 2010-10-22 2014-09-30 Asm Japan K.K. Shower plate having different aperture dimensions and/or distributions
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
TWI461566B (zh) * 2011-07-01 2014-11-21 Ind Tech Res Inst 鍍膜用噴灑頭以及鍍膜裝置
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9109754B2 (en) 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US8955547B2 (en) 2011-10-19 2015-02-17 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9610591B2 (en) * 2013-01-25 2017-04-04 Applied Materials, Inc. Showerhead having a detachable gas distribution plate
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9837559B2 (en) * 2013-03-13 2017-12-05 China Sunergy (Nanjing) Co. Ltd. Soldering system
CN103219223A (zh) * 2013-03-14 2013-07-24 上海华力微电子有限公司 一种去除晶圆残留溴化氢的装置及方法
CN103451627A (zh) * 2013-06-04 2013-12-18 北京希睿思科技有限公司 一体式气相沉积用喷淋头
CN103320852A (zh) * 2013-06-14 2013-09-25 光垒光电科技(上海)有限公司 用于外延沉积的反应腔
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
JP6336719B2 (ja) * 2013-07-16 2018-06-06 株式会社ディスコ プラズマエッチング装置
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9353440B2 (en) 2013-12-20 2016-05-31 Applied Materials, Inc. Dual-direction chemical delivery system for ALD/CVD chambers
JP6219179B2 (ja) * 2014-01-20 2017-10-25 東京エレクトロン株式会社 プラズマ処理装置
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10497542B2 (en) * 2016-01-04 2019-12-03 Daniel T. Mudd Flow control showerhead with integrated flow restrictors for improved gas delivery to a semiconductor process
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
USD790039S1 (en) 2016-04-08 2017-06-20 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
USD794753S1 (en) 2016-04-08 2017-08-15 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
USD793526S1 (en) 2016-04-08 2017-08-01 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
CN110050333B (zh) 2016-12-08 2023-06-09 应用材料公司 时间性原子层沉积处理腔室
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US20180308661A1 (en) 2017-04-24 2018-10-25 Applied Materials, Inc. Plasma reactor with electrode filaments
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
KR20200087267A (ko) 2017-12-08 2020-07-20 램 리써치 코포레이션 리모트 플라즈마 막 증착을 인에이블하도록 다운스트림 챔버로 라디칼 및 전구체 가스를 전달하기 위해 개선된 홀 패턴을 갖는 통합된 샤워헤드
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10948824B2 (en) * 2018-06-28 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Dispensing nozzle design and dispensing method thereof
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
WO2020028062A1 (en) * 2018-07-31 2020-02-06 Applied Materials, Inc. Methods and apparatus for ald processes
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11186910B2 (en) 2018-09-14 2021-11-30 Applied Materials, Inc. Apparatus for multi-flow precursor dosage
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2024010692A1 (en) * 2022-07-08 2024-01-11 Lam Research Corporation Multi-plenum gas manifolds for substrate processing systems
WO2024158762A1 (en) * 2023-01-27 2024-08-02 Lam Research Corporation Showerhead for supplying metastable activated radicals
CN117418217B (zh) * 2023-12-18 2024-08-27 上海谙邦半导体设备有限公司 一种匀气装置及化学气相沉积匀气系统

Family Cites Families (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4209357A (en) * 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4262631A (en) * 1979-10-01 1981-04-21 Kubacki Ronald M Thin film deposition apparatus using an RF glow discharge
JPS59128281A (ja) * 1982-12-29 1984-07-24 信越化学工業株式会社 炭化けい素被覆物の製造方法
US4570328A (en) * 1983-03-07 1986-02-18 Motorola, Inc. Method of producing titanium nitride MOS device gate electrode
JPH07111957B2 (ja) * 1984-03-28 1995-11-29 圭弘 浜川 半導体の製法
US4759947A (en) * 1984-10-08 1988-07-26 Canon Kabushiki Kaisha Method for forming deposition film using Si compound and active species from carbon and halogen compound
US4892753A (en) * 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US5871811A (en) * 1986-12-19 1999-02-16 Applied Materials, Inc. Method for protecting against deposition on a selected region of a substrate
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4792378A (en) * 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
US4951603A (en) * 1988-09-12 1990-08-28 Daidousanso Co., Ltd. Apparatus for producing semiconductors
US5160544A (en) * 1990-03-20 1992-11-03 Diamonex Incorporated Hot filament chemical vapor deposition reactor
US5304248A (en) * 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
US5314724A (en) * 1991-01-08 1994-05-24 Fujitsu Limited Process for forming silicon oxide film
US5238866A (en) * 1991-09-11 1993-08-24 GmbH & Co. Ingenieurburo Berlin Biotronik Mess- und Therapiegerate Plasma enhanced chemical vapor deposition process for producing an amorphous semiconductive surface coating
JPH0811718B2 (ja) * 1992-02-27 1996-02-07 大同ほくさん株式会社 ガスソース分子線エピタキシー装置
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
KR100324792B1 (ko) * 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5824158A (en) * 1993-06-30 1998-10-20 Kabushiki Kaisha Kobe Seiko Sho Chemical vapor deposition using inductively coupled plasma and system therefor
US5465680A (en) * 1993-07-01 1995-11-14 Dow Corning Corporation Method of forming crystalline silicon carbide coatings
US5647911A (en) * 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
KR950020993A (ko) * 1993-12-22 1995-07-26 김광호 반도체 제조장치
US5589002A (en) * 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
GB9410567D0 (en) * 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5628829A (en) * 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
GB9411911D0 (en) * 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
JP3247270B2 (ja) * 1994-08-25 2002-01-15 東京エレクトロン株式会社 処理装置及びドライクリーニング方法
US5746875A (en) * 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5643394A (en) * 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5660472A (en) * 1994-12-19 1997-08-26 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
JPH08181276A (ja) * 1994-12-26 1996-07-12 Toshiba Corp 半導体装置の製造方法
JPH08181210A (ja) * 1994-12-26 1996-07-12 Toshiba Corp 半導体装置の製造方法
US5818071A (en) * 1995-02-02 1998-10-06 Dow Corning Corporation Silicon carbide metal diffusion barrier layer
US5653806A (en) * 1995-03-10 1997-08-05 Advanced Technology Materials, Inc. Showerhead-type discharge assembly for delivery of source reagent vapor to a substrate, and CVD process utilizing same
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US5792269A (en) * 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
US5906683A (en) * 1996-04-16 1999-05-25 Applied Materials, Inc. Lid assembly for semiconductor processing chamber
US5780163A (en) * 1996-06-05 1998-07-14 Dow Corning Corporation Multilayer coating for microelectronic devices
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5781693A (en) * 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US5711987A (en) * 1996-10-04 1998-01-27 Dow Corning Corporation Electronic coatings
US5730792A (en) * 1996-10-04 1998-03-24 Dow Corning Corporation Opaque ceramic coatings
US5776235A (en) * 1996-10-04 1998-07-07 Dow Corning Corporation Thick opaque ceramic coatings
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
TW415970B (en) * 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
US6051286A (en) * 1997-02-12 2000-04-18 Applied Materials, Inc. High temperature, high deposition rate process and apparatus for depositing titanium layers
JP3702068B2 (ja) * 1997-04-09 2005-10-05 東京エレクトロン株式会社 被処理基板の処理装置
US6024799A (en) * 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
US6080446A (en) * 1997-08-21 2000-06-27 Anelva Corporation Method of depositing titanium nitride thin film and CVD deposition apparatus
US6258170B1 (en) * 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6140226A (en) * 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6413583B1 (en) * 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6593247B1 (en) * 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6660656B2 (en) * 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6287990B1 (en) * 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6123259A (en) * 1998-04-30 2000-09-26 Fujitsu Limited Electronic shopping system including customer relocation recognition
KR100505310B1 (ko) * 1998-05-13 2005-08-04 동경 엘렉트론 주식회사 성막 장치 및 방법
US6159871A (en) * 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6263829B1 (en) * 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
JP3645768B2 (ja) * 1999-12-07 2005-05-11 シャープ株式会社 プラズマプロセス装置
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
AU2001247685A1 (en) * 2000-03-30 2001-10-15 Tokyo Electron Limited Method of and apparatus for tunable gas injection in a plasma processing system
KR100406174B1 (ko) * 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
US6461435B1 (en) * 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
US6641673B2 (en) * 2000-12-20 2003-11-04 General Electric Company Fluid injector for and method of prolonged delivery and distribution of reagents into plasma
CN1302152C (zh) * 2001-03-19 2007-02-28 株式会社Ips 化学气相沉积设备
US6562735B1 (en) * 2001-12-11 2003-05-13 Lsi Logic Corporation Control of reaction rate in formation of low k carbon-containing silicon oxide dielectric material using organosilane, unsubstituted silane, and hydrogen peroxide reactants
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US7008484B2 (en) * 2002-05-06 2006-03-07 Applied Materials Inc. Method and apparatus for deposition of low dielectric constant materials
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US20040173313A1 (en) * 2003-03-03 2004-09-09 Bradley Beach Fire polished showerhead electrode

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8925351B2 (en) 2006-01-20 2015-01-06 Tokyo Electron Limited Manufacturing method of top plate of plasma processing apparatus
WO2009031413A1 (ja) * 2007-09-06 2009-03-12 Tokyo Electron Limited 天板及びこれを用いたプラズマ処理装置
CN115516131A (zh) * 2020-07-08 2022-12-23 应用材料公司 多通道喷头设计及其制造方法
JP7529889B2 (ja) 2020-07-21 2024-08-06 アプライド マテリアルズ インコーポレイテッド 半導体処理システム用の分配構成要素

Also Published As

Publication number Publication date
CN2848367Y (zh) 2006-12-20
US20060021703A1 (en) 2006-02-02
TWM290304U (en) 2006-05-01

Similar Documents

Publication Publication Date Title
JP3117331U (ja) 半導体ウエハ処理システムにおけるシャワーヘッドのためのデュアルガスフェースプレート
JP5658701B2 (ja) 半導体ウェーハ処理システムのシャワーヘッドのための上下続きの2つのガスのフェースプレート
US6086677A (en) Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US11501956B2 (en) Semiconductor reaction chamber showerhead
JP4564656B2 (ja) デュアルチャネル・ガス分配プレート
US6544340B2 (en) Heater with detachable ceramic top plate
JP4630226B2 (ja) シャワーヘッドを用いた化学気相蒸着方法及びその装置
KR100629358B1 (ko) 샤워 헤드
US8216419B2 (en) Drilled CVD shower head
US20100263588A1 (en) Methods and apparatus for epitaxial growth of semiconductor materials
TW201217062A (en) Multiple section showerhead assembly
KR20030007175A (ko) 모듈형 인젝터 및 배기 어셈블리
US7588804B2 (en) Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
KR20140103080A (ko) 온도 제어를 구비한 다중 플레넘 샤워헤드
KR20100099535A (ko) 기판처리장치 및 그의 제조방법
KR20090031338A (ko) 샤워헤드를 구비한 반도체 소자 제조 장비
KR101765754B1 (ko) 샤워헤드 및 이를 포함하는 반도체 기판 가공 장치
US11944988B2 (en) Multi-zone showerhead
US20230383406A1 (en) Axially cooled metal showerheads for high temperature processes
KR200398880Y1 (ko) 반도체 웨이퍼 처리 시스템 내의 샤워헤드용 듀얼 가스페이스플레이트
TWM464459U (zh) 金屬有機化學氣相沉積反應器的氣體分佈裝置及反應器
JP3498617B2 (ja) ガス導入管及びこれを用いた薄膜成長装置
CN115672579A (zh) 带有高密实度集气室的喷头
KR101486801B1 (ko) 증착챔버의 노즐장치 및 그 제조방법
WO2024054344A1 (en) Gas cooling cover for an exhaust line of a substrate processing system

Legal Events

Date Code Title Description
R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081124

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091124

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101124

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101124

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111124

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111124

Year of fee payment: 6

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

LAPS Cancellation because of no payment of annual fees