JP2022507783A - 整形dcパルスプラズマ処理装置におけるエッジリング制御のための回路 - Google Patents

整形dcパルスプラズマ処理装置におけるエッジリング制御のための回路 Download PDF

Info

Publication number
JP2022507783A
JP2022507783A JP2021527839A JP2021527839A JP2022507783A JP 2022507783 A JP2022507783 A JP 2022507783A JP 2021527839 A JP2021527839 A JP 2021527839A JP 2021527839 A JP2021527839 A JP 2021527839A JP 2022507783 A JP2022507783 A JP 2022507783A
Authority
JP
Japan
Prior art keywords
edge ring
substrate
voltage
control circuit
voltage control
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2021527839A
Other languages
English (en)
Other versions
JP7246478B2 (ja
Inventor
リンイン サイ,
ジェームズ ロジャーズ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022507783A publication Critical patent/JP2022507783A/ja
Application granted granted Critical
Publication of JP7246478B2 publication Critical patent/JP7246478B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/04Means for controlling the discharge
    • H01J2237/049Focusing means
    • H01J2237/0492Lens systems
    • H01J2237/04924Lens systems electrostatic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/10Lenses
    • H01J2237/12Lenses electrostatic
    • H01J2237/1202Associated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3344Problems associated with etching isotropy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本開示は、処理チャンバ内に位置する基板支持体に載置された基板に対して、エッジリングにおける電圧を操作する装置及び方法に関する。本装置は、基板支持アセンブリを含み、基板支持アセンブリには、基板に電圧を印加するための基板電極が埋め込まれている。基板支持アセンブリの本体には、さらに、エッジリングに電圧を印加するためのエッジリング電極が埋め込まれている。本装置は、エッジリング電極に接続されたエッジリング電圧制御回路をさらに含む。基板電圧制御回路が、基板電極に接続されている。エッジリング電圧制御回路と基板電圧制御回路とが、エッジリング電圧と基板電圧との間の電圧差を生成するよう別々に同調されうる。【選択図】図1

Description

本開示の実施例は、概して、プラズマ処理チャンバのための基板支持体に関し、より詳細には、基板支持体の基板支持部に対して、基板支持体のエッジリング部に印加される電圧を変化させて、プラズマ処理チャンバ内のプラズマシースを制御するための装置及び方法に関する。
半導体テクノロジーノードが、デバイスの外形の小型化により進化するにつれて、基板のエッジの臨界寸法の均一性要件がより厳しくなり、ダイの歩留まりに影響を与える。工業用のラズマ反応器は、例えば、温度、ガス流、RF電力等といった基板全体のプラズマプロセスの均一性を制御するための複数の調節可能なノブ(tunable knob)を含む。
処理中に、基板支持体に載置された基板には、当該基板上に材料を堆積させるプロセスと、当該基板から材料の一部を除去又はエッチングするプロセスとが、しばしば連続的に又は交互に施されうる。典型的には、基板の表面全体で均一な堆積速度及びエッチング速度を有することが有益である。しかしながら、プロセスの不均一性が、基板の表面全体で見られることが多く、基板の外周又はエッジにおいて顕著でありうる。基板の最端部におけるエッチングプロファイルが、異なるイオン密度、RF不均一性、又は先行する処理に起因して、基板の中心におけるエッチングプロファイルから外れうる。外周における上記の不均一性は、電界終端の影響に起因する可能性があり、エッジ効果と呼ばれることもある。上記のエッジ効果によって、基板のエッジ付近の有効なダイの歩留まりが下がる。
より良好な均一性を得るための当該分野における1つの技術は、基板支持体に載置されたエッジリングに印加される電圧を調整して、基板のエッジにおけるイオン密度を変化させることである。これにより、最端部のプロセスプロファイル及びフィーチャ傾斜を制御するための調整ノブが提供される。このことは、エッジリングに埋め込まれたエッジリング電極に第1のRF電圧を印加し、基板支持体に埋め込まれた基板支持電極に第2のRF電圧を印加することによって達成されうる。しかしながら、複数のRF源電圧の利用は、高コストが掛かる。基板支持体に対して可動なエッジリングといった、プラズマシースを制御するための他の方法及び装置が存在する。しかしながら、特定の電子デバイス製造プロセスには、厳しい粒子要件が課せられ、当該要件により、可動部品は望ましくないとされる。可動なエッジリングはまた、アーク放電に晒されうる。
したがって、基板上でのプロセスの均一性を改善する装置及び方法に対する必要性が存在する。
本開示は、基板支持体上に位置する基板に対して、エッジリングにおける電圧を操作するための装置及び方法を提供し、当該電圧操作は、基板エッジ付近のプロセスプロファイルを制御するための効果的なチューニングノブ(tuning knob)として機能する。エッジリングの電圧を操作することによって、基板上のプロセス均一性を改善することが可能である。さらに、エッジリングの電圧を制御することで、基板エッジの付近に形成されたフィーチャの垂直性(すなわち、傾斜)の制御を支援することが可能である。
一実施例において、本装置が、基板支持アセンブリを含み、基板支持アセンブリは、基板支持部を有する本体を有し、基板支持部には、基板に基板電圧を印加するための基板電極が埋め込まれている。基板支持アセンブリの本体が、基板支持部の近傍に配置されたエッジリング部をさらに有する。エッジリング部には、エッジリングにエッジリング電圧を印加するためのエッジリング電極が埋め込まれている。本装置は、エッジリング電極に接続されたエッジリング電圧制御回路をさらに含む。基板電圧制御回路が、基板電極に接続されている。エッジリング電圧制御回路と基板電圧制御回路とが、エッジリング電圧と基板電圧との間の電圧差を生成するよう別々に同調されうる。
他の実施例において、本装置は処理チャンバを含み、処理チャンバは、チャンバ本体と、チャンバ本体に載置されたリッドと、リッドの上方に配置された誘導結合プラズマ装置と、チャンバ本体内に配置された基板支持アセンブリと、を含む。基板支持アセンブリは、基板支持部を有する本体を有し、基板支持部には、基板に基板電圧を印加するための基板電極が埋め込まれている。基板支持アセンブリの本体が、基板支持部の近傍に配置されたエッジリング部をさらに有する。エッジリング部には、エッジリングにエッジリング電圧を印加するためのエッジリング電極が埋め込まれている。本装置は、エッジリング電極に接続されたエッジリング電圧制御回路をさらに含む。基板電圧制御回路が、基板電極に接続されている。エッジリング電圧制御回路と基板電圧制御回路とが、エッジリング電圧と基板電圧との間の電圧差を生成するよう別々に同調されうる。
他の実施例において、処理チャンバを稼働させる方法が開示される。処理チャンバが、
チャンバ本体と、
チャンバ本体内に配置された基板支持アセンブリと、を含み、
基板支持アセンブリが、
基板電極が埋め込まれた基板支持部と、
基板支持部の近傍に配置されたエッジリング部であって、エッジリング電極が埋め込まれたエッジリング部と
を有する本体を有する。
本方法は、基板電圧制御回路によって、基板電極に基板電圧を印加することを含む。本方法は、エッジリング電圧制御回路によって、エッジリング電極にエッジリング電圧を印加することをさらに含む。本方法は、エッジリング電圧制御回路と基板電圧制御回路とを別々に同調させて、エッジリング電圧と基板電圧との間の比を変更することをさらに含む。
本開示の上述の特徴を詳細に理解できるように、上記で簡単に要約されている本開示のより詳細な説明が、実施形態を参照することによって得られ、それらの実施形態の一部が添付図面に示される。しかしながら、添付の図面は例示的な実施形態を示しているのにすぎず、従って、その範囲を限定するものと見做すべきではなく、他の同等に有効な実施形態を許容しうることに注意されたい。
本開示の一実施形態に係る処理チャンバの概略的な断面図である。 本開示の実施例に係る、基板のエッジに対するプラズマシースの概略図である。 図1に示す基材支持体の拡大概略図を示す。 基板支持アセンブリの電極を駆動するためのエッジリング電圧制御回路/基板電圧制御回路の一実施形態を示す概略的な回路図である。 基板支持アセンブリの電極を駆動するためのエッジリング電圧制御回路/基板電圧制御回路の他の実施形態を示す概略的な回路図である。 基板支持アセンブリの電極を駆動するためのエッジリング電圧制御回路/基板電圧制御回路の他の実施形態を示す概略的な回路図である。 基板支持アセンブリの電極を駆動するためのエッジリング電圧制御回路/基板電圧制御回路の他の実施形態を示す概略的な回路図である。 基板支持アセンブリの電極を駆動するためのエッジリング電圧制御回路/基板電圧制御回路の他の実施形態を示す概略的な回路図である。 基板支持アセンブリの電極を駆動するためのエッジリング電圧制御回路/基板電圧制御回路の他の実施形態を示す概略的な回路図である。 基板支持アセンブリの電極を駆動するためのエッジリング電圧制御回路/基板電圧制御回路の他の実施形態を示す概略的な回路図である。 本開示の一態様に係る上述の支援回路の作業工程を示すフロー図である。 整形DCパルス電圧源が与えられる場合に、図4~図10の可変キャパシタ及び/又は可変インダクタを変化させることで生成された、エッジリング又はウェハの変調された電圧波形の例示的なシミュレーション結果を示す。
理解を容易にするために、可能な場合には、複数の図に共通する同一の要素を指し示すために同一の参照番号を使用している。1の実施形態の構成要素及び特徴が、更なる記載がなくとも、他の実施形態に有益に組み込まれうることが想定されている。
本開示は、概して、基板支持アセンブリの基板支持部とエッジリング支持部との間に電圧差を印加する装置及び方法に関する。基板支持アセンブリは、基板支持部を有する本体を有し、基板支持部には、基板の中心部分に基板電圧を印加するための基板電極が埋め込まれている。基板支持アセンブリの本体は、基板支持部の近傍に配置されたエッジリング部をさらに有する。エッジリング部には、基板のエッジ部分にエッジリング電圧を印加するためのエッジリング電極が埋め込まれている。
本装置及び本方法は、エッジリング電極に接続されたエッジリング電圧制御回路をさらに含む。基板電圧制御回路が、基板電極に接続されている。少なくとも1つの整形DCパルス電圧源が、エッジリング電圧制御回路と基板電圧制御回路の一方又は両方に接続されている。エッジリング電圧制御回路と基板電圧制御回路とが、エッジリング電圧と基板電圧との間の電圧差を生成するよう別々に同調されうる。
プラズマシースが、様々なイオン密度、RF不均一性、又は先行する処理に起因して、エッジリングの近傍で不均一になると、エッジリング電圧制御回路及び基板電圧制御回路の一方又は両方が、基板とエッジリングとの間の電圧振幅差に影響を与えるために調整される。エッジリング電圧制御回路及び基板電圧制御回路の一方又は両方を同調させることを介した電圧振幅差の調整により、結果として、基板の外周付近のプラズマシースの調整がもたらされる。基板の外周においてシースを曲げることは、基板のエッジから(プロセス条件に従って)約0mm~10mmの領域における、イオンの集束(エッチ速度の増加)、又はイオンの非集束(エッチ速度の低減)のいずれかとなる。
本開示は、先行する処理ステップにより残された最端部の不均一性を補正する必要性にも対処する。これらの用途の全てにおいて、プロセスが粒子から非常に影響を受けるときには、例えば論理回路の用途においては、基板の近傍に可動部品を有することは高リスクと見做される。本開示は、可動部品を設けずに、最端部での電圧同調性に対する必要性に対処する。
図1は、本開示の一実施例に係る処理チャンバ100の概略的な断面図である。処理チャンバ100は、チャンバ本体101及びリッド102を含み、チャンバ本体101とリッド102とは、内部空間124を一緒に画定する。チャンバ本体101は、典型的に、電気接地103に接続されうる。基板支持アセンブリ104が、内部空間内に配置されており、自身に載置された基板105を処理中に支持する。エッジリング106が、基板支持アセンブリ104に載置されており、基板105の外周を取り囲んでいる。処理チャンバ100はまた、処理チャンバ100内で反応種のプラズマを生成するための誘導結合プラズマ装置107と、処理チャンバ100のシステム及びサブシステムを制御するよう適合されたコントローラ108と、を含む。
基板支持アセンブリ104が、内部空間124内に配置されている。基板支持アセンブリ104は、一般に、少なくとも1つの基板支持体152を含む。基板支持体152は、静電チャック150を含み、静電チャック150は、処理される基板105の下にあって当該基板105を支持するよう構成された基板支持部154と、エッジリング106を支持するよう構成されたエッジリング部156と、を含む。基板支持アセンブリ104は、追加的に、ヒータアセンブリ169を含んでよい。基板支持アセンブリ104は、冷却基部131も含んでよい。冷却基部131は、代替的に、基板支持アセンブリ104と別体であってよい。基板支持アセンブリ104は、支持ペデステル125に着脱可能に結合されうる。支持ペデステル125は、チャンバ本体101に取り付けられている。支持ペデスタル125は、任意選択的に、設備プレート180を含んでよい。基板支持アセンブリ104は、支持ペデスタル125から周期的に取り外すことが可能であり、基板支持アセンブリ104の1つ以上の部品を新しくすることが可能となる。リフトピン146が、従来知られるように基板支持アセンブリ104を貫通して配置され、基板の移動を容易にする。
設備プレート180は、静電チャック150及び冷却基部131からの複数の流体接続部を収容するよう構成される。設備プレート180はまた、静電チャック150及びヒータアセンブリ169からの複数の流体接続部を収容するよう構成される。無数の接続部が、基板支持アセンブリ104の外部又は内部を通りうるが、設備プレート180は、各端子への接続のためのインタフェースを提供する。
基板電極109が、基板支持アセンブリ104の上面160に載置された基板105に基板電圧を印加するために、静電チャック150の基板支持部154に埋め込まれている。エッジリング部156には、エッジリング106にエッジリング電圧を印加するために、エッジリング電極111が埋め込まれている。エッジリング電圧制御回路155が、エッジリング電極111に接続されている。基板電圧制御回路158が、基板電極109に接続されている。一実施形態において、第1の整形DCパルス電圧源159が、エッジリング電圧制御回路155と基板電圧制御回路158の一方又は両方に接続されている。他の実施形態において、第1の整形DCパルス電圧源159が、エッジリング電圧制御回路155に接続され、第2の整形DCパルス電圧源161が、基板電圧制御回路158に接続されている。エッジリング電圧制御回路155と基板電圧制御回路158とが、エッジリング電圧と基板電圧との間の電圧差を生成するよう別々に同調されうる。基板電圧制御回路158及びエッジリング電圧制御回路155はそれぞれ、可変的な及び/又は固定のキャパシタ及び/又はインダクタを含み、エッジリング電圧及び基板電圧の独立した同調性を提供する。基板電極109が、チャック電源115にさらに接続されており、処理中に静電チャック150による上面160への基板105のチャッキングを促進する。
誘導結合プラズマ装置107が、リッド102の上方に配置されており、処理チャンバ100内のガスにRF電力を誘導結合してプラズマ116を生成するよう構成されている。誘導結合プラズマ装置107は、リッド102の上方に配置された第1のコイル118及び第2のコイル120を含む。各コイル118、120の相対的な位置、直径の比、及び/又は、各コイル118、120の巻き数はそれぞれ、形成されているプラズマ116のプロファイル又は密度を制御するために所望のように調整することが可能である。第1のコイル118、及び第2のコイル120のそれぞれが、RF給電構造123を介して、整合回路122を通じてRF電源121に接続されている。RF電源121は、例として、50kHz~13.56MHzの範囲内の同調可能な周波数で、最大約4000Wまで(しかし、最大約4000Wには限定されない)生成することが可能でありうるが、他の周波数及び電力が、特定の用途のために所望のように利用されうる。
幾つかの実施例において、分割キャパシタといった電力分割器126が、RF給電構造123とRF電源121との間に設けられ、各第1のコイル118及び各第2のコイル120に供給されるRF電力の相対量が制御されうる。
他の実施形態において、容量結合プラズマ装置(図示せず)が、リッド102の上方で利用されうる。
ヒータ要素128が、処理チャンバ100の内部の加熱を促進するために誘電体リッド102に載置されうる。ヒータ要素128は、リッド102と、第1のコイル118及び第2のコイル120と、の間に配置されうる。幾つかの実施形態において、ヒータ要素128が、抵抗性加熱要素を含んでよく、ヒータ要素128の温度を所望の範囲内で制御するのに十分なエネルギーを提供するよう構成された電源130、例えばAC電源に接続されてよい。
稼働中に、半導体基板、又はプラズマ処理に適した他の基板といった基板105が、基板支持アセンブリ104に載置される。基板リフトピン146は、基板支持アセンブリ104内を可動に配置されており、基板支持アセンブリ104の上に基板105を移動するのを支援する。基板105が配置された後で、処理ガスが、ガスパネル132から入口ポート134を通って、チャンバ本体101の内部空間124へと供給される。RF電源121からの電力を第1のコイル118及び第2のコイル120に印加することによって、処理ガスが、処理チャンバ100内で点火されてプラズマ116となる。チャンバ本体100の内部空間124内の圧力が、バルブ136及び真空ポンプ138を用いて制御されうる。
処理チャンバ100は、処理中に処理チャンバ100の動作を制御するためにコントローラ108を含む。コントローラ108は、中央処理装置(CPU:central processing unit)140と、メモリ142と、CPU140のためのサポート回路144と、を含み、プロセスチャンバ100の構成要素の制御を促進する。コントローラ108は、様々なチャンバ及びサブプロセッサを制御するための工業環境で利用可能な任意の形態の汎用コンピュータプロセッサのうちの1つでありうる。メモリ142は、本明細書に記載するやり方で処理チャンバ100の動作を制御するために実行又は呼び出されうるソフトウェア(ソースコード又はオブジェクトコード)を格納する。コントローラ108は、第1の整形DCパルス電圧源159、第2の整形DCパルス電圧源161、エッジリング電圧制御回路155、及び基板電圧制御回路158を制御するよう構成される。
図2A~図2Cは、本開示の実施例に係る、基板105のエッジに対する、処理チャンバ100内で生成されたプラズマ116の下にあるプラズマシース176の概略図である。図2Aは、エッジリング106と、静電チャック150の上にある基板105と、に対するベースライン・プラズマシース176を示す。基板電極109とエッジリング電極111とに同じ電圧が印加されると、エッジリング106の上面と基板105の上面とが実質的に同一平面上にあり、プラズマシース176の境界が、当該シース176が基板105のエッジ部分166の上を横切るときに、基板105に対して実質的に直線的で、かつ平行である。プラズマシース176は、エッジリング106の上面及び基板105の上面と実質的に平行であり、これら上面から等しく離間している。基板105及びエッジリング106の上方で均一なプラズマが生成されると仮定すると、図2Aに示すプラズマシース176のプロファイルによって、基板105に向かって、中心からエッジまで均一なイオン密度及びイオンエネルギーをもたらされる。一実施例において、基板105とエッジリング106との間に電圧差がない。これは、ベースライン電圧と称されうる。
所定数の基板が処理された後で、エッジリング106の高さが、基板上部とはもはや同一平面上になく、基板上部よりも低い可能性があり、結果として、基板105のエッジ部分166で望まれないエッジ効果が形成される。他の用途において、プラズマ密度、ガス濃度等の不均一性によって、基板105のエッジ部分166付近で処理プロファイルの不均一性が生じうる。さらに別の用途において、先行するプロセスによって、中心からエッジへの不均一なフィーチャ・プロファイルがもたらされ、このような均一性を、エッジチューニングノブを用いて補正することが望まれる。エッジ効果に対処するために、エッジリング106と基板105との間で、正の電圧差又は負の電圧差が形成される。
基板電極109と比べて、より高い電圧がエッジリング電極111に印加される図2Bで示されるように、境界のプラズマシース176は、基板105とエッジリング106との界面においてもはや平らではなく、基板105の表面に対して、エッジリング106の表面とは異なった間隔を有している。プラズマシース176のプロファイルは、基板105の中心168に対して、基板105のエッジ部166においてより広い。これは、基板105の中心に対する、基板105のエッジ部分166でのイオン濃度の低下を示している。したがって、このことにより、基板105の中心168に対して、基板105のエッジ部分166においてエッチング速度が下がり、さらに、基板105のエッジ部分166に衝突するイオンの入射角が変わる。
図2Cは、基板電極109と比べて、より低い電圧がエッジリング電極111に印加されたときに、基板105のエッジにおいてシースの境界/高さをどのように制御可能に変更しうるかを示している。プラズマシース176のプロファイルは、基板105のエッジ部分166において、基板105の中心168に対してより狭い。従って、イオンが、基板105のエッジ部分166へと集束されている。従って、このことにより、基板105のエッジ部分166におけるエッチング速度が上がり、さらに、基板105のエッジ部分166に衝突するイオンの入射角を変える。
図3A及び3Bは、図1に示された基板支持体152の拡大概略図を示している。基板支持体152は、本体174を有する。本体174は、基板支持部154、及びエッジリング部156を含む。基板支持部154は、第1の絶縁層182と、任意選択的な第2の絶縁層184と、第1のカソード188と、を含む。基板支持部154の第1絶縁層182は、セラミックで作製されうる。基板支持部154の第1の絶縁層182には、基板105に基板電圧を印加するための基板電極109が埋め込まれうる。任意選択的な第2の絶縁層184は、第1の絶縁層182の下に存在し、セラミックで作製され、基板支持体152内の他の導電性の構成要素からの、基板電極109の熱的及び電気的絶縁を改善する。第1のカソード188は、基板支持部154の第1の絶縁層182及び第2の絶縁層184の一方又は両方の下に存在しうる。
エッジリング部156が、基板支持部154の近傍に配置されている。エッジリング部156は、エッジリング106を支持しうる。エッジリング部156は、第1の絶縁層183、任意選択的な第2の絶縁層185、及び第2のカソード191を含みうる。第1の絶縁層には、エッジリング106にエッジリング電圧を印加するためのエッジリング電極111が埋め込まれている。第1絶縁層183は、セラミックで作製されうる。任意選択的な第2の絶縁層185は、セラミック製の第1の絶縁層183の下に存在し、基板支持体152内の他の導電性の構成要素からの、エッジリング電極111の熱的及び電気的絶縁を改善する。第2のカソード191は、エッジリング部156の第1の絶縁層183及び第2の絶縁層185の一方又は両方の下に存在しうる。円筒状の低誘電率誘電体層195が、エッジリング部156を、基板支持部154から横方向に完全に又は部分的に分離しうる。エッジリング部156が基板支持部154から部分的に分離される実施形態において、第1のカソード188と第2のカソード191とが単一のピースであってよく、及び/又は、基板105の下の第2の絶縁層184とエッジリング106の下の第2の絶縁層とが単一ピースであってよい。
エッジリング電圧制御回路155の第1の接点が、エッジリング電極111に電気的に接続されている。基板電圧制御回路158の第1の接点が、基板電極109に電気的に接続されている。エッジリング電圧制御回路155は、基板支持アセンブリ104内に組み込まれてよく、基板支持アセンブリ104の外部ではあるが処理チャンバ100の内部にあってよく、又は、処理チャンバ100から完全な外部にあってよい。
一実施形態において、エッジリング電圧制御回路155と基板電圧制御回路158の両方の第2の接点が、第1の整形DCパルス電圧源159に一緒に接続されうる。他の実施形態において、エッジリング電圧制御回路155の第2の接点が、基板電圧制御回路158の第2の接点には繋がれていない。しかしながら、エッジリング電圧制御回路155の第2の接点が、第1の整形DCパルス電圧源159に個別に接続され、基板電圧制御回路158の第2の接点が、第2の整形DCパルス電圧源161に接続される。1個又は2個のいずれかの整形DCパルス電圧源の構成において、エッジリング電圧制御回路155と基板電圧制御回路158とが、エッジリング電圧と基板電圧との間の電圧差を生成するよう別々に同調されうる。
一実施形態において、エッジリング電圧制御回路155と基板電圧制御回路158とは、同一の回路である。他の実施形態において、エッジリング電圧制御回路155と基板電圧制御回路158とは互いに異なっている。一実施形態において、エッジリング電圧制御回路155及び基板電圧制御回路158の少なくとも1つが、少なくとも1つの可変的な受動素子を含み、エッジリング電極111及び基板電極109、又は、エッジリング電極111若しくは基板電極109のいずれかに印加される電圧の同調性を提供する。
図4は、基板支持アセンブリ104の電極109、111を駆動するためのエッジリング電圧制御回路/基板電圧制御回路400の一実施形態を示す概略的な回路図である。第1の整形DCパルス電圧源159、又は第2の整形DCパルス電圧源161が、接地と、順方向バイアスダイオード194と、の間に結合されている。順方向バイアスされたダイオード194が、電流帰還路163に接続されており、この電流帰還路163は、インダクタ197と直列に接地に結合された抵抗器196を含んでいる。浮遊キャパシタンス198とプラズマシース176との間には、キャパシタンス199が存在しうる。可変キャパシタ202が、順方向バイアスダイオード194と、エッジリング電極111又は基板電極109のいずれかと、に結合されている。可変キャパシタ202は、浮遊キャパシタンス198にも結合されている。プラズマシース176は、ダイオード206と並列に結合されたキャパシタ204と、接地及び可変キャパシタ202に結合された電流源208と、を含む回路としてモデル化されうる(プラズマモデル176)。
電圧は、エッジリング電極111及び基板電極109において測定することが可能である。測定された電圧を用いて、コントローラ108が、基板電極109に対するエッジリング電極111の電圧比を決定する。測定結果に基づいて、エッジリング電圧制御回路155又は基板電圧制御回路158の一方又は両方における可変キャパシタ202を調整して、エッジリング106で発生する電圧に影響を与えるエッジリング電極111、及び/又は、基板105の基板電極109に印加される電圧を操作することが可能である。その結果、エッジリング106及び基板105の上方のプラズマシース176の高さを整形することが可能である。可変キャパシタ202を変化させることによって、エッジリング106又は基板の電圧波形の振幅を、ほぼゼロから、完全に整形されたDCパルス入力電圧までの間で変化させることが可能である。
図5は、基板支持アセンブリ104の電極109、111を駆動するために利用することが可能なエッジリング電圧制御回路/基板電圧制御回路500の他の実施形態を示す概略的な回路図である。第1の整形DCパルス電圧源159、又は第2の整形DCパルス電圧源161が、接地と順方向バイアスダイオード194との間に結合されており、順方向バイアスダイオード194は、インダクタ197と直列に接地に結合された抵抗器196で構成された電流帰還路163に結合されている。一実施形態において、可変インダクタ210が、順方向バイアスダイオード194と、エッジリング電極111又は基板電極109のいずれかと、に結合されている。可変インダクタ210は、浮遊キャパシタンス198にも結合されている。
上述のように、電圧が、エッジリング電極111及び基板電極109において測定することが可能である。測定された電圧を用いて、コントローラ108が、基板電極109に対するエッジリング電極111の電圧比を決定する。測定結果に基づいて、エッジリング電圧制御回路155又は基板電圧制御回路158の一方又は両方における可変インダクタ210を調整して、エッジリング106で発生する電圧に影響を与えるエッジリング電極111、及び/又は、基板105の基板電極109に印加される電圧を操作することが可能である。その結果、エッジリング106及び基板105の上方のプラズマシース176の高さを変更することが可能である。可変インダクタ210を変化させることによって、エッジリング又は基板の電圧波形の振幅を、ほぼゼロから、完全に整形されたDCパルス入力電圧までの間で変化させることが可能である。
図6は、基板支持アセンブリ104の電極109、111を駆動するためのエッジリング電圧制御回路/基板電圧制御回路600の他の実施形態を示す概略的な回路図である。第1の整形DCパルス電圧源159、又は第2の整形DCパルス電圧源161が、接地と順方向バイアスダイオード194との間に結合されており、順方向バイアスダイオード194は、インダクタ197と直列に接地に結合された抵抗器196を含む電流帰還路163に結合されている。可変インダクタ212の一方の端子が、固定キャパシタ214と直列に結合されており、この固定キャパシタ214は、順方向バイアスダイオード194に結合されている。可変インダクタ212の他方の端子は、エッジリング電極111又は基板電極109のいずれかに結合されている。可変インダクタ212は、浮遊キャパシタンス198にも結合されている。
上述のように、電圧が、エッジリング電極111及び基板電極109において測定することが可能である。測定された電圧を用いて、コントローラ108が、基板電極109に対するエッジリング電極111の電圧比を決定する。測定結果に基づいて、エッジリング電圧制御回路155又は基板電圧制御回路158の一方又は両方における可変インダクタ212を調整して、エッジリング106で発生する電圧に影響を与えるエッジリング電極111、及び/又は、基板105の基板電極109に印加される電圧を操作することが可能である。その結果、エッジリング106及び基板105の上方のプラズマシース176の高さを整形することが可能である。可変インダクタ212を変化させることによって、エッジリング又は基板の電圧波形の振幅を、ほぼゼロから、完全に整形されたDCパルス入力電圧までの間で変化させることが可能である。
図7は、基板支持アセンブリ104の電極109、111を駆動するためのエッジリング電圧制御回路/基板電圧制御回路700の他の実施形態を示す概略的な回路図である。第1の整形DCパルス電圧源159、又は第2の整形DCパルス電圧源161が、接地と順方向バイアスダイオード194との間に結合されており、順方向バイアスダイオード194は、インダクタ197と直列に接地に結合された抵抗器196を含む電流帰還路163に結合されている。可変キャパシタ216が、可変インダクタ218と直列に結合されている。可変キャパシタ216はまた、順方向バイアスダイオード194に結合されている。可変インダクタ218はまた、エッジリング電極111又は基板電極109のいずれかと直列に結合されている。可変インダクタ218は、浮遊キャパシタンス198にも結合されている。
上述のように、電圧が、エッジリング電極111及び基板電極109において測定することが可能である。測定された電圧を用いて、コントローラ108が、基板電極109に対するエッジリング電極111の電圧比を決定する。測定結果に基づいて、エッジリング電圧制御回路155又は基板電圧制御回路158の一方又は両方における可変キャパシタ216及び/又は可変インダクタ218を調整して、エッジリング106で発生する電圧に影響を与えるエッジリング電極111、及び/又は、基板105の基板電極109に印加される電圧を操作することが可能である。その結果、エッジリング106及び基板105の上方のプラズマシース176の高さを整形することが可能である。可変キャパシタ216及び/又は可変インダクタ218を変化させることによって、エッジリング又は基板の電圧波形の振幅を、ほぼゼロから、完全に整形されたDCパルス入力電圧までの間で変化させることが可能である。
図8は、基板支持アセンブリ104の電極109、111を駆動するためのエッジリング電圧制御回路/基板電圧制御回路800の他の実施形態を示す概略的な回路図である。第1の整形DCパルス電圧源159、又は第2の整形DCパルス電圧源161が、接地と順方向バイアスダイオード194との間に結合されており、順方向バイアスダイオード194は、インダクタ197と直列に接地に結合された抵抗器196で構成された電流帰還路163に結合されている。可変キャパシタ220の第1の端子が、順方向バイアスダイオード194に結合されている。可変キャパシタ220の第1の端子はさらに、固定抵抗器224に結合されており、固定抵抗器224は、電流帰還路163と、固定キャパシタ222の一方の端子と、に結合されており、固定キャパシタ222の第2の端子は、可変キャパシタ220の第2の端子と結合され、さらに、エッジリング電極111又は基板電極109のいずれかに結合されている。可変キャパシタ220は、浮遊キャパシタンス198にも結合されている。
上述のように、電圧が、エッジリング電極111及び基板電極109において測定することが可能である。測定された電圧を用いて、コントローラ108が、基板電極109に対するエッジリング電極111の電圧比を決定する。測定結果に基づいて、エッジリング電圧制御回路155又は基板電圧制御回路158の一方又は両方における可変キャパシタ220を調整して、エッジリング106で発生する電圧に影響を与えるエッジリング電極111、及び/又は、基板105の基板電極109に印加される電圧を操作することが可能である。その結果、エッジリング106及び基板105の上方のプラズマシース176の高さを整形することが可能である。可変キャパシタ220を変化させることによって、エッジリング又は基板の電圧波形の振幅を、ほぼゼロから、完全に整形されたDCパルス入力電圧までの間で変化させることが可能である。
図9は、基板支持アセンブリ104の電極109、111を駆動するためのエッジリング電圧制御回路/基板電圧制御回路900の他の実施形態を示す概略的な回路図である。第1の整形DCパルス電圧源159、又は第2の整形DCパルス電圧源161が、接地と順方向バイアスダイオード194との間に結合されている。可変キャパシタ225の第1の端子が、順方向バイアスダイオード194に結合されている。可変キャパシタ225の第1の端子は、さらに、第1の固定抵抗器226に接続され、第1の固定抵抗器226は、電流帰還路163に結合され、さらに、第2の固定抵抗器228の一方の端子に結合されている。第2の固定抵抗器228は、固定キャパシタ230の第1の端子と直列に結合されている。固定キャパシタ230の第2の端子は、可変キャパシタ225の第2の端子と結合され、さらに、エッジリング電極111又は基板電極109のいずれかと結合されている。可変キャパシタ225は、浮遊キャパシタンス198にも結合されている。
上述のように、電圧が、エッジリング電極111及び基板電極109において測定することが可能である。測定された電圧を用いて、コントローラ108が、基板電極109に対するエッジリング電極111の電圧比を決定する。測定結果に基づいて、エッジリング電圧制御回路155又は基板電圧制御回路158の一方又は両方における可変キャパシタ225を調整して、エッジリング106で発生する電圧に影響を与えるエッジリング電極111、及び/又は、基板105の基板電極109に印加される電圧を操作することが可能である。その結果、エッジリング106及び基板105の上方のプラズマシース176の高さを整形することが可能である。可変キャパシタ225を変化させることによって、エッジリング又は基板の電圧波形の振幅を、ほぼゼロから、完全に整形されたDCパルス入力電圧までの間で変化させることが可能である。
図10は、基板支持組立体104の電極109、111を駆動するためのエッジリング制御回路/基板電圧制御回路1000の他の実施形態を示す概略的な回路図である。第1の整形DCパルス電圧源159、又は第2の整形DCパルス電圧源161が、接地と順方向バイアスダイオード194との間に結合されている。可変キャパシタ231の第1の端子が、順方向バイアスダイオード194に結合されている。可変キャパシタ231の第1の端子は、さらに、第1の固定抵抗器232にさらに結合されており、第1の固定抵抗器232は、固定インダクタ234の一方の端子と直列である。固定インダクタ234は、電流帰還路163に結合されている。固定インダクタ234の第2の端子は、さらに、第2の固定抵抗器236の第1の端子に結合されている。第2の固定抵抗器236の第2の端子は、固定キャパシタ238の第1の端子に結合されている。固定キャパシタ238の第2の端子は、可変キャパシタ231の第2の端子と結合され、さらに、エッジリング電極111又は基板電極109のいずれかと結合されている。可変キャパシタ231は、浮遊キャパシタンス198にも結合されている。
上述のように、電圧が、エッジリング電極111及び基板電極109において測定することが可能である。測定された電圧を用いて、コントローラ108が、基板電極109に対するエッジリング電極111の電圧比を決定する。測定結果に基づいて、エッジリング電圧制御回路155又は基板電圧制御回路158の一方又は両方における可変キャパシタ231を調整して、エッジリング106で発生する電圧に影響を与えるエッジリング電極111、及び/又は、基板105の基板電極109に印加される電圧を操作することが可能である。その結果、エッジリング106及び基板105の上方のプラズマシース176の高さを整形することが可能である。可変キャパシタ231を変化させることによって、エッジリング又は基板の電圧波形の振幅を、ほぼゼロから、完全に整形されたDCパルス入力電圧までの間で変化させることが可能である。
図11は、上述した支援回路155、158のための作業工程1100を示すフロー図である。処理プロセス1100は、本開示で提供される図4~図10の回路構成を用いて実現することが可能である。処理プロセス1100はまた、処理チャンバ100を稼働させる方法を提供する。
工程1105において、コントローラ108が、基板電圧制御回路158によって、基板電極109に基板電圧を印加する。工程1110において、コントローラ108が、エッジリング電圧制御回路155によって、エッジリング電極111にエッジリング電圧を印加する。工程1115において、コントローラ108が、エッジリング電極111及び基板電極109において電圧を測定する。測定結果に基づいて、コントローラ108は、エッジリング106の電圧と基板105の電圧との間の振幅比を決定する。工程1120において、コントローラ108は、エッジリング電圧制御回路155及び/又は基板電圧制御回路158における可変キャパシタ又は可変インダクタの値についての予測、及び、整形DCパルス電圧源159、161の出力電圧の値を更新する。工程1125において、コントローラ108が、指定された振幅差(比)を有する目標のエッジリング電圧及び基板電圧を実現するために、フィードバック制御ループ1115、1120、及び1125を介して、エッジリング電圧制御回路155、基板電圧制御回路158、及び、整形DCパルス電圧源159、161の出力電圧を調整する。
図12A及び図12Bは、整形されたDCパルス電圧入力が与えられる場合に、図4~図10の可変キャパシタ及び/又は可変インダクタを変化させることで生成された、エッジリング又はウェハの変調された電圧波形の例示的なシミュレーション結果を示している。固定の入力電圧振幅について、可変キャパシタ及び可変インダクタによって、同調回路の出力電圧の振幅を、ほぼゼロから完全な入力電圧までの間で調整する一方で、出力電圧の形状を維持して、パルスオン時間を通じて基板電圧とエッジリング電圧との間の一定の電圧差を保つことが可能である。
本開示の利点は、チャンバの部品を置換する代わりに、基板のエッジでプラズマシースを調整する能力を含み、これにより、ダウンタイムを低減し消耗品の費用を削減しながら、デバイスの歩留まりを改善する。追加的に、本明細書に記載される態様によって、基板の中心でのプラズマパラメータに影響を与えることなく、基板のエッジにおいてプラズマシースを調節することが可能となり、これにより、最端部でのプロセスプロファイル制御と、フィーチャの傾斜補正と、のためのチューニングノブが提供される。

Claims (15)

  1. 基板支持装置であって、
    基板に基板電圧を印加するための基板電極が埋め込まれた基板支持部、及び、
    前記基板支持部の近傍に配置されたエッジリング部であって、エッジリングにエッジリング電圧を印加するためのエッジリング電極が埋め込まれたエッジリング部
    を含む本体と、
    前記エッジリング電極に接続されたエッジリング電圧制御回路と、
    前記基板電極に接続された基板電圧制御回路と
    を備え、
    前記エッジリング電圧制御回路と前記基板電圧制御回路とが、前記エッジリング電圧と前記基板電圧との間の電圧差を生成するよう別々に同調されうる、基板支持装置。
  2. 前記エッジリング電圧制御回路と前記基板電圧制御回路とが同一の回路である、請求項1に記載の装置。
  3. 前記エッジリング電圧制御回路と前記基板電圧制御回路とが互いに異なっている、請求項1に記載の装置。
  4. 前記エッジリング部と前記基板支持部との間に配置された絶縁体をさらに含む、請求項1に記載の装置。
  5. 処理チャンバ装置であって、
    チャンバ本体と、
    前記チャンバ本体に載置されたリッドと、
    前記リッドの上方に配置された誘導結合プラズマ装置と、
    前記チャンバ本体内に配置された基板支持アセンブリであって、
    基板電圧を印加するための基板電極が埋め込まれた基板支持部、及び、
    前記基板支持部の近傍に配置されたエッジリング部であって、エッジリングにエッジリング電圧を印加するためのエッジリング電極が埋め込まれたエッジリング部を含む本体を有する基板支持アセンブリと、
    前記エッジリング電極に接続されたエッジリング電圧制御回路と、
    前記基板電極に接続された基板電圧制御回路と
    を備え、
    前記エッジリング電圧制御回路と前記基板電圧制御回路とが、前記エッジリング電圧と前記基板電圧との間の電圧差を生成するよう別々に同調されうる、処理チャンバ装置。
  6. 前記エッジリング電圧制御回路と前記基板電圧制御回路の両方に並列に接続された第1の整形DCパルス電圧源をさらに含む、請求項1又は5に記載の装置。
  7. 前記エッジリング電圧制御回路に接続された第1の整形DCパルス電圧源、及び前記基板電圧制御回路に接続された第2の整形DCパルス電圧源をさらに含む、請求項1又は5に記載の装置。
  8. 前記エッジリング電圧制御回路及び前記基板電圧制御回路のうちの少なくとも1つが、少なくとも1つの可変的な受動素子を含む、請求項1又は5に記載の装置。
  9. 前記少なくとも1つの可変的な受動素子が、少なくとも1つの整形DCパルス電圧源と、前記エッジリング電極及び前記基板電極のうちの少なくとも1つと、の間に接続された可変キャパシタを含む、請求項8に記載の装置。
  10. 前記少なくとも1つの可変的な受動素子が、少なくとも1つの整形DCパルス電圧源と、前記エッジリング電極及び前記基板電極のうちの少なくとも1つと、の間に接続された可変インダクタを含む、請求項8に記載の装置。
  11. 前記少なくとも1つの可変的な受動素子が、少なくとも1つの整形DCパルス電圧源と、前記エッジリング電極及び前記基板電極のうちの少なくとも1つと、の間に接続された、キャパシタと直列に存在する可変インダクタを含む、請求項8に記載の装置。
  12. 前記キャパシタが、可変キャパシタである、請求項11に記載の装置。
  13. 前記少なくとも1つの可変的な受動素子が、固定キャパシタと並列に接続され及び第1の抵抗器と直列に接続された可変キャパシタを含み、前記可変キャパシタと前記第1の抵抗器との間の第1の共通端子が、少なくとも1つの整形DCパルス電圧源に接続され、前記可変キャパシタと前記固定キャパシタとの間の第2の共通端子が、前記エッジリング電極と前記基板電極のうちの少なくとも1つに接続される、請求項8に記載の装置。
  14. 前記少なくとも1つの可変的な受動素子が、前記第1の抵抗器と前記固定キャパシタとの間に直列に接続された第2の抵抗器をさらに含む、請求項13に記載の装置。
  15. 処理チャンバを稼働させる方法であって、
    前記処理チャンバは、
    チャンバ本体と、
    前記チャンバ本体内に配置された基板支持体と
    を含み、
    前記基板支持体が、
    基板電極が埋め込まれた基板支持部と、
    前記基板支持部の近傍に配置されたエッジリング部であって、エッジリング電極が埋め込まれたエッジリング部と
    を有する本体を有し、
    前記方法が、
    基板電圧制御回路によって、前記基板電極に基板電圧を印加することと、
    エッジリング電圧制御回路によって、前記エッジリング電極にエッジリング電圧を印加することと、
    エッジリング電圧制御回路と基板電圧制御回路とを別々に同調させて、前記エッジリング電圧と前記基板電圧との間に電圧差を生成すること
    を含む、方法。
JP2021527839A 2018-11-21 2019-09-18 整形dcパルスプラズマ処理装置におけるエッジリング制御のための回路 Active JP7246478B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/198,479 2018-11-21
US16/198,479 US11289310B2 (en) 2018-11-21 2018-11-21 Circuits for edge ring control in shaped DC pulsed plasma process device
PCT/US2019/051771 WO2020106354A1 (en) 2018-11-21 2019-09-18 Circuits for edge ring control in shaped dc pulse plasma process device

Publications (2)

Publication Number Publication Date
JP2022507783A true JP2022507783A (ja) 2022-01-18
JP7246478B2 JP7246478B2 (ja) 2023-03-27

Family

ID=70727886

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021527839A Active JP7246478B2 (ja) 2018-11-21 2019-09-18 整形dcパルスプラズマ処理装置におけるエッジリング制御のための回路

Country Status (5)

Country Link
US (2) US11289310B2 (ja)
JP (1) JP7246478B2 (ja)
KR (2) KR102594606B1 (ja)
CN (1) CN112997270B (ja)
WO (1) WO2020106354A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7328720B1 (ja) 2022-04-18 2023-08-17 アダプティブ プラズマ テクノロジー コーポレーション プラズマエッチングシステム

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
RU2536164C1 (ru) * 2013-06-04 2014-12-20 Федеральное государственное бюджетное учреждение науки Институт проблем управления им. В.А. Трапезникова Российской академии наук Устройство для определения концентрации смеси веществ
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
KR102295988B1 (ko) 2014-10-17 2021-09-01 어플라이드 머티어리얼스, 인코포레이티드 애디티브 제조 프로세스들을 이용한 복합 재료 특성들을 갖는 cmp 패드 구성
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
JP7299970B2 (ja) 2018-09-04 2023-06-28 アプライド マテリアルズ インコーポレイテッド 改良型研磨パッドのための配合物
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
JP7475193B2 (ja) * 2020-05-07 2024-04-26 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
WO2023078628A1 (en) * 2021-11-02 2023-05-11 Asml Netherlands B.V. Wafer edge inspection of charged particle inspection system
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011009351A (ja) * 2009-06-24 2011-01-13 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
US20160056017A1 (en) * 2014-08-19 2016-02-25 Samsung Electronics Co., Ltd. Plasma apparatus and method of operating the same
JP2016225376A (ja) * 2015-05-28 2016-12-28 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
WO2017218394A1 (en) * 2016-06-13 2017-12-21 Applied Materials, Inc. Systems and methods for controlling a voltage waveform at a substrate during plasma processing
JP2018117024A (ja) * 2017-01-17 2018-07-26 東京エレクトロン株式会社 プラズマ処理装置

Family Cites Families (141)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5267607A (en) 1991-05-28 1993-12-07 Tokyo Electron Limited Substrate processing apparatus
JP2638443B2 (ja) 1993-08-31 1997-08-06 日本電気株式会社 ドライエッチング方法およびドライエッチング装置
US5730801A (en) 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
US5762714A (en) 1994-10-18 1998-06-09 Applied Materials, Inc. Plasma guard for chamber equipped with electrostatic chuck
JP2713276B2 (ja) 1995-12-07 1998-02-16 日本電気株式会社 半導体装置の製造装置およびこれを用いた半導体装置の製造方法
US5885428A (en) 1996-12-04 1999-03-23 Applied Materials, Inc. Method and apparatus for both mechanically and electrostatically clamping a wafer to a pedestal within a semiconductor wafer processing system
US5851140A (en) 1997-02-13 1998-12-22 Integrated Process Equipment Corp. Semiconductor wafer polishing apparatus with a flexible carrier plate
JP3020898B2 (ja) 1997-07-22 2000-03-15 株式会社エイ・ティ・アール人間情報通信研究所 アフィンカメラ補正による三次元位置の線形推定方法
DE59812627D1 (de) 1997-12-23 2005-04-07 Unaxis Balzers Ag Haltevorrichtung
US6773562B1 (en) 1998-02-20 2004-08-10 Applied Materials, Inc. Shadow frame for substrate processing
JP2000049144A (ja) 1998-07-28 2000-02-18 Hitachi Chem Co Ltd プラズマ処理装置用電極板
JP3234576B2 (ja) 1998-10-30 2001-12-04 アプライド マテリアルズ インコーポレイテッド 半導体製造装置におけるウェハ支持装置
US6022809A (en) 1998-12-03 2000-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Composite shadow ring for an etch chamber and method of using
US6709547B1 (en) 1999-06-30 2004-03-23 Lam Research Corporation Moveable barrier for multiple etch processes
US6206976B1 (en) 1999-08-27 2001-03-27 Lucent Technologies Inc. Deposition apparatus and related method with controllable edge exclusion
US6375748B1 (en) 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
US6589352B1 (en) 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
JP2001230239A (ja) 2000-02-15 2001-08-24 Tokyo Electron Ltd 処理装置及び処理方法
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
US6391787B1 (en) 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
JP3388228B2 (ja) 2000-12-07 2003-03-17 株式会社半導体先端テクノロジーズ プラズマエッチング装置、及びプラズマエッチング方法
US7138014B2 (en) 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US6744212B2 (en) 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
US6776849B2 (en) 2002-03-15 2004-08-17 Asm America, Inc. Wafer holder with peripheral lift ring
KR20030079785A (ko) * 2002-04-03 2003-10-10 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법 및 반도체 제조 장치
US6896765B2 (en) 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
US7252738B2 (en) 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US7311784B2 (en) 2002-11-26 2007-12-25 Tokyo Electron Limited Plasma processing device
US6898558B2 (en) 2002-12-31 2005-05-24 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US20040261946A1 (en) 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
TW200520632A (en) 2003-09-05 2005-06-16 Tokyo Electron Ltd Focus ring and plasma processing apparatus
KR100578129B1 (ko) 2003-09-19 2006-05-10 삼성전자주식회사 플라즈마 식각 장치
US7244336B2 (en) 2003-12-17 2007-07-17 Lam Research Corporation Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift
US7338578B2 (en) 2004-01-20 2008-03-04 Taiwan Semiconductor Manufacturing Co., Ltd. Step edge insert ring for etch chamber
US20050189068A1 (en) 2004-02-27 2005-09-01 Kawasaki Microelectronics, Inc. Plasma processing apparatus and method of plasma processing
US20050263070A1 (en) 2004-05-25 2005-12-01 Tokyo Electron Limited Pressure control and plasma confinement in a plasma processing chamber
US7138067B2 (en) 2004-09-27 2006-11-21 Lam Research Corporation Methods and apparatus for tuning a set of plasma processing steps
JP4006004B2 (ja) 2004-12-28 2007-11-14 株式会社東芝 半導体製造装置及び半導体装置の製造方法
KR20060117537A (ko) 2005-05-11 2006-11-17 삼성전자주식회사 리프트 핀 높이 정렬용 지그 및 이를 이용한 리프트 핀높이 정렬 방법
KR100964775B1 (ko) 2005-10-12 2010-06-21 파나소닉 주식회사 플라즈마 처리장치 및 플라즈마 처리방법
US7846257B2 (en) * 2005-12-14 2010-12-07 Tokyo Electron Limited Method for cleaning substrate processing apparatus, substrate processing apparatus, program and recording medium having program recorded therein
KR20080015466A (ko) 2006-01-31 2008-02-19 동경 엘렉트론 주식회사 기판 처리 장치 및 그것에 이용되는 기판 탑재대,플라즈마에 노출되는 부재
US7572737B1 (en) 2006-06-30 2009-08-11 Lam Research Corporation Apparatus and methods for adjusting an edge ring potential substrate processing
US20080066868A1 (en) 2006-09-19 2008-03-20 Tokyo Electron Limited Focus ring and plasma processing apparatus
JP2008078208A (ja) 2006-09-19 2008-04-03 Tokyo Electron Ltd フォーカスリング及びプラズマ処理装置
US7589950B2 (en) 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
CN101583736A (zh) 2007-01-19 2009-11-18 应用材料股份有限公司 浸没式等离子体室
US7968469B2 (en) 2007-01-30 2011-06-28 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
JP5317424B2 (ja) 2007-03-28 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置
US9536711B2 (en) * 2007-03-30 2017-01-03 Lam Research Corporation Method and apparatus for DC voltage control on RF-powered electrode
US20080289766A1 (en) 2007-05-22 2008-11-27 Samsung Austin Semiconductor Lp Hot edge ring apparatus and method for increased etch rate uniformity and reduced polymer buildup
US8343305B2 (en) 2007-09-04 2013-01-01 Lam Research Corporation Method and apparatus for diagnosing status of parts in real time in plasma processing equipment
KR100963297B1 (ko) 2007-09-04 2010-06-11 주식회사 유진테크 샤워헤드 및 이를 포함하는 기판처리장치, 샤워헤드를이용하여 플라스마를 공급하는 방법
US7824146B2 (en) 2007-09-07 2010-11-02 Advanced Technology Development Facility Automated systems and methods for adapting semiconductor fabrication tools to process wafers of different diameters
US8999106B2 (en) 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
US8317969B2 (en) * 2008-03-25 2012-11-27 Tokyo Electron Limited Plasma processing apparatus
US20090260982A1 (en) 2008-04-16 2009-10-22 Applied Materials, Inc. Wafer processing deposition shielding components
US8398777B2 (en) 2008-05-02 2013-03-19 Applied Materials, Inc. System and method for pedestal adjustment
US20100101729A1 (en) 2008-10-28 2010-04-29 Applied Materials, Inc. Process kit having reduced erosion sensitivity
JP5406067B2 (ja) 2009-02-16 2014-02-05 キヤノンアネルバ株式会社 トレイ及び真空処理装置
US9435029B2 (en) 2010-08-29 2016-09-06 Advanced Energy Industries, Inc. Wafer chucking system for advanced plasma ion energy processing systems
US20110011534A1 (en) * 2009-07-17 2011-01-20 Rajinder Dhindsa Apparatus for adjusting an edge ring potential during substrate processing
US8409995B2 (en) 2009-08-07 2013-04-02 Tokyo Electron Limited Substrate processing apparatus, positioning method and focus ring installation method
JP5650935B2 (ja) 2009-08-07 2015-01-07 東京エレクトロン株式会社 基板処理装置及び位置決め方法並びにフォーカスリング配置方法
CN102550130A (zh) 2009-08-31 2012-07-04 朗姆研究公司 用于执行等离子体约束的多外围环装置
US8270141B2 (en) 2009-11-20 2012-09-18 Applied Materials, Inc. Electrostatic chuck with reduced arcing
JP5563347B2 (ja) * 2010-03-30 2014-07-30 東京エレクトロン株式会社 プラズマ処理装置及び半導体装置の製造方法
JP5584517B2 (ja) 2010-05-12 2014-09-03 東京エレクトロン株式会社 プラズマ処理装置及び半導体装置の製造方法
JP5654297B2 (ja) 2010-09-14 2015-01-14 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US8633423B2 (en) 2010-10-14 2014-01-21 Applied Materials, Inc. Methods and apparatus for controlling substrate temperature in a process chamber
JP5690596B2 (ja) 2011-01-07 2015-03-25 東京エレクトロン株式会社 フォーカスリング及び該フォーカスリングを備える基板処理装置
JP6001529B2 (ja) 2011-03-29 2016-10-05 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマエッチング方法
KR101744668B1 (ko) 2011-05-31 2017-06-08 어플라이드 머티어리얼스, 인코포레이티드 유도성 커플링된 플라즈마(icp) 반응기를 위한 동적인 이온 라디칼 시브 및 이온 라디칼 개구
JP5948026B2 (ja) 2011-08-17 2016-07-06 東京エレクトロン株式会社 半導体製造装置及び処理方法
KR101267459B1 (ko) * 2011-09-08 2013-05-31 한국과학기술연구원 플라즈마 이온주입 장치 및 방법
US8933628B2 (en) 2011-10-28 2015-01-13 Applied Materials, Inc. Inductively coupled plasma source with phase control
US8988848B2 (en) 2011-12-15 2015-03-24 Applied Materials, Inc. Extended and independent RF powered cathode substrate for extreme edge tunability
US9287147B2 (en) 2013-03-14 2016-03-15 Applied Materials, Inc. Substrate support with advanced edge control provisions
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9123661B2 (en) 2013-08-07 2015-09-01 Lam Research Corporation Silicon containing confinement ring for plasma processing apparatus and method of forming thereof
CN105493262B (zh) 2013-08-30 2019-02-15 应用材料公司 基板支撑系统
JP2015050156A (ja) 2013-09-04 2015-03-16 東京エレクトロン株式会社 基板載置台及びプラズマ処理装置
JP6573325B2 (ja) * 2013-12-17 2019-09-11 東京エレクトロン株式会社 プラズマ密度を制御するシステムおよび方法
WO2015099892A1 (en) 2013-12-23 2015-07-02 Applied Materials, Inc. Extreme edge and skew control in icp plasma reactor
KR102222902B1 (ko) * 2014-05-12 2021-03-05 삼성전자주식회사 플라즈마 장비 및 이를 이용한 반도체 소자의 제조 방법
US9410249B2 (en) 2014-05-15 2016-08-09 Infineon Technologies Ag Wafer releasing
CN105336561B (zh) 2014-07-18 2017-07-21 中微半导体设备(上海)有限公司 等离子体刻蚀装置
JP6345030B2 (ja) 2014-08-11 2018-06-20 東京エレクトロン株式会社 プラズマ処理装置及びフォーカスリング
CN105789010B (zh) 2014-12-24 2017-11-10 中微半导体设备(上海)有限公司 等离子体处理装置及等离子体分布的调节方法
JP6346855B2 (ja) * 2014-12-25 2018-06-20 東京エレクトロン株式会社 静電吸着方法及び基板処理装置
US11605546B2 (en) 2015-01-16 2023-03-14 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US20170263478A1 (en) 2015-01-16 2017-09-14 Lam Research Corporation Detection System for Tunable/Replaceable Edge Coupling Ring
JP6456177B2 (ja) 2015-02-12 2019-01-23 株式会社ディスコ ウェーハ処理システム
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US9761459B2 (en) 2015-08-05 2017-09-12 Lam Research Corporation Systems and methods for reverse pulsing
US9620376B2 (en) 2015-08-19 2017-04-11 Lam Research Corporation Self limiting lateral atomic layer etch
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US9761414B2 (en) 2015-10-08 2017-09-12 Lam Research Corporation Uniformity control circuit for use within an impedance matching circuit
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
CN108140606B (zh) 2015-10-21 2022-05-24 住友大阪水泥股份有限公司 静电卡盘装置
US10062599B2 (en) 2015-10-22 2018-08-28 Lam Research Corporation Automated replacement of consumable parts using interfacing chambers
US9881820B2 (en) 2015-10-22 2018-01-30 Lam Research Corporation Front opening ring pod
US10124492B2 (en) 2015-10-22 2018-11-13 Lam Research Corporation Automated replacement of consumable parts using end effectors interfacing with plasma processing system
US20170115657A1 (en) 2015-10-22 2017-04-27 Lam Research Corporation Systems for Removing and Replacing Consumable Parts from a Semiconductor Process Module in Situ
US10985078B2 (en) 2015-11-06 2021-04-20 Lam Research Corporation Sensor and adjuster for a consumable
ITUB20159144A1 (it) 2015-12-16 2017-06-16 Automobili Lamborghini Spa Ala per veicoli, procedimento per il suo controllo ed autoveicolo comprendente quest?ala
US9601319B1 (en) 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US9966231B2 (en) 2016-02-29 2018-05-08 Lam Research Corporation Direct current pulsing plasma systems
US20170278679A1 (en) 2016-03-24 2017-09-28 Lam Research Corporation Method and apparatus for controlling process within wafer uniformity
US10312121B2 (en) 2016-03-29 2019-06-04 Lam Research Corporation Systems and methods for aligning measurement device in substrate processing systems
US11011353B2 (en) 2016-03-29 2021-05-18 Lam Research Corporation Systems and methods for performing edge ring characterization
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
JP6635888B2 (ja) 2016-07-14 2020-01-29 東京エレクトロン株式会社 プラズマ処理システム
US10283330B2 (en) * 2016-07-25 2019-05-07 Lam Research Corporation Systems and methods for achieving a pre-determined factor associated with an edge region within a plasma chamber by synchronizing main and edge RF generators
US10921251B2 (en) 2016-08-22 2021-02-16 Applied Materials, Inc. Chamber component part wear indicator and a system for detecting part wear
US20180061696A1 (en) 2016-08-23 2018-03-01 Applied Materials, Inc. Edge ring or process kit for semiconductor process module
JP2018054500A (ja) 2016-09-29 2018-04-05 東京エレクトロン株式会社 位置検出システム及び処理装置
JP6812224B2 (ja) 2016-12-08 2021-01-13 東京エレクトロン株式会社 基板処理装置及び載置台
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
JP6812264B2 (ja) 2017-02-16 2021-01-13 東京エレクトロン株式会社 真空処理装置、及びメンテナンス装置
US11404249B2 (en) 2017-03-22 2022-08-02 Tokyo Electron Limited Substrate processing apparatus
JP6656200B2 (ja) 2017-04-12 2020-03-04 東京エレクトロン株式会社 位置検出システム及び処理装置
KR102535916B1 (ko) 2017-04-26 2023-05-23 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
KR101980203B1 (ko) * 2017-10-30 2019-05-21 세메스 주식회사 지지 유닛 및 그를 포함하는 기판 처리 장치
JP7326275B2 (ja) 2017-12-01 2023-08-15 アプライド マテリアルズ インコーポレイテッド エッチング選択性の高いアモルファスカーボン膜
JP7033441B2 (ja) 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
JP7055054B2 (ja) * 2018-04-11 2022-04-15 東京エレクトロン株式会社 プラズマ処理装置、プラズマ制御方法、及びプラズマ制御プログラム
JP6995008B2 (ja) 2018-04-27 2022-01-14 東京エレクトロン株式会社 基板処理装置
US11201037B2 (en) 2018-05-28 2021-12-14 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
US11011351B2 (en) 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
KR102600003B1 (ko) * 2018-10-30 2023-11-09 삼성전자주식회사 반도체 공정 챔버 및 반도체 소자의 제조 방법

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011009351A (ja) * 2009-06-24 2011-01-13 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
US20160056017A1 (en) * 2014-08-19 2016-02-25 Samsung Electronics Co., Ltd. Plasma apparatus and method of operating the same
JP2016225376A (ja) * 2015-05-28 2016-12-28 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
WO2017218394A1 (en) * 2016-06-13 2017-12-21 Applied Materials, Inc. Systems and methods for controlling a voltage waveform at a substrate during plasma processing
JP2018117024A (ja) * 2017-01-17 2018-07-26 東京エレクトロン株式会社 プラズマ処理装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7328720B1 (ja) 2022-04-18 2023-08-17 アダプティブ プラズマ テクノロジー コーポレーション プラズマエッチングシステム

Also Published As

Publication number Publication date
US11289310B2 (en) 2022-03-29
US20200161098A1 (en) 2020-05-21
WO2020106354A1 (en) 2020-05-28
CN112997270B (zh) 2024-04-09
TW202036648A (zh) 2020-10-01
US20220223386A1 (en) 2022-07-14
JP7246478B2 (ja) 2023-03-27
CN112997270A (zh) 2021-06-18
KR20230151076A (ko) 2023-10-31
KR20210077798A (ko) 2021-06-25
KR102594606B1 (ko) 2023-10-25

Similar Documents

Publication Publication Date Title
JP7246478B2 (ja) 整形dcパルスプラズマ処理装置におけるエッジリング制御のための回路
US11367593B2 (en) Apparatus and methods for manipulating radio frequency power at an edge ring in plasma process device
JP6207780B2 (ja) ピクセル化された容量制御esc
JP6846384B2 (ja) プラズマ処理装置及びプラズマ処理装置の高周波電源を制御する方法
JP6953133B2 (ja) 容量結合型プラズマ処理装置のエッジリングのrf振幅の制御
JP5580512B2 (ja) プラズマ放射分布の磁気コントロール増強のためのプラズマ閉じ込めバッフルおよび流量平衡器
TWI627653B (zh) 使用處理腔室中之調諧電極以調諧電漿分佈之設備及方法
KR101124811B1 (ko) 플라즈마 처리 장치
KR20080071491A (ko) 플라즈마 이온 밀도 균일성을 제거하기 위해 가변 높이접지 리턴 경로를 갖는 플라즈마 반응기에서 소재를처리하는 방법
US20060278339A1 (en) Etch rate uniformity using the independent movement of electrode pieces
KR20080071493A (ko) 다수의 vhf 소스들을 이용하는 이온 분포 균일성제어기를 갖는 플라즈마 반응기
US10714318B2 (en) Plasma processing method
JP7492601B2 (ja) プラズマ処理装置のエッジリングにおける電力を操作するための装置及び方法
KR101697481B1 (ko) 플라즈마 처리장치
TWI834724B (zh) 用於在成形dc脈衝電漿處理裝置中邊緣環控制的電路
CN112740389A (zh) 具有集成加热器的腔室盖
CN215008137U (zh) 一种等离子体处理装置
KR102467966B1 (ko) 하이브리드 플라즈마 발생 장치 및 하이브리드 플라즈마 발생 장치의 제어방법
KR20230063007A (ko) 기판 처리 방법
JP2008060191A (ja) 基板処理装置および基板処理方法
CN115565840A (zh) 一种等离子体处理装置及处理方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210716

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220810

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220823

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221124

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230221

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230314

R150 Certificate of patent or registration of utility model

Ref document number: 7246478

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150