JP2018021259A - 酸化アンチモン膜の原子層堆積 - Google Patents

酸化アンチモン膜の原子層堆積 Download PDF

Info

Publication number
JP2018021259A
JP2018021259A JP2017143477A JP2017143477A JP2018021259A JP 2018021259 A JP2018021259 A JP 2018021259A JP 2017143477 A JP2017143477 A JP 2017143477A JP 2017143477 A JP2017143477 A JP 2017143477A JP 2018021259 A JP2018021259 A JP 2018021259A
Authority
JP
Japan
Prior art keywords
antimony
layer
antimony oxide
substrate
oxygen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2017143477A
Other languages
English (en)
Inventor
ライジャ マテロ
Liza Matero
ライジャ マテロ
リンダ リンドルース
Linda Lindroos
リンダ リンドルース
ヘッセル スプレイ
Sprey Hessel
ヘッセル スプレイ
ジャン ヴィレム マエス
Jan Willem Maes
ジャン ヴィレム マエス
ロエスト デイヴィッド デ
De Roest David
ロエスト デイヴィッド デ
ディーター ピエルー
Deter Pierre
ディーター ピエルー
デル ジェウド キース ヴァン
Kees Van Der Jeugd
デル ジェウド キース ヴァン
ルシア ドゥルゾ
Doulzo Lucia
ルシア ドゥルゾ
トム イー. ブロムバーグ
E Bromberg Tom
トム イー. ブロムバーグ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM International NV
Original Assignee
ASM International NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM International NV filed Critical ASM International NV
Publication of JP2018021259A publication Critical patent/JP2018021259A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/2225Diffusion sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/14Aqueous compositions
    • C23F1/16Acidic compositions
    • C23F1/26Acidic compositions for etching refractory metals

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

【課題】アンチモン反応物質および酸素ソースを使用して酸化アンチモン薄膜を原子層堆積によって堆積させるプロセスの提供。
【解決手段】反応チャンバーの中で、基板をハロゲン化アンチモン、例えばSbCl、アンチモンアルキルアミン、およびアンチモンアルコキシド、例えばSb(OEt)を含んでもよいアンチモン前駆体及びオゾンであってもよい酸素ソースと、交互にかつ逐次的に接触させることを含む、酸化アンチモンを堆積するための原子層堆積プロセス。また、この酸化アンチモン薄膜は、バッチ反応器の中で堆積される。この酸化アンチモン薄膜は、例えば、エッチング停止層または犠牲層としての役割を果たしてもよい。
【選択図】図2C

Description

関連出願の相互参照
本願は、2011年10月12日出願の米国仮出願第61/546,500号、および2012年2月10日出願の米国仮出願第61/597,373号に基づく優先権を主張する。これらの開示は、参照によりその全体を本願明細書に援用したものとする。
本願は、原子層堆積によるSb膜の堆積に関する。
酸化アンチモン薄膜を、原子層堆積(ALD)によって堆積することができる。1つの態様では、酸化アンチモン薄膜は、アンチモンソースおよび酸素ソースの交互かつ逐次的なパルスから堆積される。
いくつかの実施形態では、当該アンチモンソースは、ハロゲン化アンチモン、アンチモンアルコキシドまたはアンチモンアルキルアミン化合物を含む。酸素ソースは、例えば、オゾンであってもよい。いくつかの実施形態では、この酸素ソースは、プラズマを含む。いくつかの実施形態では、酸素ソースは水ではない。
いくつかの実施形態では、酸化アンチモン薄膜が、アンチモンアルコキシドおよび酸素ソース(例えばオゾン)の交互かつ逐次的なパルスを含むALDサイクルを使用して堆積される。このアンチモンアルコキシドは、例えば、Sb(OEt)であってもよい。膜は、バッチ反応器またはシングルウェーハ反応器(single−wafer reactor)の中で堆積されてもよく、例えば、犠牲層としてまたはエッチング停止層(etch stop layer)として使用されてもよい。
いくつかの実施形態では、酸化アンチモン薄膜が、アンチモンアルキルアミンおよび酸素ソース(例えばオゾン)の交互かつ逐次的なパルスを含むALDサイクルによって堆積される。この膜は、シングルウェーハ反応器またはバッチ反応器の中で堆積されてもよく、例えば、犠牲層としてまたはエッチング停止層として使用されてもよい。
いくつかの実施形態では、水のパルスが、当該堆積プロセスに含まれてもよい。水が含まれるとき、当該酸素ソースは水ではない。
別の態様では、酸化アンチモン薄膜が、アンチモン前駆体および水の交互かつ逐次的なパルスを含むALDプロセスによって堆積される。いくつかの実施形態では、このアンチモン前駆体は、ハロゲン化アンチモン、アンチモンアルキルアミンまたはアンチモンアルコキシドである。
いくつかの実施形態では、当該ハロゲン化アンチモン反応物質はSbClであってもよい。いくつかの実施形態では、アンチモンアルコキシド反応物質はSb(OEt)であってもよい。いくつかの実施形態では、アンチモンアルキルアミン反応物質はSb(N(CH3であってもよい。
いくつかの実施形態では、ALDによって堆積された酸化アンチモンの化学量論は、SbO(式中、xは約1〜約3である)であってもよい。いくつかの実施形態では、この酸化アンチモンの化学量論は、Sb、Sbまたはこれらの混合物であってもよい。いくつかの実施形態では、当該酸化アンチモンの化学量論はSbである。他の実施形態では、当該酸化アンチモンの化学量論はSbである。いくつかの実施形態では、当該酸化アンチモンの化学量論はSbおよびSbの混合物である。他の実施形態では、当該酸化アンチモンは異なる化学量論を有する。
いくつかの実施形態では、当該ALDプロセスは熱的ALDプロセスである。いくつかの実施形態では、当該ALDプロセスはプラズマ支援(plasma enhanced)ALDプロセス(PEALD)である。
いくつかの実施形態では、当該ALDプロセスは、バッチ反応器の中で実施される。いくつかの実施形態では、当該ALDプロセスは、シングルウェーハ反応器の中で実施される。
いくつかの実施形態では、当該酸化アンチモンは、異なる金属または金属酸化物などの別の物質でドーピングされてもよい。つまり、この異なる金属または金属酸化物の少なくとも1つの金属はアンチモンではない。例えば、当該酸化アンチモンは、酸化アルミニウム(Al)でドーピングされてもよい。
いくつかの実施形態では、ALDによって堆積された酸化アンチモン膜は、固体状態ドーピング用途において、例えばFinFetの形成において使用される。例えば、酸化アンチモンは、シリコン上に堆積されてもよく、温度は、ドーパント(Sb)を下に存在するシリコンの中へと送り込むために使用することができる。シャープなドーパント(Sb)プロファイルが得られる可能性がある。なぜなら、その拡散係数は、Pの拡散係数よりも低い大きさであるからである。
いくつかの実施形態では、シリコン基板などの材料ドーピングする方法は、ALDによって直接上に酸化アンチモンを堆積することと、この材料を、下に存在する材料と接触させることと、アニールして、ドーパントを酸化アンチモン層から下に存在する材料へと送り込むこととを含む。
いくつかの実施形態では、ALDによって堆積された酸化アンチモン薄膜は、PMOSにおけるp型キャップ層として使用されてもよい。例えば、酸化アンチモンの薄層を、ALDによってゲート誘電体上に堆積して、これにより閾値電圧をp型に向かってシフトさせることができる。いくつかの実施形態では、Si/SiO/HfO/SbO/TiN構造が形成される。
いくつかの実施形態では、ALDによって堆積された酸化アンチモン薄膜は、ダブルパターニングまたはクアドラプルパターニング(quadruple patterning)のための犠牲層(例えばレジスト上に堆積される)として使用することができる。多重パターニングの方法は、ALDによって、基板上にあるパターン形成されたレジスト層にわたって、共形の(形状に一致した、conformal)酸化アンチモン層を堆積することと、この酸化アンチモン層をエッチングすることと、このレジストを除去することと、基板をエッチングすることとを含んでもよい。いくつかの実施形態では、ALDによって堆積された酸化アンチモン薄膜は、エッチング停止層として使用される。
いくつかの態様では、ALDによって堆積された酸化アンチモン薄膜は、半導体基板上に構造体を形成するために使用することができる。第1の物質を含む第1の層は、基板上に堆積される。酸化アンチモンの第2の層は、ALDによってその基板上に堆積される。当該ALDプロセスは、基板を、アンチモン前駆体および酸素前駆体と交互にかつ逐次的に接触させることを含んでもよい。この第1の層または第2の層は、その後でエッチングされる。いくつかの実施形態では、第1の物質を含む第1の層は、第2の酸化アンチモン層よりも選択的にエッチングされる。他の実施形態では、第2の酸化アンチモン層は第1の層よりも選択的にエッチングされる。この第1の層は、例えば、SiOまたはAlを含んでもよい。この第2の酸化アンチモン膜を堆積するためのALDプロセスは、いくつかの実施形態では、バッチ反応器の中で実施されてもよい。
本発明は、実施形態のうちのいくつかについての詳細な説明から、および添付の図面から、よりよく理解されるであろう。これらは、本発明を説明することが意図されているが、本発明を限定することは意図されていない。
図1Aおよび図1Bは、構造化されたフォトレジスト上に堆積されたSb層を示す。 Sb(OEt)およびOから堆積されたSb膜の成長速度を、温度の関数として示す。 分光エリプソメーターを使用して21点から測定された、Sb(OEt)およびOから堆積されたSb膜の膜不均一性を、温度の関数として示す。 同様にSb(OEt)およびOから堆積されたSb膜の膜不均一性を、温度の関数として示す。 100℃で堆積された25nmのSb膜のAFMイメージを示す。 SbClおよびOから堆積されたSb膜の成長速度を、温度の関数として示す。 SbClおよびOから堆積されたSb膜の膜不均一性を、温度の関数として示す。測定は、分光エリプソメーターを使用して21点で行った。 SbOの成長速度を、Sb(N(CHパルス時間の関数として図示する。 Sb(N(CH+Oから100℃で堆積されたSbOの厚さプロファイル(nm)を示す。 Sb(OEt)およびOからのSbOの成長速度を、反応器に注入された推定O投入量の関数として図示する。 実施例6に記載される条件を使用して堆積されたSbO膜の厚さ不均一性地図である。
酸化アンチモン膜(SbO)は、アンチモン前駆体および酸素ソース反応物質を使用する原子層堆積プロセスによって堆積することができる。いくつかの実施形態では、アンチモン前駆体は、ハロゲン化アンチモン、アンチモンアルコキシドおよび/またはアンチモンアルキルアミンであってもよい。例えば、いくつかの実施形態では、Sb膜は、Sb(OCおよびOを使用するALDによって堆積することができる。いくつかの実施形態では、Sb膜は、SbClおよびOを使用するALDによって堆積される。
ALDによって堆積された酸化アンチモンは、様々な場面で、例えば、p型キャッピング層として、下に存在するシリコンをドーピングするためのドーパントソースとして固体状態ドーピング用途において、および複数のパターニング用途において、使用することができる。SiOと比べてエッチング特性に違いがあるので、この酸化アンチモンは犠牲層として使用することができる。
当該酸化アンチモン膜の厚さおよび組成は、所望の特徴を持つ膜を生成するように制御することができる。酸化アンチモンは、本願明細書中では、総称的に、SbOと呼ばれる。しかしながら、正確な化学量論は変わる可能性がある。いくつかの実施形態では、xは約1〜約3、または約1.2〜約2.5であることができる。いくつかの実施形態では、当該酸化アンチモンの化学量論はSbである。他の実施形態では、当該酸化アンチモンの化学量論はSbである。いくつかの実施形態では、当該酸化アンチモンの化学量論はSbおよびSbの混合物である。いくつかの実施形態では、当該酸化アンチモンは異なる化学量論を有する。
ALD型プロセスは、前駆体化学物質の制御された自己制御的な表面反応に基づく。基板をそれらの前駆体と交互にかつ逐次的に接触させることにより、気相反応は回避される。蒸気相反応物質は、例えば、反応物質パルス間に過剰の反応物質および/または反応物質副生成物を反応チャンバーから除去することにより、反応チャンバーの中で互いから分離される。
本願明細書中に提示される方法は、基板表面上での酸化アンチモン膜の堆積を可能にする。ALD型プロセスの特性および共形の薄膜を堆積する能力のため、表面構造的に困難な応用も可能である。いくつかの実施形態によれば、原子層堆積(ALD)型プロセスは、集積回路ワークピースなどの基板上に酸化アンチモン膜を形成するために使用される。
基板またはワークピースは、反応チャンバーの中に置かれ、交互に繰り返される表面反応にかけられる。特に、いくつかの実施形態では、薄膜は、自己制御的なALDサイクルの繰り返しによって形成される。好ましくは、各ALDサイクルは、少なくとも2つの別個の相(フェーズ)を含む。第1の相では、1つの反応物質が基板表面上にわずかに約1単層を形成するであろう。この反応物質としては、アンチモンが挙げられる。本願明細書中で「アンチモン反応物質」または「アンチモン前駆体」とも呼ばれるこの反応物質は、例えば、ハロゲン化アンチモン、アンチモンアルコキシドまたはアンチモンアルキルアミドであってもよい。酸素を含む第2の反応物質(「酸素ソース」)が与えられ、吸着されたアンチモン前駆体と反応して、酸化アンチモンを形成する。この酸素ソースは、いくつかの実施形態では、プラズマを含んでもよい。例示的な酸素ソースとしては、水、オゾンおよび酸素プラズマが挙げられる。いくつかの実施形態では、例えば、酸化アンチモンの成長を増進するために第3の反応物質が含まれてもよい。いくつかの実施形態では、この第3の反応物質は水であってもよい。第1の相および第2の相ならびに第1の反応物質、第2の反応物質および第3の反応物質と呼ばれるが、ALDサイクルは、これらの反応物質のうちのいずれの1つで始まってもよい。
いくつかの実施形態では、堆積が所望される基板、好ましくは半導体ワークピース、が反応器へと投入される。この反応器は、集積回路の形成における様々な異なるプロセスが実施されるクラスターツールの一部であってもよい。いくつかの実施形態では、フロー型反応器が利用される。いくつかの実施形態では、高容積の製造が可能なシングルウェーハALD反応器が使用される。
他の実施形態では、複数の基板を含むバッチ反応器が使用される。バッチALD反応器におけるいくつかの実施形態については、基板の数は、好ましくは10〜200の範囲、より好ましくは50〜150の範囲、最も好ましくは100〜130の範囲にある。いくつかの実施形態では、バッチ反応器は、50よりも多いウェーハ、100よりも多いウェーハ、または150よりも多いウェーハとともに使用される。いくつかの実施形態では、加工の間にボート(boat)が回転する縦型バッチ反応器、例えばエーエスエム・ヨーロッパ(ASM Europe B.V.)(オランダ、アルメール(Almere))製のA412(商標)反応器、が利用される。従って、いくつかの実施形態では、ウェーハは、加工の間に回転する。
ALDプロセスを増強するように特に設計されている例示的なシングルウェーハ反応器は、商標Pulsar(登録商標) 2000およびPulsar(登録商標) 3000のもとでエーエスエム・アメリカ(ASM America,Inc.)(アリゾナ州、フェニックス)から市販されている。ALDプロセスを増強するように特に設計されている例示的なバッチALD反応器は、商標A4ALD(商標)およびA412(商標)のもとでエーエスエム・ヨーロッパ(ASM Europe B.V.)(オランダ、アルメール(Almere))から市販されている。いくつかの実施形態では、エーエスエム・マイクロケミストリー(ASM Microchemistry Oy)(エスポー(Espoo))によって供給されるF−450(商標) ALD反応器が使用される。使用することができるかまたは使用されるように改変することができる他の反応器は、当業者には明らかであろう。
いくつかの実施形態では、基板は300mmウェーハである。他の実施形態では、基板は450mmウェーハである。これらの大きいウェーハは、シングルウェーハ反応器の中またはバッチ反応器の中で使用されてもよい。
いくつかの実施形態では、ALDによって堆積された酸化アンチモン膜についての最大のウェーハ内厚さ不均一性は、約15%未満(1σ)、約10%未満、約5%未満、または約3%未満である。バッチ反応器が使用されるいくつかの実施形態では、ウェーハ間均一性は3%未満(1σ)、2%未満、1%未満、さらには0.5%未満である。
上で簡潔に触れたように、本願明細書に開示されるALDプロセスは、三次元構造体の上での共形の堆積をも可能にする。いくつかの実施形態では、三次元構造体上の堆積されたSbO膜の段差被覆(ステップカバレッジ)は、50%超、80%超、90%超またはさらには95%超である。
いくつかの実施形態では、必要に応じて、ワークピースの露出した表面は、ALDプロセスの第1の相と反応するように終結することができる。いくつかの実施形態では、別々の終結工程は必要とはされない。
このあとより詳細に論じられるように、いくつかの実施形態では、1以上のSbO堆積サイクルがアンチモン前駆体の提供で始まり、そのあと、酸素ソースが続く。他の実施形態では、堆積は、酸素ソースの提供で始まり、そのあと、アンチモン前駆体が続いてもよい。反応チャンバーは、典型的には、反応パルスと反応パルスとの間にパージされる。所望の厚さの膜が得られるまで、このサイクルは繰り返される。いくつかの実施形態では、ALDプロセス内の1以上のサイクルが、所望の組成を持つ膜を得るために変更される。
いくつかの実施形態では、アンチモン前駆体がまず与えられる。初期の表面終結の後、必要または所望に応じて、第1のアンチモン前駆体パルスがワークピースに供給される。いくつかの実施形態によれば、この第1の反応物質パルスは、キャリアガスフロー、および注目するワークピース表面と反応性である揮発性のアンチモン種(SbClまたはSb(OEt)など)を含む。従って、このアンチモン反応物質は、ワークピース表面上に吸着する。この第1の反応物質パルスはワークピース表面を自己飽和し、そのため、第1の反応物質パルスの過剰の構成成分のいずれも、このプロセスによって形成される分子層とさらに反応しない。
この第1のアンチモン反応物質パルスは、好ましくは、ガス状形態で供給される。このアンチモン反応物質ガスが、当該プロセス条件下で、露出した表面を飽和するのに十分な濃度でその種をワークピースへと輸送するために十分な蒸気圧を呈する場合に、その種は本記載の目的のための「揮発性」と考えられる。
このアンチモン反応物質は、所望の程度まで基板表面を飽和するのに十分な時間、パルス供与される。
分子層が基板表面上に吸着するのに十分な時間の後、次いで、過剰の第1の反応物質は反応空間から除去される(パージされる)。いくつかの実施形態では、第1の反応物質の流れは止められ、同時に、過剰の反応物質および(存在する場合)反応物質副生成物を反応空間から拡散またはパージするのに十分な時間、キャリアガスまたはパージガスが流し続けられる。アンチモン反応物質の提供および除去は、ALDサイクルのアンチモン相と呼ぶことができる。
酸素ソース(第2の反応物質)は、基板表面と接触して、吸着されたアンチモン前駆体と反応して、酸化アンチモン層を形成するように反応空間の中へとパルス供与される。この酸素ソースは、例えば、オゾン、水または酸素ラジカルを含んでもよい。酸素ソース濃度およびパルス供与時間も、特定の状況に基づいて決定することができる。いくつかの実施形態では、酸素ソース濃度は約10〜約400g/m(NTP)、または約60〜約300g/mであってもよい。チャンバーへの酸素ソースの流量は、例えば、約100〜約1000cm/分(NTP)、または約200〜800cm/分であってもよい。
完全に飽和し分子層を酸素パルスと反応させるのに十分な時間の後、あらゆる過剰の第2の、酸素反応物質は反応空間から除去される。第1の反応物質の除去の場合のように、この工程は、過剰の反応性化学種および揮発性の反応副生成物が反応空間からパージされるのに十分な時間、パージガスを流すことを含んでもよい。合わせて、酸素反応物質の提供および除去は、プロセスの中の第2の相を表し、酸素相と考えることもできる。
これらのアンチモン相および酸化相は一緒に、1つのALDサイクルを表し、これが繰り返されて、所望の厚さの酸化アンチモン薄膜が形成される。
後で論じるように、いくつかの実施形態では、水(または別の反応物質)が基板にパルス供与される第3の相が含まれてもよい。水の蒸気相パルスが反応空間に与えられ、反応し、次いで、例えば、不活性ガスとともにパージすることにより反応空間から除去される。いくつかの実施形態では、この水相は、アンチモン相の後でかつ酸化相の前に与えられる。他の実施形態では、水相は、酸化相の後でかつ次のアンチモン相の後に与えられる。水が使用されるとき、酸化相は、水以外の酸素ソースを利用する。
このアンチモン反応物質および酸素ソースについての正確なパルス供与時間は、特定の状況に基づいて決定することができる。いくつかの実施形態では、アンチモン反応物質および/または酸素ソースについてのパルス時間は、約0.05〜180秒、0.1〜50秒、1〜10秒または約2秒である。反応器のタイプ、基板のタイプおよびその表面積に応じて、アンチモン反応物質および酸素前駆体についてのパルス供与時間は、180秒よりもさらに長くてもよい。いくつかの実施形態では、パルス供与時間は、数分間の程度であってもよい。最適のパルス供与時間は、特定の状況に基づいて当業者が容易に決定することができる。
典型的なパージ時間は、約0.05〜20秒、例えば約1〜10、またはさらには約1〜2秒である。しかしながら、必要に応じて、例えば、極めて高いアスペクト比の構造体または複雑な表面形態を持つ他の構造体にわたって層を堆積することが必要とされるときなどには、他のパージ時間を利用することができる。
バッチ反応器を使用するいくつかの実施形態では、アンチモン反応物質のパルス時間は、約1〜約60秒であり、このアンチモン反応物質は約5〜約600秒間パージされ、酸素ソースは約1〜約60秒間パルス供与され、この酸素ソースは、約5〜約600秒間パージすることにより除去される。
本願明細書中でALDサイクルは、たいてい、アンチモン相で始まると言及されるが、他の実施形態では、このサイクルは酸素相で始まってもよいということが企図される。当業者は、第1の反応物質相は、一般に、以前のサイクルの中の最後の相によって残された終結物(存在する場合)と反応するということを認識するであろう。従って、酸素相が第1のALDサイクルの中の第1の相である場合には、反応物質が基板表面上に事前に吸着されていないか、または反応空間の中に存在しない可能性がある一方で、その後のサイクルでは、酸素相はアンチモン相に効果的に続くであろう。
いくつかの実施形態では、アンチモン相は、ハロゲン化アンチモン、例えばSbCl、アンチモンアルキルアミンまたはアンチモンアルコキシド、例えばSb(OEt)のパルスを提供することを含む。過剰のアンチモン前駆体は除去され、その前駆体は、酸素ソース(例えばオゾンまたは水)のパルスと接触され、酸化アンチモンの層を形成する。
上で触れたように、各ALDサイクルの各パルスまたは相は、典型的には、自己制御的である。過剰の反応物質が各相において供給され、反応を受ける構造表面を飽和する。表面飽和によって、すべての利用可能な反応性部位の反応物質による占拠(例えば、物理的大きさまたは「立体障害」の制約を受ける)が確実になり、従って優れた段差被覆が確実になる。しかしながら、いくつかの実施形態では、相のうちの1以上は自己制御的でないように、反応条件が操作されてもよい。
1つの実施形態によれば、酸化アンチモン薄膜は、複数の酸化アンチモン堆積サイクルを含むALD型プロセスによって基板上に形成され、各堆積サイクルは、
アンチモン化合物が基板に吸着するように、基板を、気化したアンチモン化合物と接触させることと、
過剰のアンチモン化合物および反応副生成物(存在する場合)を除去することと、
この基板を酸素ソースと接触させ、これにより、吸着された アンチモン化合物を酸化アンチモンへと転化することと、
過剰の未反応の酸素ソースおよび反応副生成物を除去することと
を含む。
接触させる工程および除去する工程は、所望の厚さおよび組成の薄膜が得られるまで、繰り返される。
上で論じたように、堆積プロセスは、典型的には、複数のALD堆積サイクルを含む。いくつかの実施形態では、酸化アンチモンは、例えば、金属または金属酸化物、例えば酸化アルミニウムでドーピングされてもよい。従って、いくつかの実施形態では、酸化アンチモン堆積サイクルは、金属または金属酸化物堆積サイクルとの所望の比で提供されてもよい。金属または金属酸化物堆積サイクルに対する酸化アンチモン堆積サイクルの比は、ALDプロセスによって堆積された最終の酸化アンチモン膜の中のドーパント濃度を制御するように選択されてもよい。例えば、低ドーパント密度のためには、金属または他の金属酸化物堆積サイクルに対する酸化アンチモンサイクルの比は、10:1の程度であってもよい。より高濃度のドーパントのためには、比は約1:1以上までの範囲であってもよい。
加えて、ドーパントの密度は、堆積プロセスの間のドーパント堆積サイクルに対する酸化アンチモンサイクルの比を変えることにより、膜の厚さにわたって変化させることができる。
堆積温度は、反応物質の熱分解温度未満、しかし、反応物質の凝縮を回避し所望の表面反応のための活性化エネルギーを与えるのに十分高いレベルに維持される。当然、任意の与えられたALD反応についての適切な温度枠は、関与する表面終結物および反応物質種に依存するであろう。本発明では、温度は、好ましくは、約500℃以下である。いくつかの実施形態では、堆積温度は約50〜約400℃である。SbClについては、堆積温度は、好ましくは、約35℃以上、例えば約35℃〜約500℃である。Sb(OEt)については、堆積温度は、好ましくは、約室温以上、例えば約室温〜約500℃である。
バッチ反応器が使用されるいくつかの実施形態では、堆積温度は、約20℃〜約500℃、約100℃〜約400℃、約120℃〜約300℃または約150℃〜約250℃である。
当該堆積プロセスは、広い範囲の圧力条件で実施することができるが、当該プロセスを減圧で運転することが好ましい。反応チャンバーの中の圧力は、典型的には、約0.01〜約500mbarまたはこれより上である。しかしながら、ある場合には、圧力は、当業者が容易に決定できるとおり、この範囲よりも高いかまたは低いであろう。いくつかの実施形態では、シングルウェーハ反応器の中の圧力は、約0.01mbar〜50mbar、または約0.1mbar〜10mbarに維持される。いくつかの実施形態では、バッチALD反応器の中の圧力は、約1mTorr〜500mTorr(約0.1Pa〜約65Pa)、または約30mTorr〜200mTorr(約4Pa〜約27Pa)に維持される。
アンチモンソースの温度は、好ましくは、堆積温度または基板温度未満に設定される。これは、ソース化学物質の蒸気の分圧が基板温度における凝縮限界を超えると、薄膜の制御された一層ずつの成長が損なわれるという事実に基づく。例えば、SbClについては、いくつかの実施形態では、ソース温度は約35℃であり、一方、Sb(OEt)については、いくつかの実施形態では、ソース温度はほぼ室温である。
いくつかの実施形態では、堆積された酸化アンチモン薄膜は、約80%超、約90%超、約95%超の段差被覆または約100%の段差被覆を有する。
一般に、ソース物質(例えば、アンチモンソース物質)は、十分な蒸気圧、基板温度における十分な熱安定性、およびALDによって堆積を行うための化合物の十分な反応性を提供するように選択されることが好ましい。「十分な蒸気圧」は、典型的には、気相にある十分なソース化学物質分子を基板表面に供給して、表面での自己飽和反応を所望の速度で可能にする。「十分な熱安定性」は、典型的には、ソース化学物質自体が、成長を妨げる凝縮性の相を表面上に形成しないか、または熱分解を通して有害なレベルの不純物を基板表面を残さないということを意味する。換言すれば、温度は、選択された反応物質の蒸気の凝縮限界よりも上で熱分解限界よりも下に保たれる。1つの目的は、基板上での分子の未制御の凝縮を回避することである。「十分な反応性」は、典型的には、商業的に許容できるスループット時間を可能にするのに十分短いパルスの中での自己飽和を生じる。さらなる選択基準としては、高純度での化学物質の入手可能性および化学物質の取り扱いの容易さが挙げられる。
いくつかの実施形態では、当該アンチモン反応物質はハロゲン化アンチモンを含む。例えば、このハロゲン化アンチモンはSbClであってもよい。他の実施形態では、ハロゲン化アンチモンはSbBr、SbFまたはSbIであってもよい。いくつかの実施形態では、ハロゲン化アンチモンは、少なくとも1つのハライドリガンドを含む。いくつかの実施形態では、ハロゲン化アンチモンはSbX3−z(式中、zは1〜3であり、Aは、アルキルアミンを含むリガンド、Xとは異なるハライド、またはアミン、シリル、アルコキシドまたはアルキル基である)である。
いくつかの実施形態では、このアンチモン反応物質は、アンチモンアルコキシドを含む。例えば、アンチモン反応物質は、Sb(OEt)を含んでもよい。いくつかの実施形態では、このアンチモン反応物質は、Sb(OR)(式中、Rは直鎖状、分枝状、もしくは環状の、飽和もしくは不飽和の、C1−C12のアルキルまたはアルケニル基である)を含んでもよい。このアルキルまたはアルケニルは、ハロゲン、アミン、シリルなどの置換基で置換されていてもよい。いくつかの実施形態では、当該アンチモン反応物質は、Sb(OR)3−x(式中、xは1〜3であり、Rは直鎖状もしくは分枝状の、環状もしくは直鎖状の、飽和もしくは不飽和の、C1−C12のアルキルまたはアルケニル基である)を含んでもよい。このアルキルまたはアルケニルは、ハロゲン、アミン、シリルのような置換基で置換されていてもよい。Aは、アルキルアミン、ハライド、アミン、シリルまたはアルキルを含むリガンドである。
酸化アンチモンは、上記のとおり、ハロゲン化アンチモンまたはアンチモンアルコキシドをアンチモンソースとして使用して堆積することができるのに対して、いくつかの実施形態では、アンチモンアルキルアミンおよびアンチモンアルキルなどの他のタイプのアンチモンソースを使用することができる。例えば、犠牲層としての役割を果たすための酸化アンチモン膜の堆積などの特定の応用例では、代替のアンチモンソースを使用することができる。この犠牲層は、例えば、ダブルパターニングまたはクアドラプルパターニングにおいて使用されてもよい。
いくつかの実施形態では、アンチモンアルキルアミンが使用される。このアンチモン反応物質は、例えば、Sb(NR3−x(式中、xは1〜3であり、Rは直鎖状、分枝状もしくは環状の、飽和もしくは不飽和の、C1−C12のアルキルまたはアルケニル基であることができる)を含んでもよい。いくつかの実施形態では、このアルキルまたはアルケニルは、ハロゲン、アミン、シリルなどの置換基で置換されていてもよい。Aは、アルキルアミン、ハライド、アミン、シリルまたはアルキルを含むリガンドであることができる。この種の前駆体の具体例は、トリス(ジメチルアミン)アンチモン、Sb(NMeである。他の非限定的な例は、C2−C3類似体:Sb(NEt、Sb(NPrおよびSb(NPrである。Sb(NRの中のRは、直鎖状もしくは分枝状の、環状もしくは直鎖状の、飽和もしくは不飽和の、C1−C12のアルキルまたはアルケニル基であることができる。このアルキルまたはアルケニルは、ハロゲン、アミン、シリルなどの置換基で置換されていてもよい。
使用することができる別のタイプのアンチモン化合物は、式SbR3−x(式中、xは1〜3であり、Rは、直鎖状、分枝状、もしくは環状の、飽和もしくは不飽和の、C1−C12のアルキルまたはアルケニル基であることができる)を有するアンチモンアルキルである。いくつかの実施形態では、このアルキルまたはアルケニルは、ハロゲン、アミン、シリルなどのような置換基で置換されていてもよい。Aは、アルキルアミン、ハライド、アミン、シリルまたはアルキルを含むリガンドである。
上記のアンチモン化合物のうちのいくつかにおいては、アンチモンの酸化状態は+IIIであるが、+Vのような異なるアンチモンの酸化状態を有する、アルコキシド、ハライド、アルキルおよびアルキルアミンまたはそれらの混合物もしくは誘導体などの類似のアンチモン化合物を使用することができる。
いくつかの実施形態では、当該酸素ソース物質は、水、酸素、過酸化水素、過酸化水素の水溶液、オゾン、原子状酸素、窒素酸化物、過酸(−−O−−O−−H)、アルコール、酸素含有ラジカルおよびこれらの混合物からなる群から選択される。離れた場所でまたはその場で発生された酸素プラズマなどの他の酸素ソースも、用いることができる。
この酸素ソースは、酸素含有ガスパルスであってもよく、酸素および不活性ガス(窒素またはアルゴンなど)の混合物であることができる。いくつかの実施形態では、この酸素ソースは、分子状酸素含有ガスパルスであってもよい。いくつかの実施形態では、酸素−ソースガスの酸素含有量は約10〜25%である。従って、酸素の1つのソースは空気であってもよい。いくつかの実施形態では、酸素ソースは分子状酸素である。いくつかの実施形態では、酸素ソースは、活性化されたまたは励起された酸素種を含む。
いくつかの実施形態では、当該酸素ソースはオゾンを含む。この酸素ソースは、純粋なオゾンまたはオゾン、分子状酸素、および別のガス、例えば不活性ガス(窒素またはアルゴンなど)の混合物であってもよい。オゾンは、オゾン発生装置によって生成することができ、そのオゾンは、最も好ましくは、何らかの種類の不活性ガス、例えば窒素を用いて、または酸素を用いて反応空間の中へと導入される。いくつかの実施形態では、オゾンは、約5体積%〜約40体積%、好ましくは約15体積%〜約25体積%の濃度で与えられる。他の実施形態では、オゾンは、例えば、酸素含有ガスをアークに通して導くことにより、反応器内部で形成される。
他の実施形態では、酸素含有プラズマは、反応器の中で形成される。いくつかの実施形態では、このプラズマは、基板の上で、または基板のごく近傍で、その場で形成されてもよい。他の実施形態では、プラズマは、離れた場所のプラズマ発生装置の中で、反応チャンバーの上流で形成され、プラズマ生成物は、反応チャンバーへと導かれ、基板と接触される。当業者ならわかるとおり、離れた場所のプラズマの場合には、基板への経路は、基板に到達する前に、電気的に中性の種を最大にし、イオンの生存を最小にするように最適化することができる。
いくつかの実施形態では、水は酸素ソースとして使用されない。いくつかの実施形態では、水は酸素ソースとして使用される。他の実施形態では、水は、1以上のさらなる酸素ソースと組み合わせて使用される。この水は、このさらなる酸素ソースと一緒に、または別々に与えられてもよい。いくつかの実施形態では、水パルスは、第2の酸素ソース(例えばオゾン)のパルスの前に与えられる。他の実施形態では、水パルスは、第2の酸素ソース(例えばオゾン)のパルスの後に与えられる。反応チャンバーは、各パルスの間にパージされてもよい。
上で触れたように、いくつかの実施形態では、この酸化アンチモン膜は、例えば半導体をドーピングするための、下に存在する層のためのドーパントソースとして使用することができる。例えば、酸化アンチモンを、本願明細書に開示されるALDプロセスによって、別の層、例えばケイ素層、の上にわたって堆積することができ、下に存在するケイ素層へとドーパント(Sb)を送り込むために、アニーリングを実施することができる。ドーピングされる対象の半導体層は、例えば、finFETデバイスのフィン(fin)であってもよい。
いくつかの実施形態では、酸化アンチモン層がシリコン基板の上にわたって堆積され、シリコン基板の中へとドーパント(Sb)を送り込むためにアニールされる。
いくつかの実施形態では、酸化アンチモン層を、c−Si系太陽電池用の表面パッシベーション膜として使用することができる。
いくつかの実施形態では、酸化アンチモン層は、PMOSにおけるp型キャッピング層としての役割を果たすことができる。例えば、薄い酸化アンチモン層を、ゲート誘電体の上に堆積することができる。従って、この酸化アンチモン層は、閾値電圧をp型に向かってシフトさせる役割を果たす可能性がある。いくつかの実施形態では、Si/SiO/HfO/SbO/TiNを含む多層構造が堆積され、この場合、少なくともSbO層は、本願明細書に記載されるALDによって堆積される。
いくつかの実施形態では、酸化アンチモン薄膜は、複数のパターニング用途(例えば二重露光(double exposure)、二重現像(double development)、スペーサ規定ダブルパターニング(spacer defined double patterning)、三重露光(triple exposure)および四重露光(quadruple exposure)など)において使用することができる。この酸化アンチモン薄膜は、例えば、犠牲層またはエッチング停止層としての役割を果たしてもよい。
一部は、極紫外リソグラフィー(EUV)の導入の遅れのために、ダブルパターニングが、限界寸法(CD)を低下させるために使用される。使用される1つのアプローチは、スペーサ規定ダブルパターニング(SDDP)と呼ばれる。手短に言えば、均一な共形の層が、例えば、非晶質炭素であることができるパターン形成されたコア(マンドレルまたは鋳型とも呼ばれる)上に堆積される。乾式エッチングの後、下側の層(複数可)にパターンを形成するための鋳型/マスクとして作用するスペーサが作成される。このスペーサ材料の層の厚さがCDおよびCD均一性を決定する。良好なウェーハ内均一性が所望される(CD値の10%の3 SIGMA(σ)がよい基準である)。いくつかの実施形態では、酸化アンチモン層は、SDDPプロセスの一部として、本願明細書に記載されるALDによって堆積される。この酸化アンチモン層は、例えば、スペーサを形成するために使用される共形の層としての役割を果たしてもよい。
ダイレクトSDDPでは、スペーサは、レジスト上に直接堆積された共形の層である。従って、低温プロセス(150℃未満など)が使用される。実際の最大温度は、レジストのタイプに応じて変わるであろう。ダイレクトSDDPの利点は、従来のSDDPと比べた、プロセス工程の数の減少である。課題は、レジストに適合する堆積プロセスを見つけることである。いくつかの実施形態では、本願明細書に記載されるプロセスは、150℃未満の温度、または与えられたレジストについての最大温度未満の温度で運転され、従ってこのダイレクトSDDPに関して使用することができる。いくつかの実施形態では、SDDPプロセス、または半導体基板上に構造体を形成するための他のプロセスは、本願明細書に記載されるALDにより共形の酸化アンチモン膜を堆積することと、その後その酸化アンチモン膜をエッチングすることとを含む。いくつかの実施形態では、酸化アンチモン膜よりも基板上の異なる膜がエッチングされる。
加えて、スペーサが鋳型および下に存在する誘電体よりも高いエッチング選択性、および良好な機械的特性(パターンの崩壊を回避する)を有する場合、低アスペクト比のレジストを使用することができ、従ってリソグラフィーおよび集積を容易にすることができる。現在、SiOおよびSiNがスペーサ層を形成するために使用されるが、これらは、鋳型および/または下に存在する誘電体に対する困難なエッチング選択性を有し、従って高アスペクト比のレジストを必要とする。いくつかの実施形態では、開示された方法によって堆積されたSbO膜、例えばSb膜、は、より望ましいエッチング選択性を有し、スペーサ層を形成するために使用される。例えば、実験により、1% HF、25% HSO、濃HNO、および2M NaOHはSbOをエッチングしないが、他方で、SbOは、濃HClによって素早く除去することができるということが示された(下記の実施例を参照)。従来のSDDPアプローチと比べて、これは、鋳型および下に存在する材料に対するより高い選択性を可能にする。
本願明細書に開示されるSbO堆積プロセスは、いくつかの実施形態では、比較的低温、例えば150℃未満または100℃未満で実施することができ、かつ均一な特性を持つ共形の膜を形成するために使用することができるので、いくつかの実施形態では、当該プロセスは、ダイレクトSDDPのために使用することができる。加えて、堆積された膜は、良好な機械的特性および良好なエッチング選択性を有することができるので、低アスペクト比のレジストを形成することができ、これにより、リソグラフィーおよび集積を容易にすることができる。
いくつかのこのような実施形態では、酸化アンチモン層は、ALDによって、パターン形成されたレジストの上にわたって共形的に堆積される。例が図1に図示されており、この図では、1つのSb層が、構造化されたフォトレジストの上に100℃で堆積された。
酸化アンチモンは、犠牲層またはエッチング停止層として使用することができる。上で触れたように、SbO膜とSiOおよびALDによって堆積されたAlなどの他の材料との間で、エッチング特性に差がある。例えば、Sb(OEt)およびOから堆積されたSb膜は、1% HF、25% HSO、濃HNOまたは2M NaOHを用いてエッチングすることはできなかった。しかしながら、この膜は、濃HCl中では約10nm/分の速度でエッチングすることができた。従って、いくつかの実施形態では、Sb(OEt)およびOから堆積されたSb膜は、HClを使用して選択的にエッチングすることができ、または1% HF、25% HSO、濃HNOまたは2M NaOHを使用して、異なる膜を、当該Sb膜よりも選択的にエッチングすることができる。
他方、から堆積されたSbClおよびOから選択されたSb膜は、1% HF、25% HSO、濃HCl、または濃HNOを用いてエッチングすることができなかった。従って、いくつかの実施形態では、これらの化合物のうちの1以上を使用してエッチングすることができる膜は、これらの前駆体から堆積されたSb膜よりも選択的にエッチングすることができる。
加えて、熱的SiOはHF溶液の中で約2.8nm/分の速度でエッチングされるのに対し、本願明細書に記載されるようにして堆積されたSbO膜は、同じHF溶液の中で顕著なエッチングをまったく示さないということが見出された。従って、いくつかの実施形態では、HFは、このようなSbO膜よりもSiOを選択的にエッチングするために使用される。他方、SbO膜は、Hを用いて、約1〜2nm/分の速度でエッチングすることができたが、熱的SiOはHを用いてはエッチングされない。従って、他の実施形態では、このようなSbO膜は、Hを使用して、熱的SiOよりも選択的にエッチングすることができる。
SbO膜は、Al膜、例えばALDによって堆積されたAl膜に対して良好なエッチング選択性をも有する。HPO、HF、KOHおよびTMAHは、ALDによって堆積されたAl膜をエッチングすることが見出されたが、SbOをエッチングしなかったのに対し、AlはH、酒石酸または濃HClによってエッチングされなかった。従って、SbOは、H、酒石酸または濃HClを使用してAlよりも選択的にエッチングすることができるのに対し、Alは、HPO、HF、KOHまたはTMAHを使用してSbOよりも選択的にエッチングすることができる。
いくつかの実施形態では、パターン形成されたレジスト層の上にわたって堆積された後、酸化アンチモン層はエッチングされて、下に存在するレジストが露出される。次いで、このレジストは取り除かれ、下に存在する基板がエッチングされて、所望の特徴部が形成される。
一般に、堆積が当該堆積枠の外にない限り、すなわち良好な品質を得るのに十分高いが、前駆体(複数可)の主要な分解を防ぐのに十分低い、従って膜の低品質、例えば、分解に起因する不純物を防止する温度にある限り、SbO膜についての堆積温度が高いほど、それらは、高い耐エッチング性を有する。
いくつかの実施形態では、SbO膜は、SiOおよびSiの膜または層よりも選択的にエッチングすることができる。エッチングは、気相中でまたは「湿式エッチング」として液相中で実施されてもよい。エッチングを選択的に実施することができる例示的な選択的なエッチング溶液としては、濃HCl、Hおよび酒石酸が挙げられる。選択性は、好ましくは75%(1:3のエッチング速度差)超、80%(1:5のエッチング速度差)超、90%(1:10のエッチング速度差)超であり、ある場合には選択性は、95%(1:20のエッチング速度差)超、またはさらには98%(エッチング速度差1:50)超であることができる。いくつかの実施形態では、エッチング速度差は、1:100(99%の選択性)またはさらにはこれより高いことができる。
いくつかの実施形態では、SiO膜は、本願明細書に記載されるようにして堆積されたSbOの膜または層よりも選択的にエッチングすることができる。エッチングは、気相中または「湿式エッチング」として液相中で実施されてもよい。エッチングを選択的に実施することができる例示的なエッチング溶液としては、0.5%または1.0%の希HFなどのHF含有溶液が挙げられる。選択性は、75%(1:3のエッチング速度差)超、80%(1:5のエッチング速度差)超、90%(1:10のエッチング速度差)超、ある場合には95%(1:20のエッチング速度差)超またはさらには98%(1:50のエッチング速度差)超であってもよい。いくつかの実施形態では、99%またはさらにはこれより高い選択性を得ることができる(例えば1:100のエッチング速度差)。
いくつかの実施形態では、SbO膜は、Alの膜または層よりも選択的にエッチングすることができる。エッチングは、気相中または「湿式エッチング」として液相中で実施されてもよい。例示的な選択的なエッチング溶液としては、濃HCl、Hおよび酒石酸が挙げられる。選択性は、75%(1:3のエッチング速度差)超、80%(1:5のエッチング速度差)超、90%(1:10のエッチング速度差)超、またはさらには95%(1:20のエッチング速度差)超または98%(1:50のエッチング速度差)超であってもよい。ある場合には、この選択性は、1:100のエッチング速度差以上を有して、99%以上であることができる。
いくつかの実施形態では、Al膜は、本願明細書に記載されるようにして堆積されたSbOの膜または層よりも選択的にエッチングすることができる。エッチングは、気相中または「湿式エッチング」として液相中で実施されてもよい。例示的な選択的なエッチング溶液としては、HPO、HF、KOHおよびTMAHが挙げられる。選択性は、75%(1:3のエッチング速度差)超、80%(1:5のエッチング速度差)超、90%(1:10のエッチング速度差)超、およびある場合には95%(1:20のエッチング速度差)超または98%(1:50のエッチング速度差)超であってもよい。ある場合には99%以上の選択性を、1:100のエッチング速度差以上を有して、成し遂げることができる。
いくつかの実施形態では、ケイ素または窒化ケイ素は、本願明細書に記載されるALDによって堆積されたSbO膜よりも選択的にエッチングされる。これらの場合には、ケイ素または窒化ケイ素は、一般的なケイ素または窒化ケイ素のエッチング剤(腐食剤)を使用して、SbOよりもエッチングすることができる。SbOを、ケイ素または窒化ケイ素よりも選択的にエッチングすることもできる。例えば、濃HCl、Hまたは酒石酸を使用することができる。いくつかの実施形態では、選択性は、75%(1:3のエッチング速度差)超、80%(1:5のエッチング速度差)超、90%(1:10のエッチング速度差)、およびさらには95%(1:20のエッチング速度差)超または98%(1:50のエッチング速度差)超である。ある場合には、99%以上の選択性が、1:100のエッチング速度差またはさらにはこれより大きい速度差を有して、成し遂げられる。
いくつかの実施形態では、当該SbO膜は、異なる材料に対してエッチング選択性を有する、または逆も言える − この他の物質は、SbOに勝って選択的にエッチングすることができる。これらの場合、他の物質は、一般に公知のエッチング剤を使用して、SbOよりも選択的にエッチングすることができる。また、SbOは、濃HCl、Hまたは酒石酸を使用して、この他の物質よりも選択的にエッチングすることもできる。選択性は、75%(1:3のエッチング速度差)超、80%(1:5のエッチング速度差)超、90%(1:10のエッチング速度差)超、およびある場合には95%(1:20のエッチング速度差)超または98%(1:50のエッチング速度差)超であってもよい。ある場合には、選択性は、1:100のエッチング速度差以上を有して、99%以上であることができる。
いくつかの実施形態では、当該SbO膜は、乾式エッチング剤を使用して、SiNまたはSiOなどの他の物質に勝って選択的にエッチングすることができる。例えば、Clおよび/またはF含有プラズマエッチング剤を使用することができる。いくつかの実施形態では、ClプラズマまたはCHFおよびClプラズマの混合物が使用される。ここでも、選択性は、50%(1:2のエッチング速度差)超、75%(1:3のエッチング速度差)超、またはさらには90%(1:10のエッチング速度差)超であってもよい。
いくつかの実施形態では、例えば複数のパターニングプロセスにおいて基板上に構造体を形成する方法は、第1の物質を含む第1の膜を堆積することと、酸化アンチモンを含む第2の膜を堆積することとを含む。この酸化アンチモンは、例えば、基板を、アンチモン反応物質、例えばハロゲン化アンチモン、アンチモンアルコキシドまたはアンチモンアルキルアミン、および酸素ソース(例えばオゾン)に交互にかつ逐次的に接触させることにより、本願明細書に記載されるALDプロセスによって堆積される。その後、この第1の膜は、酸化アンチモンよりも選択的にエッチングされてもよいし、またはこの酸化アンチモンは、第1の膜よりも選択的にエッチングされてもよい。いくつかの実施形態では、この第1の物質は、例えば、SiOまたはAlであってもよい。酸化アンチモンの第2の層が第1の層よりもエッチングされるとき、エッチングは、当該酸化アンチモン層を、濃HCl、Hおよび酒石酸からなる群から選択されるエッチング剤と接触させることを含んでもよい。当該酸化アンチモン層よりも第1の物質がエッチングされるとき、エッチングは、第1の物質を、HF、HPO、KOHおよびTMAHからなる群から選択されるエッチング剤と接触させることを含んでもよい。
いくつかの実施形態では、酸化アンチモン薄膜は、アンチモンアルコキシドおよび酸素ソース(例えばオゾン)の交互かつ逐次的なパルスを含むALDサイクルを使用して堆積される。このアンチモンアルコキシドは、例えば、Sb(OEt)であってもよい。この膜はバッチ反応器の中で堆積されてもよく、例えば、犠牲層としてまたはエッチング停止層として使用されてもよい。
いくつかの実施形態では、酸化アンチモン薄膜は、アンチモンアルキルアミンおよび酸素ソース(例えばオゾン)の交互かつ逐次的なパルスを含むALDサイクルによって堆積される。この膜は、バッチ反応器の中で堆積されてもよく、例えば、犠牲層としてまたはエッチング停止層として使用されてもよい。
実施例1 − シングルウェーハ反応器におけるアンチモンソースとしてのSb(OEt)
酸化アンチモン(Sb)薄膜を、Sb(OCをアンチモンソースとして、およびOを酸素ソースとして使用して、F−450 ALCVD(商標)反応器の中で、原子層堆積(ALD)によって堆積した。
300℃でSb(OC2H5)3(パルス3.0秒間、パージ5.0秒間)およびHO(パルス1.5秒間、パージ5.0秒間)を使用しても膜は得られなかったが、400℃ではいくらかの膜が得られた(成長速度約0.02Å/サイクル)。
100〜350℃でSb(OCおよびOの交互かつ逐次的なパルスを使用して膜を堆積した。Sb(OEt)を3.0秒間パルス供与し、5.0秒間パージした。Oも3.0秒間パルス供与し、5.0秒間パージした。Sb(OCは、室温(21℃)で使用した。
成長速度は、約0.4〜1.3Å/サイクルの範囲であった。成長速度は、100〜250℃で0.4〜0.6Å/サイクルであり、275〜350℃では0.8Å/サイクル超であった。膜不均一性は、100〜150℃および350℃まででは高かった(>10% 1σ)。200〜300℃では、1σ不均一性は6%未満であり、275℃で最低の約3%であった。図2A〜図2Cは、100〜350℃における膜成長速度および不均一性を示す。
この膜を、X線反射(XRR)、X線回折(XRD)およびX線光電子分光法(XPS)、エネルギー分散型x線分析(EDX)および原子間力顕微鏡法(AFM)を用いて特性解析した。XRRによれば、膜密度は約6〜6.5g/cmであり、密度は高い温度(200〜300℃)ほど大きくなった。XRRから得たrms粗さは、約1.4nm(200〜300℃で24〜40nm)であった。Sbに帰属することができる非常に弱いピークが、150℃で堆積した試料のXRD回折図で見られた。AFM rms粗さは、約0.31nm(100℃で堆積された約25nm膜)であった。この膜は滑らかで均一であり、相イメージ(図3)において、コントラストは見られなかった。
XPSによれば(表1)、アンチモンの酸化状態は+3である。従って、この膜はSbであった。炭素および窒素を、表面上の混入物質として検出したが、約5nmをスパッタリングした後は、何も検出できなかった。酸素濃度は、O1sおよびSb3d5のピークの重なりのため、およその値であった。O/Sbの減少(表2)は、高質量のSbよりも低質量の酸素の優先的スパッタリングに起因すると考えられる。XPS試料を250℃で堆積した。EDXは、300℃で堆積した膜の中で炭素を検出したが、塩素は検出しなかった。
エッチング試験を、1% HF、25% HSO、2M NaOH、濃HNOおよび濃HClの中で、室温で行った。当該膜は、濃HClでのみエッチングすることができた(エッチング速度約10nm/分)。1% HF、37% HClおよび0.5M 酒石酸を使用してさらなるエッチング試験を実施した。結果を下記の表2に示す。
表1。XPS結果。250℃で堆積したSb膜。数字は原子%を呈示する。約5nmのスパッタリングの前(上段)および後(下段)に測定した。
Figure 2018021259
図1に図示するように、構造化されたフォトレジストの上に100℃でSb層を堆積した。30nmという厚さの目標値を選び、この堆積プロセスは750サイクルを含んでいた。各サイクルでは、Sb(OEt)を3.5秒間パルス供与し、5.0秒間パージし、O3を3.0秒間パルス供与し、5秒間パージした。観察された段差被覆は95%超であった。約16というk値を観察した。
表2。反応物質としてのSb(OEt)およびOならびに約100〜約200℃の反応温度を使用して堆積したSb膜のエッチング結果
Figure 2018021259
実施例2 − シングルウェーハ反応器におけるアンチモンソースとしてのSbCl
酸化アンチモン(Sb)薄膜を、SbClをアンチモンソースとして、およびOを酸素ソースとして使用して、F−450 ALCVD(商標)反応器の中で、原子層堆積(ALD)によって堆積した。HOを酸素ソースとして使用すると(SbCl3パルス2.0秒間、パージ5.0秒間;H2Oパルス1.5秒間、パージ5秒間)、膜は得られなかった。しかしながら、Oに加えてHOを使用することにより、成長を高めることができ、均一性を増大させることができる。堆積サイクルは、SbCl3パルス2.0秒間、パージ5秒間;O3パルス3.0秒間、パージ5秒間;H2Oパルス1.5秒間、パージ5.0秒間からなっていた。
各ALDサイクルでは、SbCl3を2秒間パルス供与し、5秒間パージし、他方でオゾンを3秒間パルス供与し、5秒間パージした。
膜を150〜400℃で堆積した。SbClについての気化温度は35℃であった。成長速度は0.3Å/サイクル未満であった。250℃未満の温度で、成長速度は<0.1Å/サイクルであった。300℃より上では、成長速度は0.2Å/サイクルであった。最高の成長速度は400℃での0.28Å/サイクルであった。
膜不均一性は約6〜約16%であった。不均一性は、低い堆積温度(150〜200℃)および最高温度の400℃では高かった(>10% 1σ)。250〜350℃では、1σ不均一性は5〜7%であった。
図4は、150〜400℃における膜成長速度および不均一性を示す。表3は、Oパルスの前後でのHOパルスの追加が成長速度および不均一性にどのように影響を及ぼすかを提示する。
表3。成長速度および膜均一性に対するOパルスの前後の追加的なHOパルスの効果
Figure 2018021259
X線反射(XRR)、X線回折(XRD)およびX線光電子分光法(XPS)およびエネルギー分散型x線分析(EDX)を用いて膜を特性解析した。XRRによれば、膜密度は、約6〜6.6g/cmであり、密度は、高い温度に向かって(150〜400℃)増大した。XRRから得たrms粗さは、1.3nm(150℃において6nm)、1.0(300℃において20nm)および400℃において1.2nmであった。400℃で堆積した試料に関しては、XRD回折図において明確なピークが観察されなかった。従って、この膜は非晶質であると推定された。XPS(表4)によれば、アンチモンの酸化状態は+3であり、従って、この膜はSbであった。表面上の混入物質として炭素および窒素を検出したが、約5nmをスパッタリングした後は、いずれも検出できなかった。酸素濃度は、O1sおよびSb3d5のピークピークの重なりのため、およその値であった。O/Sbの減少(表4)は、高質量のSbよりも低質量の酸素の優先的スパッタリングに起因すると考えられる。XPS試料を400℃で堆積した。EDXは、400℃で堆積した膜では、炭素も塩素も検出しなかった。
エッチング試験を、1% HF、25% HSO、濃HNOおよび濃HClの中で、室温で行った。当該膜は、これらの溶液のいずれの中でもエッチングすることはできなかった(初期の膜厚が約40nmで1〜6分間のエッチング時間)。
表4。XPS結果。400℃で堆積したSb膜。数字は原子%を表す。
約5nmのスパッタリングの前(上段)および後(下段)に測定した。
Figure 2018021259
実施例3 − シングルウェーハ反応器におけるアンチモンソースとしてのSb(N(CH
酸化アンチモン(SbO)薄膜を、Sb(N(CHをアンチモンソースとして、およびOを酸素ソースとして使用して、Pulsar(登録商標) 2000 R&D反応器の中で、原子層堆積(ALD)によって堆積した。
Sb(N(CHおよびO(O中)の交互かつ逐次的なパルスを使用して、約100〜300℃の反応温度で、膜を堆積した。Sb(N(CHを0.1〜1.0秒間パルス供与し、10秒間パージした。Oを10秒間パルス供与し、10秒間パージし、O濃度は250g/m(NTP)であった。Sb(N(CHを室温(約20℃〜約23℃)で使用した。キャリアガスフローは0.8slmであった。
成長速度は、約0.83〜1.92Å/サイクルの範囲であった。成長速度は、約100℃では約1.44〜1.92Å/サイクルであり、200℃では約0.83〜約1.39Å/サイクルであった。膜不均一性(ウェーハ内)は、約2.1%〜約7.1%(1σ)にわたっていた。例えば、100℃で、およびSb(N(CHパルス1秒間、パージ10秒間およびOパルス10秒間、パージ10秒間、濃度250g/m(NTP)を用いて堆積した500サイクルの膜は、1.92Å/サイクルの成長速度および2.1%(1σ)のウェーハ内均一性を有していた。図5および図6は、Sb(N(CH+Oを用いた典型的なSbO膜の特徴を提示する。
実施例4 − バッチ反応器におけるアンチモンソースとしてのSb(OEt)
酸化アンチモン(SbO)薄膜を、Sb(OCをアンチモンソースとしておよびOを酸素ソースとして使用して、市販の、生産型のASM A412(商標) バッチ反応器の中で、原子層堆積(ALD)によって堆積した。
Sb(OCH5)およびOの交互かつ逐次的なパルスを使用して、約140〜220℃の反応温度で、膜を堆積した。Sb(OEt)を15〜40g/hrの投入量で3.0〜20秒間パルス供与し、10〜60秒間パージした。Oも、3.0〜20秒間パルス供与し、10〜600秒間パージした。Sb(OCは、120℃で、液体注入システムで使用した。キャリアガスフローは約0.5slm〜約0.8slmにわたっていた。シングルピッチおよびダブルッピッチの両方のウェーハ投入を使用した。これらは、それぞれ、100製品または50製品のウェーハ容量に対応する。
成長速度は、約0.38〜0.8Å/サイクルの範囲であった。成長速度は、約140℃では約0.38〜0.41Å/サイクルであり、200〜220℃では約0.5〜約0.8Å/サイクルであった。膜不均一性(ウェーハ内)は約3.4%〜約13%(1σ)にわたっており、ウェーハ間均一性は約0.1%〜約3.9%(1σ)にわたっていた。例えば、200℃でダブルピッチ投入量を用い、かつSb(OEt)パルス5秒間、投入量25g/h、パージ10秒間およびOパルス5秒間、パージ600秒間、濃度350g/mを用いて堆積した200サイクルの膜は、0.63Å/サイクルの成長速度、3.4%(1σ)のウェーハ内均一性および0.39%(1σ)のウェーハ間均一性を有していた。
実施例5 − シングルウェーハ反応器におけるアンチモンソースとしてのSb(OEt)
酸化アンチモン(SbO)薄膜を、Sb(OCをアンチモンソースとしておよびOを酸素ソースとして使用して、Pulsar(登録商標) 2000 R&D反応器の中で、原子層堆積(ALD)によって堆積した。
約200℃の反応温度で、Sb(OCH5)およびOの交互かつ逐次的なパルスを使用して、膜を堆積した。Sb(OEt)パルスを0.5秒に固定し、パージを10秒に固定した。Oを2.5〜30秒間パルス供与し、10秒間パージし、O濃度は60〜300g/m(NTP)であり、反応器へのOフローは200〜800cm/分(NTP)であった。Sb(OCは40℃に加熱した。キャリアガスフローは0.8slmであった。
成長速度は約0.3〜0.7Å/サイクルの範囲であった。成長速度は、図7に示すように、使用したO投入量に大きく依存し、図7では、菱形は、反応器の中のOフローが変動した実施を表し、正方形は、O濃度が変動した実施を表し、三角形は、Oパルス時間が変動した実施を表す。膜不均一性(ウェーハ内)は、約2.7%〜約49.5%(1σ)にわたっていた。より高いO投入量は、膜のより高い成長速度を生じた。
実施例6 − バッチ反応器におけるアンチモンソースとしてのSb(N(CH
酸化アンチモン(SbO)薄膜を、Sb(N(CHをアンチモンソースとして、およびOを酸素ソースとして使用して、市販の、生産型のASM A412(商標) バッチ反応器の中で、原子層堆積(ALD)によって堆積した。
Sb(N(CHおよびOの交互かつ逐次的なパルスを使用して、約200℃の反応温度で、膜を堆積した。Sb(N(CHを50g/hrの投入量で5.0秒間パルス供与し、120秒間パージした。Oも、350g/mの濃度で5.0秒間パルス供与し、120秒間パージした。Sb(N(CHは、120℃で、液体注入システムで使用した。キャリアガスフローは0.5slmであった。
SbOの成長速度は約1.3〜1.4Å/サイクルの範囲であった。膜不均一性(ウェーハ内)は、約4.5%〜約5.3%(1σ)にわたっていた。4.5%膜厚不均一性地図は、図8で見ることができる。

Claims (40)

  1. 酸化アンチモンを堆積するための原子層堆積プロセスであって、反応チャンバーの中で、基板をアンチモン前駆体および酸素ソースと、交互にかつ逐次的に接触させることを含み、前記アンチモン前駆体は、ハロゲン化アンチモンおよびアンチモンアルコキシドから選択される、プロセス。
  2. 前記アンチモン前駆体はSbClである、請求項1に記載のプロセス。
  3. 前記アンチモン前駆体はSb(OEt)である、請求項1に記載のプロセス。
  4. 前記酸素ソースは、水、酸素、過酸化水素、過酸化水素の水溶液、オゾン、原子状酸素、窒素酸化物、過酸(−−O−−O−−H)、アルコール、酸素含有ラジカルおよびこれらの混合物からなる群から選択される、請求項1に記載のプロセス。
  5. 前記酸素ソースはオゾンである、請求項1に記載のプロセス。
  6. 前記酸素ソースは酸素プラズマを含む、請求項1に記載のプロセス。
  7. 前記酸素ソースは水ではない、請求項1に記載のプロセス。
  8. 前記基板を水と接触させることをさらに含む、請求項7に記載のプロセス。
  9. 前記基板は、前記アンチモン前駆体と接触した後で、かつ前記酸素ソースと接触する前に、水と接触する、請求項8に記載のプロセス。
  10. 前記酸化アンチモンは式SbO(式中、xは約1〜約3である)を有する、請求項1に記載のプロセス。
  11. xは約1.2〜約2.5である、請求項10に記載のプロセス。
  12. 前記酸化アンチモンは、Sb、Sbおよびこれらの混合物から選択される、請求項1に記載のプロセス。
  13. 前記反応チャンバーはバッチ反応器の一部である、請求項1に記載のプロセス。
  14. 前記反応チャンバーはシングルウェーハ反応器の一部である、請求項1に記載のプロセス。
  15. 前記酸化アンチモンに異なる金属または金属酸化物をドーピングすることをさらに含む、請求項1に記載のプロセス。
  16. 前記酸化アンチモンは酸化アルミニウムでドーピングされる、請求項1に記載のプロセス。
  17. 前記薄膜は、半導体加工における犠牲層としての役割を果たす、請求項1に記載の方法。
  18. 前記薄膜は、ダブルパターニングまたはクアドラプルパターニングのための犠牲層としての役割を果たす、請求項17に記載の方法。
  19. 前記薄膜は、レジストの上に堆積される、請求項17に記載の方法。
  20. 原子層堆積によって酸化アンチモン層を堆積する方法であって、
    バッチ反応器の中で、基板を、アンチモンアルキルアミンまたはアンチモンアルコキシドを含むアンチモン前駆体と接触させることと、
    過剰のアンチモン前駆体を除去することと、
    前記基板をオゾンと接触させることと、
    過剰のオゾンを除去することと
    を含む、方法。
  21. 前記アンチモン前駆体はSb(OEt)を含む、請求項20に記載の方法。
  22. 前記酸化アンチモン前駆体はSb(N(CHを含む、請求項20に記載の方法。
  23. 前記基板は300mmウェーハまたは450mmウェーハである、請求項20に記載の方法。
  24. 反応空間の中で半導体基板上に構造体を形成する方法であって、
    第1の物質を含む第1の層を前記基板上に堆積することと、
    酸化アンチモンの第2の層を、原子層堆積プロセスによって前記基板上に堆積することであって、前記原子層堆積プロセスは、前記基板をアンチモン前駆体および酸素ソースと交互にかつ逐次的に接触させることを含む、ことと、
    前記第1の層または第2の層をエッチングすることと
    を含む、方法。
  25. 前記アンチモン前駆体は、ハロゲン化アンチモン、アンチモンアルコキシド、およびアンチモンアルキルアミンからなる群から選択される、請求項24に記載の方法。
  26. 前記アンチモン前駆体はSb(OEt)である、請求項25に記載の方法。
  27. 前記アンチモン前駆体はSb(N(CHである、請求項25に記載の方法。
  28. 前記酸素ソースはオゾンである、請求項24に記載の方法。
  29. 前記反応空間はバッチ反応器の一部である、請求項25に記載の方法。
  30. 酸化アンチモンの前記第2の層は、第1の物質を含む前記第1の層よりも選択的にエッチングされる、請求項24に記載の方法。
  31. 前記第1の物質はSiOである、請求項30に記載の方法。
  32. 前記第1の物質はAlである、請求項30に記載の方法。
  33. エッチングすることは、酸化アンチモンの前記第2の層を濃HCl、Hおよび酒石酸からなる群から選択されるエッチング剤と接触させることを含む、請求項30に記載の方法。
  34. 第1の物質を含む前記第1の層は、酸化アンチモンの前記第2の層よりも選択的にエッチングされる、請求項24に記載の方法。
  35. 前記第1の物質はSiOを含む、請求項34に記載の方法。
  36. 前記第1の物質はAlを含む、請求項34に記載の方法。
  37. エッチングすることは、第1の物質を含む前記第1の層を、HF、HPO、KOHおよびTMAHからなる群から選択されるエッチング剤と接触させることを含む、請求項34に記載の方法。
  38. 酸化アンチモンを含む前記第2の層は犠牲層である、請求項24に記載の方法。
  39. 酸化アンチモンを含む前記第2の層はエッチング停止層である、請求項24に記載の方法。
  40. 酸化アンチモンを含む前記第2の層は、パターン形成されたレジスト層の上にわたって堆積される、請求項24に記載の方法。
JP2017143477A 2011-10-12 2017-07-25 酸化アンチモン膜の原子層堆積 Pending JP2018021259A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201161546500P 2011-10-12 2011-10-12
US61/546,500 2011-10-12
US201261597373P 2012-02-10 2012-02-10
US61/597,373 2012-02-10

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2012225541A Division JP6202798B2 (ja) 2011-10-12 2012-10-10 酸化アンチモン膜の原子層堆積

Publications (1)

Publication Number Publication Date
JP2018021259A true JP2018021259A (ja) 2018-02-08

Family

ID=48086285

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2012225541A Active JP6202798B2 (ja) 2011-10-12 2012-10-10 酸化アンチモン膜の原子層堆積
JP2017143477A Pending JP2018021259A (ja) 2011-10-12 2017-07-25 酸化アンチモン膜の原子層堆積

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2012225541A Active JP6202798B2 (ja) 2011-10-12 2012-10-10 酸化アンチモン膜の原子層堆積

Country Status (3)

Country Link
US (4) US9006112B2 (ja)
JP (2) JP6202798B2 (ja)
KR (2) KR20130039699A (ja)

Families Citing this family (340)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP6202798B2 (ja) * 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9023427B2 (en) * 2012-05-16 2015-05-05 Asm Ip Holding B.V. Methods for forming multi-component thin films
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9543140B2 (en) 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102527501B1 (ko) * 2014-11-26 2023-05-02 수프리야 자이스왈 리소그라피 및 기타 용도에서 극자외방사선과 함께 사용하기 위한 재료, 구성요소 및 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
KR102185458B1 (ko) 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. 선택적 퇴적
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
CN109314045B (zh) 2016-04-18 2023-08-04 Asm Ip 控股有限公司 于基底上形成定向自组装层的方法
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) * 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN112626497B (zh) * 2020-11-30 2021-11-16 江南大学 一种基于ALD技术的SbOCl材料的制备方法
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05500372A (ja) * 1990-06-05 1993-01-28 ピーピーエム ピュア メタルズ ゲゼルシャフト ミット ベシュレンクテル ハフツング 無機元素含有有機化合物
JP2005354076A (ja) * 2004-06-09 2005-12-22 Samsung Electronics Co Ltd 金属酸化物の形成方法
US20060292880A1 (en) * 2005-06-24 2006-12-28 Samsung Electronics Co., Ltd Methods of fabricating p-type transistors including germanium channel regions and related devices
JP2009079290A (ja) * 2007-07-13 2009-04-16 Rohm & Haas Electronic Materials Llc 前駆体組成物及び方法
JP2009540128A (ja) * 2006-06-16 2009-11-19 フジフィルム マニュファクチャリング ユーロプ ビー.ブイ. 大気圧グロー放電プラズマを使用した原子層堆積の方法及び装置
JP2011023706A (ja) * 2009-06-17 2011-02-03 Tokyo Electron Ltd 金属酸化物膜の形成方法及び成膜装置

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60150508A (ja) * 1984-01-18 1985-08-08 日本写真印刷株式会社 透明電極基板の製造方法
JPS61106733A (ja) * 1984-10-31 1986-05-24 Sumitomo Metal Mining Co Ltd 高純度アンチモンの製造方法
JPH0472068A (ja) * 1990-03-20 1992-03-06 Toshiro Maruyama 化学気相成長法
JP2001110801A (ja) * 1999-10-05 2001-04-20 Takeshi Yao パターン形成方法、並びに電子素子、光学素子及び回路基板
EP1280193B1 (en) * 2000-05-02 2011-06-29 JGC Catalysts and Chemicals Ltd. Method of manufacturing integrated circuit, and substrate with integrated circuit formed by the method of manufacturing integrated circuit
KR100874399B1 (ko) * 2002-07-18 2008-12-17 삼성전자주식회사 원자층 증착법을 이용한 물질 형성방법, 및 이를 이용한반도체 장치의 캐패시터 형성방법
US7087482B2 (en) * 2001-01-19 2006-08-08 Samsung Electronics Co., Ltd. Method of forming material using atomic layer deposition and method of forming capacitor of semiconductor device using the same
US6572758B2 (en) * 2001-02-06 2003-06-03 United States Filter Corporation Electrode coating and method of use and preparation thereof
JP4304433B2 (ja) 2002-06-14 2009-07-29 富士電機デバイステクノロジー株式会社 半導体素子
US6987064B2 (en) * 2002-10-21 2006-01-17 Taiwan Semiconductor Manufacturing Co., Ltd Method and composition to improve a nitride/oxide wet etching selectivity
US7183165B2 (en) * 2002-11-25 2007-02-27 Texas Instruments Incorporated Reliable high voltage gate dielectric layers using a dual nitridation process
US6952041B2 (en) * 2003-07-25 2005-10-04 Robert Bosch Gmbh Anchors for microelectromechanical systems having an SOI substrate, and method of fabricating same
KR100589053B1 (ko) * 2003-10-15 2006-06-12 삼성전자주식회사 소스 공급 장치, 소스 공급 방법 및 이를 이용한 원자층증착 방법
US7018917B2 (en) * 2003-11-20 2006-03-28 Asm International N.V. Multilayer metallization
WO2006051877A1 (ja) * 2004-11-10 2006-05-18 Dai Nippon Printing Co., Ltd. 金属酸化物膜の製造方法
KR100663357B1 (ko) 2005-02-22 2007-01-02 삼성전자주식회사 금속 질화막 패턴을 갖는 트랜지스터의 형성방법들
KR100644397B1 (ko) * 2005-04-07 2006-11-10 삼성전자주식회사 박막 처리방법 및 이를 이용한 불 휘발성 메모리 셀의제조방법
JP4664771B2 (ja) 2005-08-11 2011-04-06 株式会社東芝 半導体装置およびその製造方法
CN101495672B (zh) * 2006-11-02 2011-12-07 高级技术材料公司 对于金属薄膜的cvd/ald有用的锑及锗复合物
TW200840880A (en) * 2007-04-13 2008-10-16 Hsin-Chih Lin Method of forming protection layer on contour of workpiece
KR100888617B1 (ko) 2007-06-15 2009-03-17 삼성전자주식회사 상변화 메모리 장치 및 그 형성 방법
FR2924274B1 (fr) * 2007-11-22 2012-11-30 Saint Gobain Substrat porteur d'une electrode, dispositif electroluminescent organique l'incorporant, et sa fabrication
US8507040B2 (en) * 2008-05-08 2013-08-13 Air Products And Chemicals, Inc. Binary and ternary metal chalcogenide materials and method of making and using same
KR101521998B1 (ko) 2008-09-03 2015-05-21 삼성전자주식회사 상변화막 형성방법
JP5731519B2 (ja) * 2009-10-26 2015-06-10 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. Va族元素を含む薄膜のaldのための前駆体の合成及び使用
US8691675B2 (en) * 2009-11-25 2014-04-08 International Business Machines Corporation Vapor phase deposition processes for doping silicon
US20120128867A1 (en) * 2010-11-23 2012-05-24 Paulson Charles A Method of forming conformal barrier layers for protection of thermoelectric materials
JP6202798B2 (ja) * 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
US9154934B2 (en) * 2013-03-28 2015-10-06 Futurewei Technologies, Inc. System and method for pre-association discovery

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05500372A (ja) * 1990-06-05 1993-01-28 ピーピーエム ピュア メタルズ ゲゼルシャフト ミット ベシュレンクテル ハフツング 無機元素含有有機化合物
JP2005354076A (ja) * 2004-06-09 2005-12-22 Samsung Electronics Co Ltd 金属酸化物の形成方法
US20060292880A1 (en) * 2005-06-24 2006-12-28 Samsung Electronics Co., Ltd Methods of fabricating p-type transistors including germanium channel regions and related devices
JP2009540128A (ja) * 2006-06-16 2009-11-19 フジフィルム マニュファクチャリング ユーロプ ビー.ブイ. 大気圧グロー放電プラズマを使用した原子層堆積の方法及び装置
JP2009079290A (ja) * 2007-07-13 2009-04-16 Rohm & Haas Electronic Materials Llc 前駆体組成物及び方法
JP2011023706A (ja) * 2009-06-17 2011-02-03 Tokyo Electron Ltd 金属酸化物膜の形成方法及び成膜装置

Also Published As

Publication number Publication date
US20130095664A1 (en) 2013-04-18
US10699899B2 (en) 2020-06-30
JP2013084959A (ja) 2013-05-09
KR20180018597A (ko) 2018-02-21
US9514934B2 (en) 2016-12-06
US9006112B2 (en) 2015-04-14
US20170140918A1 (en) 2017-05-18
KR20130039699A (ko) 2013-04-22
US20190103266A1 (en) 2019-04-04
US20150249005A1 (en) 2015-09-03
US10056249B2 (en) 2018-08-21
JP6202798B2 (ja) 2017-09-27

Similar Documents

Publication Publication Date Title
JP6202798B2 (ja) 酸化アンチモン膜の原子層堆積
US10186420B2 (en) Formation of silicon-containing thin films
US11996284B2 (en) Formation of SiOCN thin films
US11776807B2 (en) Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US10510529B2 (en) Formation of SiOCN thin films
US11501965B2 (en) Plasma enhanced deposition processes for controlled formation of metal oxide thin films
TWI731074B (zh) 相對於基板的第二表面選擇性沈積在基板的第一表面上的製程與方法
TWI602247B (zh) 含硼與碳材料的沈積
US20210398797A1 (en) Selective deposition of sioc thin films
KR102470043B1 (ko) 알루미늄 및 질소 함유 재료의 선택적 증착

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170824

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170824

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180417

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180508

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180808

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20181009

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20181204

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190403

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20190403

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20190410

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20190416

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20190607

C211 Notice of termination of reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C211

Effective date: 20190611

C22 Notice of designation (change) of administrative judge

Free format text: JAPANESE INTERMEDIATE CODE: C22

Effective date: 20200324

C22 Notice of designation (change) of administrative judge

Free format text: JAPANESE INTERMEDIATE CODE: C22

Effective date: 20200407

C13 Notice of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: C13

Effective date: 20200616

C23 Notice of termination of proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C23

Effective date: 20201020

C03 Trial/appeal decision taken

Free format text: JAPANESE INTERMEDIATE CODE: C03

Effective date: 20201201

C30A Notification sent

Free format text: JAPANESE INTERMEDIATE CODE: C3012

Effective date: 20201201