JP2014516477A - 損傷を受けたlow−k膜の再生及び細孔封止のためのUV支援シリル化 - Google Patents

損傷を受けたlow−k膜の再生及び細孔封止のためのUV支援シリル化 Download PDF

Info

Publication number
JP2014516477A
JP2014516477A JP2014508368A JP2014508368A JP2014516477A JP 2014516477 A JP2014516477 A JP 2014516477A JP 2014508368 A JP2014508368 A JP 2014508368A JP 2014508368 A JP2014508368 A JP 2014508368A JP 2014516477 A JP2014516477 A JP 2014516477A
Authority
JP
Japan
Prior art keywords
substrate
dielectric film
exposing
processing chamber
radiation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2014508368A
Other languages
English (en)
Inventor
ボー シエ,
アレクサンドロス ティー. デモス,
カン サブ イム,
トーマス ノワック,
ケルヴィン チャン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2014516477A publication Critical patent/JP2014516477A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Separation Using Semi-Permeable Membranes (AREA)

Abstract

損傷を受けたlow−k膜を修復するための方法が提供される。エッチング中、アッシング中及び平坦化中などの膜の処理中にlow−k膜への損傷が生じる。low−k膜の処理によって、膜の細孔内に水が溜まり、さらには、low−k膜構造内に親水性化合物が形成される。紫外線(UV)放射及びシリル化化合物を組み込む修復プロセスが、細孔から水を除去し、さらに、low−k膜構造から親水性化合物を除去する。

Description

記述される方法は、一般に、半導体製造において用いられるlow−k膜を修復し、誘電率を下げることに関する。
半導体製造における誘電体膜の誘電率(k)は、デバイスが縮小し続けるにつれて、絶えず低下しつつある。フィーチャサイズの継続的な縮小化を可能にするためには、低誘電率(low−k)膜上の集積損傷を最小限に抑えることが重要である。しかしながら、フィーチャサイズが縮小するにつれて、誘電体膜の抵抗性キャパシタンス及び信頼性を改善することが深刻な課題になる。
誘電体膜のエッチング又はアッシングのための現在の技法は、副生成物として水(HO)を生成するプロセス化学を伴う。水副生成物は、堆積後の誘電体膜内に導入され、それにより、誘電体膜のk値を高める可能性がある。また、酸化銅(CuO)及び化学機械研磨(CMP)残留物を除去するための現在の技法は、アンモニア(NH)又は水素(H)プラズマの使用を伴う。メタライゼーション構造のエレクトロマイグレーション及び層間誘電体(ILD)膜の絶縁膜経時破壊(TDDB)を改善するために、酸化銅及びCMP残留物を除去する必要がある。しかしながら、low−k膜をNH及びHプラズマに暴露すると、膜構造が変更され、k値が高められる。現在の修復技法は、液相シリル化又は超臨界COの使用を伴う。しかしながら、そのような技法は、膜内の凹形フィーチャの側壁損傷を修復するのに有効であることが証明されていない。
したがって、効率を改善し、デバイスサイズを更に小型化できるようにするために、誘電膜を修復してk値を下げるための方法が必要とされている。
本発明による実施形態は、概して、半導体製造のためのlow−k膜を修復し、その誘電率を下げるための方法に関する。
損傷を受けたlow−k誘電体膜を修復する1つの方法は、誘電体膜を紫外線(UV)放射及びシリル化化合物に少なくとも2回交互に暴露することを含む。
別の方法は、誘電体膜をシリル化化合物に暴露することと、誘電体膜上に酸化ケイ素の層を堆積することと、誘電体膜及び酸化ケイ素層を紫外線(UV)放射に暴露することとを含む。
先に記載された特徴を詳細に理解できるように、複数の実施形態を参照することによって、先に簡潔に要約された説明を更に詳細に行うことができ、そのうちの幾つかが添付の図面に示される。しかしながら、添付の図面は典型的な実施形態のみを示しており、それゆえ、その範囲を限定するものと見なされるべきではなく、その説明が他の同等に有効な実施形態を示唆する場合があることに留意されたい。
種々の処理段階中の誘電体層を示す図である。 種々の処理段階中の誘電体層を示す図である。 種々の処理段階中の誘電体層を示す図である。 種々の処理段階中の誘電体層を示す図である。 種々の処理段階中の誘電体層を示す図である。 種々の処理段階中の誘電体層を示す図である。 記述された処理プロセスを用いるときに、損傷を受けた誘電体膜(対照として)の水接触角に比べて誘電体膜の水接触角が増加することを示すグラフである。 本明細書において記述される処理プロセスを用いるときに、損傷を受けた誘電体膜及び損傷を受けていない誘電体膜(陽性対照として及び陰性対照として)の誘電率(k値)に比べて誘電体膜の誘電率(k値)が低下することを示すグラフである。 損傷を受けた誘電体膜(陰性対照として)のFTIR(フーリエ変換赤外線分光法)と比べて、本明細書において記述される1つ又は複数の処理プロセス及び修復プロセスを用いて処理された誘電体膜の水素結合シラノール基が減少することを示したFTIRの下での結果を示すグラフである。
記述される実施形態は、概して、半導体製造において用いられるlow−k膜を修復し、その誘電率(k値)を下げるための方法に関する。
図1Aは、構造101上に堆積された誘電体膜100を示す。構造101は、例えば、シリコンウエハなどの基板、又は例えば、メタライゼーション若しくはインターコネクト層などの先行して形成された層とすることができる。誘電体膜100は、例えば、SiO、SiOC、SiON、SiCOH、SiOCNなど、low−k膜を含む多孔性シリコン、又は他の関連する膜とすることができる。誘電体膜100は、その中に形成された細孔102を有する場合がある。
図1Bは、誘電体膜100の中にフィーチャ、例えば、104を形成するために平坦化され、エッチングされた後の誘電体膜100を示す。誘電体膜100は、例えば、化学機械研磨(CMP)プロセスによって平坦化されている場合がある。誘電体膜100は、誘電体膜100の一部をマスクし、誘電体膜100のマスクされていない部分をフッ化水素酸(HF)蒸気から形成されたプラズマと接触させ、例えば、酸素(O)ガス又はCOガスから形成されたプラズマを用いてマスクをアッシングして除去することによってエッチングされている場合がある。
誘電体膜100の平坦化、アッシング及びエッチングのプロセスは、誘電体膜100の中に水素及び/又は水を導入し、それにより、例えば、Si−OH基が形成され、誘電体膜100を親水性にする。誘電体膜100が親水性であることによって、細孔102が水で満たされ、水は、後に、水を含んだ細孔が損傷を受けた細孔103と特定されるような、望ましくない結果を引き起こす。Si−OH基及び損傷を受けた細孔103の両方の存在によって、誘電体膜100のk値が望ましくないほど上昇し、Si−OH又は損傷を受けた細孔103が存在する場所が、損傷を受けたと見なされる。平坦化及びエッチングから損傷を受けた場所は通常、図1Bに示されるように、誘電体膜100の上部に、かつフィーチャ、例えば、104の側壁に位置する。
図1Cは、後に説明される1つ又は複数のプロセスによって修復された後の誘電体膜100を示す。修復プロセスは、損傷を受けた細孔103から水を除去し、それにより、修復された細孔105を生成し、誘電体膜100内のSi−OH基を、例えば、疎水性Si−O−Si(CH基に変換することによって、誘電体膜100のk値を下げる。疎水性Si−O−Si(CH基は、損傷を受けた細孔103から水を追い出すのを助ける。記述される処理プロセスを用いて修復された(それゆえ、修復された誘電体膜になる)損傷を受けた誘電体膜の誘電率(k値)は、修復されない損傷を受けた誘電体膜の誘電率(k値)及び損傷を受けていない誘電体膜の誘電率(k値)(それぞれ陽性対照及び陰性対照として)に比べるときに著しく下げられる。
さらに、結果として修復された誘電体膜は、本明細書において記述される処理及び修復プロセスを用いた後に、損傷を受けた誘電体膜(対照として用いられる)の水接触角に比べて水接触角が増加したことを示す。さらに、FTIR(フーリエ変換赤外線分光法)を用いて、修復された誘電体膜の結合特性を解析するとき、後に記述されるような修復プロセスのうちの1つ又は複数を用いて損傷を受けた誘電体膜を処理した後に(陰性対照としての修復されていない損傷を受けた誘電体膜のFTIR分光法に比べて)、損傷を受けた誘電体膜内に存在する水素結合シラノール基の数が著しく減少している。
一実施形態では、損傷を受けた誘電体膜100は、気相シリル化プロセスによって修復することができる。気相シリル化プロセスは、誘電体膜100を気化したシリル化化合物と接触させて、誘電体膜100内にSi−O−Si(CH基を生成することを含む。シリル化化合物を気化させることによって、シリル化化合物が誘電体膜100の中に深く浸透できるようになる。シリル化化合物は、ヘキサメチルジシラザン(HMDS)、テトラメチルジシラザン(TMDS)、トリメチルクロロシラン(TMCS)、ジメチルジクロロシラン(DMDCS)、メチルトリクロロシラン(MTCS)、トリメチルメトキシシラン(TMMS)(CH−Si−(OCH)、ジメチルジメトキシシラン(DMDMS)((CH−Si−(OCH)、メチルトリメトキシシラン(MTMS)((CH−Si−OCH)、フェニルトリメトキシシラン(PTMOS)(C−Si−(OCH)、フェニルジメチルクロロシラン(PDMCS)(C−Si−(CH−Cl)、ジメチルアミノトリメチルシラン(DMATMS)((CH−N−Si−(CH)、ビス(ジメチルアミノ)ジメチルシラン(BDMADMS)又はSi、H及びCを含む他の化合物とすることができる。
気相シリル化プロセスは、誘電体膜100を処理チャンバ内に配置し、シリル化化合物を気化させ、気化したシリル化化合物を処理チャンバの中に流し込むことによって行うことができる。代替的には、シリル化化合物は、処理チャンバ内で気化させることができる。シリル化化合物は、処理チャンバの上側部分に位置決めされるシャワーヘッドを通して処理チャンバに導入することができる。Heなどのキャリアガスを用いて、シリル化化合物の処理チャンバへの導入を助けることができる。さらに、気相シリル化プロセス中に、水などの触媒を追加することができる。気相シリル化プロセスは、6Torrなどの約50mTorrから約500Torrの間の処理チャンバ圧、385℃などの約100℃から約400℃の間の誘電体膜温度、1g/分などの約0.5g/分から約5g/分の間のシリル化化合物流量、及び3分などの約1分から約10分の間の処理時間において行うことができる。処理チャンバ圧は、気相シリル化プロセス中に、例えば、約50Torrから約500Torrの間で変化する場合がある。
別の実施形態では、誘電体膜100は、紫外線(UV)硬化プロセスを用いることによって修復することができる。UV硬化プロセスは、誘電体膜100をUV放射に暴露して、損傷を受けた細孔から水を除去し、誘電体膜100内に上記のSi−O−Si(CH基を生成することを含む。UV硬化プロセスは、誘電体膜100を処理チャンバ内に配置し、誘電体膜100を、そのプロセスを開始し、推進するだけの十分なエネルギーを有するUV放射源に暴露することによって行うことができる。UV放射源は、例えば、UVランプとすることができる。UV放射源は、He又はArなどの不活性ガス環境を有し、UV放射が通り抜けることができる石英窓を有する処理チャンバの外部に位置決めすることができる。また、処理チャンバは、誘電体膜100をUV放射に暴露する前に、又はそれと同時に誘電体膜100を加熱するマイクロ波源も含む場合がある。UV硬化プロセスは、UV放射波長をシミュレートするプラズマを用いて行うこともできる。プラズマは、RFパワーをHe、Ar、O及びNなどの処理ガスに結合することによって形成することができる。UV硬化プロセスは、6Torrなどの約1Torrから約100Torrの間の処理チャンバ圧、385℃などの約20℃から約400℃の間の誘電体膜温度、16slmなどの約8slmから約24slmの間のプロセスチャンバ環境(非処理)ガス流量、12slmなどの約2slmから約20slmの間の処理ガス流量、500Wなどの約50Wから約1000Wの間のRFパワー、13.56MHzのRFパワー周波数、60秒などの約10秒から約180秒の間の処理時間、1500W/mなどの約100W/mから約2000W/mの間のUV放射照度において、約100nmから約400nmの間のUV波長を用いて行うことができる。上記のUV硬化プロセスは、フィーチャ、例えば、104の側壁にある損傷を受けた細孔103を修復するように作用する。
別の実施形態では、誘電体膜100は、上記の気相シリル化プロセスと、その後に、同じく上記のUV硬化プロセスとを用いて修復することができ、その逆も同様である。2つのプロセスはインシトゥで、同じチャンバにおいて行うこともできる。例えば、処理チャンバは、シャワーヘッド及び石英窓を含むことができ、それらの構成要素は一体化して単一の構成要素にすることもできる。
別の実施形態では、誘電体膜100は、UV硬化と、その後の、気相シリル化プロセスと、その後の更なるUV硬化とを含むプロセスを用いて修復することができる。3段階プロセスは、シリル化化合物が損傷を受けた細孔103内に浸透し、修復できるように、損傷を受けた細孔103から水を除去するように機能する。初期UV硬化は、誘電体膜100の表面から、かつフィーチャ、例えば、104の側壁から水を除去し、気相シリル化は膜の疎水性を再生し、更なるUV硬化は誘電体膜100の修復を完了する。そのプロセスは、単一の処理チャンバ内で、又は複数の処理チャンバにおいて行うことができる。
別の実施形態では、誘電体膜100は、インシトゥパルスシリル化及びUV硬化プロセスによって修復することができる。インシトゥパルスシリル化及びUV硬化プロセスは、誘電体膜100を処理チャンバ内に配置し、交互に、誘電体膜100をUV放射に暴露し、その後、シリル化化合物と接触させることによって行うことができる。例えば、インシトゥパルスシリル化及びUV硬化プロセスの一部分では、誘電体膜100に約5秒から約10秒の間にわたってUV放射源を暴露し、その後、暴露を遮断することができる。インシトゥパルスシリル化及びUV硬化プロセスの別の部分では、先に言及されたシリル化化合物のうちの1つなどの液体又は気化したシリル化化合物を、約5秒から約10秒の間にわたって処理チャンバに流れ込み、誘電体膜100と接触するように制御することができ、その後、その流れを停止することができる。2ステップのインシトゥパルスシリル化及びUV硬化プロセスを必要に応じて繰り返して、誘電体膜100の所望の修復を達成することができる。例えば、2ステップのインシトゥパルスシリル化及びUV硬化プロセスは、2回、3回、10回など繰り返すことができる。いずれかのステップが修復手順を開始することができ、その後に他方のステップを行うことができることは理解されたい。シリル化化合物は、処理チャンバの上側部分に位置決めされるシャワーヘッドを通して処理チャンバに導入される場合があり、UV放射源は処理チャンバの外部に位置決めされるUVランプとすることができ、処理チャンバは、UV放射が通り抜けることができる石英窓を有することができる。インシトゥパルスシリル化及びUV硬化プロセスは、6Torrなどの約1Torrから約500Torrの間の処理チャンバ圧、385℃などの約100℃から約400℃の間の誘電体膜温度、1g/分などの約0.5g/分から約5g/分の間のシリル化化合物流量、180秒などの約10秒から約600秒の間の処理時間、1500W/mなどの約100W/mから約2000W/mの間のUV放射照度において、約100nmから約400nmの間のUV波長を用いて行うことができる。
別の実施形態では、誘電体膜100は、インシトゥシリル化及びUV硬化プロセスによって修復することができる。インシトゥシリル化及びUV硬化プロセスは、誘電体膜100を処理チャンバ内に配置し、先に言及されたシリル化化合物のうちの1つなどの液体又は気化したシリル化化合物が処理チャンバ内に連続して流れ、誘電体膜100と接触するようにし、誘電体膜100をパルスUV放射に同時に暴露することによって行うことができる。シリル化化合物は、処理チャンバの上側部分に位置決めされるシャワーヘッドを通して処理チャンバに導入される場合があり、UV放射源は処理チャンバの外部に位置決めされるUVランプとすることができ、処理チャンバは、UV放射が通り抜けることができる石英窓を有することができる。インシトゥシリル化及びUV硬化プロセスは、6Torrなどの約1Torrから約500Torrの間の処理チャンバ圧、385℃などの約100℃から約400℃の間の誘電体膜温度、1g/分などの約0.5g/分から約5g/分の間のシリル化化合物流量、180秒などの約10秒から約600秒の間の処理時間、1500W/mなどの約100W/mから約2000W/mの間のUV放射照度において、約100nmから約400nmの間のUV波長を用いて行うことができる。
別の実施形態では、誘電体膜100は、シリル化プロセス、低温共形酸化ケイ素堆積プロセス、及びUV硬化プロセスを含む、3段階手順によって修復することができる。シリル化プロセス及びUV硬化プロセスは最初に説明されたシリル化プロセス及びUV硬化プロセスと同様とすることができる。低温共形酸化ケイ素堆積プロセスは、非常に薄い層を堆積するための原子層堆積(ALD)タイプのプロセスである。低温共形酸化ケイ素堆積プロセスでは、誘電体膜100を処理チャンバ内に位置決めし、誘電体膜100をシリコン含有前駆体から形成されるプラズマに暴露することによって、誘電体膜100上に共形シード層が堆積される。その後、共形シードは酸素含有前駆体から形成されるプラズマを用いて処理され、それにより、誘電体膜100上に酸化ケイ素層が形成される。このプロセスは、所望の厚みのシリコン酸化物が形成されるまで繰り返すことができる。
適切なシリコン含有前駆体は、オクタメチルシクロテトラシロキサン(OMCTS)、メチルジエトキシシラン(MDEOS)、ビス(ターシャルブチルアミノ)シラン(BTBAS)、トリジメチルアミノシラン(TriDMAS)、シラン、ジシラン、ジクロロシラン、トリクロロシラン、ジブロモシラン、四塩化ケイ素、四臭化ケイ素、又はそれらの組合せを含むことができる。シリコン含有プラズマは、13.56MHz及び/又は350kHzの周波数において約50Wから約3000Wの間のRFパワーにおいて与えられる。RFパワーは、シャワーヘッド、すなわち、ガス分配アセンブリ、及び/又はチャンバ内の基板支持体に与えることができる。シャワーヘッドと基板支持体との間の間隔は、約350ミルから約800ミルの間など、約230ミルよりも大きくすることができる。
シリコン含有前駆体は、オプションで、ヘリウム、窒素、酸素、亜酸化窒素及びアルゴンなどのキャリアガスを含むことができる。シリコン含有前駆体は、約5sccmから約1000sccmの間の流量において導入することができる。オプションのキャリアガス、例えば、ヘリウムは、約100sccmから約20000sccmの間の流量において導入することができる。シリコン含有前駆体、例えば、オクタメチルシクロテトラシロキサンの流量と、キャリアガス、例えば、ヘリウムの流量との比は、約1:1から約1:100の間など、約1:1以上である。処理チャンバ圧は、約1.8Torrから約10Torrの間など、約5mTorr以上とすることができ、誘電体膜100の温度は、約125℃から約580℃の間とすることができ、より詳細には、その温度は約200℃から約400℃の間であり、その間に、シリコン含有前駆体が処理チャンバに流れ込んでおり、共形シード層を堆積する。
シリコン含有前駆体は、約5オングストロームから約2000オングストロームの間の厚みを有する層を堆積するのに十分なプロセス時間にわたってチャンバ内に流れ込むように制御することができる。例えば、シリコン含有前駆体は、約0.1秒から約120秒の間にわたってチャンバに流れ込むように制御することができる。
適切な酸素含有前駆体は、酸素(O)ガス又は亜酸化窒素(NO)を含むことができる。酸素含有前駆体は、約100sccmから約20000sccmの間の流量においてチャンバ内に導入することができる。酸素含有前駆体は、約0.1秒から約120秒の間などのプロセス時間にわたってチャンバに流れ込むように制御することができる。酸素プラズマは、13.56MHz及び/又は350kHzの周波数においてチャンバ内に約50Wから約3000Wの間のRFパワーを加えることによって与えることができる。チャンバ圧は、約5mTorrから約10Torrの間とすることができ、誘電体膜100の温度は、約125℃から約580℃の間とすることができ、その間に、酸素含有前駆体がチャンバ内に流れ込んでいる。炭素含有プラズマプロセスのために適した処理チャンバは、Santa Clara,CAにあるApplied Materials,Inc.から市販されるPRODUCER(登録商標)SNOW PECVDチャンバである。上記の3段階手順は、先に論じられたエッチング、アッシング又は平坦化プロセス中に亀裂が生じる恐れがある損傷を受けた細孔を封止するのに有利である。
別の実施形態では、誘電体膜100は、炭素含有プラズマプロセスによって修復することができる。炭素含有プラズマプロセスは、誘電体膜100を処理チャンバ内に配置し、炭化水素前駆体ガスなどの炭素含有前駆体ガスが処理チャンバ内に流れ込むようにし、炭素含有前駆体ガスにRFパワーを結合して、プラズマを形成し、誘電体膜100を炭素含有プラズマと接触させることによって行うことができる。炭化含有前駆体ガスは、エチレン、アセチレン、ブタジエン、α−テルピネン(A−TRP)、メタン、ビシクロヘプタジエン(BCHD)又は他の関連する化合物を含むことができる。炭素含有プラズマは、処理チャンバの上側部分に位置決めされるシャワーヘッドを通して処理チャンバに導入することができる。炭素含有プラズマプロセスのために適した処理チャンバは、Santa Clara,CAにあるApplied Materials,Inc.から市販されるPRODUCER(登録商標)PECVDチャンバである。炭素含有プラズマプロセスは、10Torrなどの1Torrから50Torrの間の処理チャンバ圧、300℃などの約20℃から約400℃の間の誘電体膜温度、1000sccmなどの約10sccmから約5000sccmの間の炭素含有前駆体ガス流量、300Wなどの約10Wから約1000Wの間のRFパワー、13.56MHzなどの0.01MHzから300MHzの間のRF周波数、及び60秒などの約5秒から約600秒の間の処理時間において行うことができる。
図2A〜図2Cは、上記の修復プロセスを用いる利点を示す。図2Aには、種々の誘電体膜の水接触角が示される。損傷を受けていない誘電体膜は、概して高い水接触角、例えば、図2Aのデータ列7に示されるように102.5度を示し、相対的に疎水性である。損傷を受けた誘電体膜は、概して、損傷を受けていない誘電体膜に比べて、低い水接触角を示す。例えば、図2Aのデータ列1及び3に示されるような2つの例示的な損傷を受けた誘電体膜の水接触角は7.5度及び13度であり、それゆえ、損傷を受けた誘電体膜は相対的に親水性である。
図2Aのデータ列2は、その修復前の条件がデータ列1に示される、損傷を受けた誘電体膜上で上記の気相シリル化プロセスを使用した後に、少なくとも約82.6度まで復元された損傷を受けた誘電体膜の水接触角の一例を示す。図2Aのデータ列4は、その修復前の条件がデータ列3に示される、損傷を受けた誘電体膜上で上記の別の気相シリル化プロセスを使用した後に、少なくとも約76.2度まで復元された損傷を受けた誘電体膜の水接触角の別の例を示す。図2Aのデータ列5は、その修復前の条件がデータ列3に示される、損傷を受けた誘電体膜上で上記のUV硬化プロセスを使用した後に、少なくとも約28.92度まで復元された損傷を受けた誘電体膜の水接触角の別の例を示す。
図2Aのデータ列6は、その修復前の条件がデータ列3に示される、損傷を受けた誘電体膜上で上記の別の気相シリル化プロセス及びインシトゥUV硬化プロセスを同時に使用した後に、少なくとも約96.3度まで復元された損傷を受けた誘電体膜の復元された水接触角の別の例を示す。データ列6の修復された誘電体膜の復元された水接触角は、驚くことに、データ列2、4及び5に示されるような例よりもはるかに良好であり、データ列7の損傷を受けていない誘電体膜の水接触角(102.5度)に相対的に近い。図2Aの結果は、損傷を受けた誘電体膜(対照として用いられる)の水接触角に比べて、本明細書において記述される処理プロセスを用いるときに誘電体膜の水接触角が高いことを示す。
図2Bには、種々の誘電体膜の誘電率(k値)が示される。損傷を受けていないlow−k誘電体膜は、概して低い誘電率を示す。一例では、損傷を受けていないlow−k誘電体膜は、データ列10に示されるような2.21のk値を有するが、損傷を受けていないlow−k誘電体膜は、その誘電体膜を、損傷を受けた誘電体膜に変更する(変換する)種々の異なる処理によって損傷を受ける場合があり、損傷を受けた誘電体膜の一例としてデータ列1では2.62のk値を有し、損傷を受けた誘電体膜の別の例としてデータ列5では2.52のk値を有する。したがって、幾つかの堆積後処理プロセスが、low−k誘電体膜を損傷する可能性があり、結果として損傷を受けた誘電体膜の誘電率(k値)は、損傷を受けていない誘電体膜の誘電率よりも10%から20%高い。
図2Bのデータ列2及び6は、その修復前の条件が列1及び5にそれぞれ示される(2.62及び2.52のk値)、損傷を受けた誘電体膜の例において上記の1つの気相シリル化プロセスを使用した後に、損傷を受けた誘電体膜の誘電率が少なくとも約2.54及び約2.43まで復元された例を示す。データ列2及び6における修復された誘電体膜の2.54及び2.43のk値は、データ列10における損傷を受けていない誘電体膜の2.21のk値に比べて依然として高すぎる。その結果は、気相シリル化プロセスが損傷を受けた誘電体膜の誘電率を修復し、損傷を受けた誘電体膜の誘電率値を約2%から約6%の間だけ下げることを示す。
図2Bのデータ列7は、その修復前の条件が列5に示される、損傷を受けた誘電体膜の例において上記のUV硬化プロセスを使用した後に、損傷を受けた誘電体膜の誘電率が少なくとも約2.45まで復元された一例を示す。その結果は、UV硬化プロセスが、損傷を受けた誘電体膜のk値を復元する際にシリル化プロセスに匹敵し、概して、損傷を受けた誘電体膜の誘電率値を約2%から約6%の間だけ下げることを示す。
図2Bのデータ列3及び8は、その修復前の条件が列1及び5にそれぞれ示される、損傷を受けた誘電体膜上で気相シリル化プロセス及びUV硬化プロセスを連続して使用する2つの例を示す。シリル化プロセス及びUV硬化プロセスを組み合わせた連続処理は、損傷を受けた誘電体膜を復元及び修復し、損傷を受けた誘電体膜のk値を下げる。さらに、シリル化プロセス及びUV硬化プロセスを組み合わせた連続処理を用いることによって、データ列3及び8に示されるような、修復された誘電体膜の2.4及び2.36の結果的なk値は、シリル化プロセス又はUV硬化プロセスのいずれかの単一の処理ステップのみを使用する、データ列2、6及び7の修復された誘電体膜の2.54、2.43及び3.45のk値よりも低い。
さらに、多段階方式を用いるとき、すなわち、その上に損傷を受けた誘電体膜を有する基板のインシトゥ処理が1つのプロセスチャンバ内で同時に実行されるとき、単一の処理ステッププロセスのみを使用する場合よりもはるかに良好に、損傷を受けた誘電体膜を復元及び修復することができる。基板の多段階インシトゥ処理は、例えば、プロセスチャンバから基板を取り出すことなく、所定の処理時間にわたって、気相シリル化プロセス及びインシトゥUV硬化プロセスに基板を同時に暴露することができる。別の例は、インシトゥパルスシリル化及びUV硬化プロセスに基板を同時に暴露し、例えば、所定の処理時間にわたって(例えば、連続的に、又は短い5秒から10秒のパルスで)気相シリル化化合物に、かつ別の処理時間(例えば、連続的に、又は短い5秒から10秒のパルスで)インシトゥUV硬化プロセスに基板を暴露する。
図2Bのデータ列4及び9は、インシトゥ気相シリル化プロセス及びUV硬化プロセスを用いる(例えば、その修復前の条件がデータ列1及び5に示される損傷を受けた誘電体膜を有する基板を気相シリル化化合物及びUV放射で同時に処理する)2つの例の結果を示す。修復された誘電体膜の誘電率(k値)は、データ列1及び5の開始時の損傷を受けた誘電体膜のそれぞれの誘電率2.62及び2.52に比べて、データ列4及び9に示されるように約2.38及び約2.32である。データ列4及び9の結果は、インシトゥシリル化及びUV硬化プロセスが、連続して組み合わせたシリル化及びUV硬化プロセスよりも良好であり、損傷を受けた誘電体膜の誘電率を約6%から約20%の間だけ下げることを示す。インシトゥ気相シリル化及びUV硬化プロセスは、損傷を受けた誘電体膜の誘電率を下げることができるだけでなく、誘電率値が損傷を受けていない誘電体膜の2.21の元のk値に匹敵する程度まで、損傷を受けた誘電体膜を修復及び復元することができる。
図2Cは、損傷を受けた誘電体膜(陰性対照として用いられる)のFTIR(フーリエ変換赤外線分光法)と比べて、本明細書において記述される1つ又は複数の処理/修復プロセスで処理された誘電体膜の水素結合シラノール基が減少したことを示すFTIR分光法の結果のプロットを示す。図2Cでは、破線が損傷を受けた誘電体膜(陰性対照レベルを設定する)のFTIR結果を示しており、約3000(cm−1)から約3500(cm−1)の波数における高い吸収を示し、Si−OH結合の数が多いことを示す。一点鎖線は、上記のシリル化プロセスを用いて修復された誘電体膜のFTIR結果を示しており、損傷を受けた陰性対照レベルと比べて、Si−OH結合が減少したことを示す。
図2Cにおいて、実線は上記のインシトゥシリル化及びUV硬化プロセスを用いて修復された誘電体膜のFTIR結果を示しており、単一のシリル化処理プロセス(一点鎖線)及び損傷を受けた陰性対照(破線)のFTIR結果と比べて、S−OH結合が減少したことを示す。約3000(cm−1)から約3500(cm−1)の波数における吸収の著しい減少は、Si−OH結合の数が減少したことを示しており、例えば、Si−OH結合は、Si−O−Si(CH及び他の結合に変換される場合がある。
誘電体膜100が修復された後に、半導体基板の製造を続けるために、後続のプロセスが実行される場合がある。例えば、図1Dに示されるように、誘電体膜100のフィーチャ、例えば、104内に拡散障壁層106が堆積される場合があり、その後、フィーチャ、例えば、104内に、例えば、銅又は銅合金などの金属材料107が堆積される場合がある。金属材料107を平坦化し、金属材料107から、平坦化中に形成される場合がある任意の酸化物を除去することが必要とされる場合がある。一般的な金属酸化物除去技法は、水素又はアンモニアプラズマの使用を伴う。図1Eに示されるように、平坦化及び/又は金属酸化物除去プロセスが、誘電体膜100の表面に再び損傷を与える場合がある。図1Fに示されるように、誘電体膜100は、上記の修復プロセスのいずれかを用いて修復することができる。
上記の修復プロセスは、損傷を受けた誘電体膜のk値を実効的に下げ、半導体デバイスフィーチャの継続的な縮小化を可能にする。
上述の説明は、上記の実施形態を対象とするが、本発明による他の実施形態及び更なる実施形態も、その基本的な範囲から逸脱することなく考案することができ、その範囲は添付の特許請求の範囲によって決定される。

Claims (15)

  1. 損傷を受けた誘電体膜を修復する方法であって、
    前記損傷を受けた誘電体膜をその上に有する基板を処理チャンバ内に配置することと、
    シリル化化合物を気化させて、気化されたシリル化化合物の流れにすることと、
    前記処理チャンバから前記基板を取り出すことなく、前記基板をその中に有する同じ処理チャンバ内で、第1の処理時間にわたって前記処理チャンバ内で前記気化されたシリル化化合物の前記流れに前記基板を暴露し、第2の期間にわたって前記基板を紫外線(UV)放射にインシトゥで暴露することと
    を含む方法。
  2. 1つ又は複数の不活性ガスを前記処理チャンバに流し込むことを更に含む、請求項1に記載の方法。
  3. He、Ar、O又はNガスのうちの少なくとも1つから前記処理チャンバ内にプラズマを形成すること
    を更に含む、請求項1に記載の方法。
  4. 前記気化されたシリル化化合物の前記流れに前記基板を暴露する前に、又は暴露するのと同時に、前記損傷を受けた誘電体膜をその上に有する前記基板を約100℃から約400℃の間の基板温度まで加熱すること
    を更に含む、請求項1に記載の方法。
  5. 前記基板を紫外線(UV)放射にインシトゥで暴露する前に、又は暴露するのと同時に、前記基板は、前記気化されたシリル化化合物の前記流れに暴露される、請求項1に記載の方法。
  6. 前記シリル化化合物は、前記処理チャンバに流れ込む前に、又は流れ込んだ後に気化されて気相になる、請求項1に記載の方法。
  7. 前記シリル化化合物は、ヘキサメチルジシラザン(HMDS)、テトラメチルジシラザン(TMDS)、トリメチルクロロシラン(TMCS)、ジメチルジクロロシラン(DMDCS)、メチルトリクロロシラン(MTCS)、トリメチルメトキシシラン(TMMS)(CH−Si−(OCH)、ジメチルジメトキシシラン(DMDMS)((CH−Si−(OCH)、メチルトリメトキシシラン(MTMS)((CH−Si−OCH)、フェニルトリメトキシシラン(PTMOS)(C−Si−(OCH)、フェニルジメチルクロロシラン(PDMCS)(C−Si−(CH−Cl)、ジメチルアミノトリメチルシラン(DMATMS)((CH−N−Si−(CH)、ビス(ジメチルアミノ)ジメチルシラン(BDMADMS)及びその組合せからなる群から選択される、請求項1に記載の方法。
  8. 前記第1の処理時間は、約10秒から約600秒の間である、請求項1に記載の方法。
  9. 前記UV放射は約100nmから約400nmの間のUV波長で、かつ約100W/mから約2000W/mの間のUV放射パワーでUV放射源から生成される、請求項1に記載の方法。
  10. 前記処理チャンバ内で前記気化されたシリル化化合物の前記流れに前記基板を暴露し、前記基板を紫外線(UV)放射にインシトゥで暴露する前に、前記基板をUV硬化プロセスに暴露すること
    を更に含む、請求項1に記載の方法。
  11. 前記処理チャンバ内で前記気化されたシリル化化合物の前記流れに前記基板を暴露し、前記基板を紫外線(UV)放射にインシトゥで暴露することは、
    パルス単位で、連続的に、前記第1の処理時間にわたって前記気化されたシリル化化合物の前記流れに前記基板を暴露し、前記基板を紫外線(UV)放射にインシトゥで暴露することを含み、各パルスは約5秒から約10秒の間である、請求項1に記載の方法。
  12. 前記処理チャンバ内で前記気化されたシリル化化合物の前記流れに前記基板を暴露し、前記基板を紫外線(UV)放射にインシトゥで暴露することは、
    前記基板を5秒から10秒の間のパルスにわたって前記気化されたシリル化化合物に、5秒から10秒の間のパルスにわたって前記紫外線(UV)放射に、交互に暴露することと、
    前記基板を前記気化されたシリル化化合物の前記流れ、及び前記紫外線(UV)放射に、交互に暴露することを繰り返すことと
    を含む、請求項1に記載の方法。
  13. 前記損傷を受けた誘電体膜をその上に有する前記基板上に酸化ケイ素の層を堆積すること
    を更に含み、前記酸化ケイ素の層を堆積することは、
    シリコン含有前駆体からプラズマを形成して、前記基板上にシリコン含有化合物を堆積することと、
    前記堆積されたシリコン含有化合物を有する前記基板を酸素含有プラズマに暴露することと
    を含む、請求項1に記載の方法。
  14. 前記シリコン含有前駆体は、オクタメチルシクロテトラシロキサン(OMCTS)、メチルジエトキシシラン(MDEOS)、ビス(ターシャルブチルアミノ)シラン(BTBAS)、トリジメチルアミノシラン(TriDMAS)、シラン、ジシラン、ジクロロシラン、トリクロロシラン、ジブロモシラン、四塩化ケイ素、又は四臭化ケイ素を含む、請求項13に記載の方法。
  15. 前記基板を前記酸素含有プラズマに暴露することは、
    、NO及びその組合せからなる群から選択される酸素含有前駆体からプラズマを形成すること
    を含む、請求項13に記載の方法。
JP2014508368A 2011-04-25 2012-04-04 損傷を受けたlow−k膜の再生及び細孔封止のためのUV支援シリル化 Pending JP2014516477A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/093,351 2011-04-25
US13/093,351 US8492170B2 (en) 2011-04-25 2011-04-25 UV assisted silylation for recovery and pore sealing of damaged low K films
PCT/US2012/032203 WO2012148641A2 (en) 2011-04-25 2012-04-04 Uv assisted silylation for recovery and pore sealing of damaged low k films

Publications (1)

Publication Number Publication Date
JP2014516477A true JP2014516477A (ja) 2014-07-10

Family

ID=47021640

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014508368A Pending JP2014516477A (ja) 2011-04-25 2012-04-04 損傷を受けたlow−k膜の再生及び細孔封止のためのUV支援シリル化

Country Status (6)

Country Link
US (1) US8492170B2 (ja)
JP (1) JP2014516477A (ja)
KR (1) KR20140010449A (ja)
CN (1) CN103430291A (ja)
TW (1) TWI456655B (ja)
WO (1) WO2012148641A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018506186A (ja) * 2015-02-09 2018-03-01 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 酸化ケイ素薄膜の選択的横成長
KR20230086779A (ko) 2020-10-19 2023-06-15 도쿄엘렉트론가부시키가이샤 기판 처리 방법 및 기판 처리 장치

Families Citing this family (362)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8535767B1 (en) * 2012-04-18 2013-09-17 Asm Ip Holding B.V. Method for repairing damage of dielectric film by hydrocarbon restoration and hydrocarbon depletion using UV irradiation
TW201403711A (zh) 2012-07-02 2014-01-16 Applied Materials Inc 利用氣相化學暴露之低k介電質損傷修復
TWI581331B (zh) * 2012-07-13 2017-05-01 應用材料股份有限公司 降低多孔低k膜的介電常數之方法
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103839871B (zh) * 2012-11-21 2017-09-08 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8927420B2 (en) * 2013-02-04 2015-01-06 Taiwan Semiconductor Manufacturing Company Limited Mechanism of forming semiconductor device having support structure
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
WO2014158462A1 (en) * 2013-03-14 2014-10-02 Applied Materials, Inc. Layer-by-layer deposition of carbon-doped oxide films through cyclical silylation
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
JP6206096B2 (ja) * 2013-10-31 2017-10-04 富士通株式会社 半導体装置の製造方法
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
CN104752317B (zh) * 2013-12-26 2017-11-10 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US9318364B2 (en) 2014-01-13 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device metallization systems and methods
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10113234B2 (en) * 2014-07-21 2018-10-30 Applied Materials, Inc. UV assisted silylation for porous low-k film sealing
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9478414B2 (en) 2014-09-26 2016-10-25 Asm Ip Holding B.V. Method for hydrophobization of surface of silicon-containing film by ALD
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102341710B1 (ko) 2014-11-25 2021-12-22 삼성전자주식회사 다공성 절연막의 처리 방법 및 이를 이용한 반도체 소자의 제조 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
KR102272553B1 (ko) 2015-01-19 2021-07-02 삼성전자주식회사 반도체 장치 및 이의 제조 방법
CN105990224A (zh) * 2015-02-04 2016-10-05 中芯国际集成电路制造(上海)有限公司 改善绝缘层与金属扩散阻挡层的交界面性能的方法
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160300757A1 (en) * 2015-04-07 2016-10-13 Applied Materials, Inc. Dielectric constant recovery
KR102328108B1 (ko) 2015-05-08 2021-11-17 삼성전자주식회사 배선 구조물, 배선 구조물의 형성 방법 및 반도체 장치의 제조 방법
US9793108B2 (en) * 2015-06-25 2017-10-17 Applied Material, Inc. Interconnect integration for sidewall pore seal and via cleanliness
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859127B1 (en) * 2016-06-10 2018-01-02 Lam Research Corporation Line edge roughness improvement with photon-assisted plasma process
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
KR20180030280A (ko) 2016-09-12 2018-03-22 삼성전자주식회사 배선 구조체를 갖는 반도체 소자
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
WO2020081226A1 (en) * 2018-10-15 2020-04-23 Mattson Technology, Inc. Ozone for selective hydrophilic surface treatment
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US10832950B2 (en) 2019-02-07 2020-11-10 International Business Machines Corporation Interconnect with high quality ultra-low-k dielectric
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
US11348784B2 (en) 2019-08-12 2022-05-31 Beijing E-Town Semiconductor Technology Co., Ltd Enhanced ignition in inductively coupled plasmas for workpiece processing
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11495532B2 (en) * 2020-02-27 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques to inhibit delamination from flowable gap-fill dielectric
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11955381B2 (en) * 2020-06-22 2024-04-09 Applied Materials, Inc. Low-temperature plasma pre-clean for selective gap fill
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6451512B1 (en) 2000-05-01 2002-09-17 Advanced Micro Devices, Inc. UV-enhanced silylation process to increase etch resistance of ultra thin resists
US7541200B1 (en) 2002-01-24 2009-06-02 Novellus Systems, Inc. Treatment of low k films with a silylating agent for damage repair
US6962869B1 (en) 2002-10-15 2005-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. SiOCH low k surface protection layer formation by CxHy gas plasma treatment
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US20050250346A1 (en) 2004-05-06 2005-11-10 Applied Materials, Inc. Process and apparatus for post deposition treatment of low k dielectric materials
KR20070019748A (ko) 2004-06-04 2007-02-15 인터내셔널 비지네스 머신즈 코포레이션 상호접속 구조물의 제조방법
US20080166870A1 (en) * 2004-06-04 2008-07-10 International Business Machines Corporation Fabrication of Interconnect Structures
US7253105B2 (en) * 2005-02-22 2007-08-07 International Business Machines Corporation Reliable BEOL integration process with direct CMP of porous SiCOH dielectric
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7892985B1 (en) 2005-11-15 2011-02-22 Novellus Systems, Inc. Method for porogen removal and mechanical strength enhancement of low-k carbon doped silicon oxide using low thermal budget microwave curing
US20070173071A1 (en) 2006-01-20 2007-07-26 International Business Machines Corporation SiCOH dielectric
US7446058B2 (en) * 2006-05-25 2008-11-04 International Business Machines Corporation Adhesion enhancement for metal/dielectric interface
US20100267231A1 (en) 2006-10-30 2010-10-21 Van Schravendijk Bart Apparatus for uv damage repair of low k films prior to copper barrier deposition
US8465991B2 (en) * 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US7851232B2 (en) 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
JP4555320B2 (ja) 2007-06-15 2010-09-29 東京エレクトロン株式会社 低誘電率絶縁膜のダメージ回復方法及び半導体装置の製造方法
US7741224B2 (en) 2007-07-11 2010-06-22 Texas Instruments Incorporated Plasma treatment and repair processes for reducing sidewall damage in low-k dielectrics
JP2009164198A (ja) * 2007-12-28 2009-07-23 Panasonic Corp 半導体装置の製造方法
JP5705751B2 (ja) 2009-03-10 2015-04-22 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード low−kシリル化用の環式アミノ化合物
US20110151590A1 (en) 2009-08-05 2011-06-23 Applied Materials, Inc. Apparatus and method for low-k dielectric repair

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018506186A (ja) * 2015-02-09 2018-03-01 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 酸化ケイ素薄膜の選択的横成長
CN111696853A (zh) * 2015-02-09 2020-09-22 应用材料公司 处理基板的方法
JP2020170844A (ja) * 2015-02-09 2020-10-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 酸化ケイ素薄膜の選択的横成長
CN111696853B (zh) * 2015-02-09 2023-04-14 应用材料公司 处理基板的方法
KR20230086779A (ko) 2020-10-19 2023-06-15 도쿄엘렉트론가부시키가이샤 기판 처리 방법 및 기판 처리 장치

Also Published As

Publication number Publication date
KR20140010449A (ko) 2014-01-24
TW201243948A (en) 2012-11-01
US8492170B2 (en) 2013-07-23
TWI456655B (zh) 2014-10-11
US20120270339A1 (en) 2012-10-25
CN103430291A (zh) 2013-12-04
WO2012148641A2 (en) 2012-11-01
WO2012148641A3 (en) 2012-12-20

Similar Documents

Publication Publication Date Title
JP2014516477A (ja) 損傷を受けたlow−k膜の再生及び細孔封止のためのUV支援シリル化
JP6782702B2 (ja) 高品質fcvd膜バックグラウンド用の先進的処理フロー
JP6422536B2 (ja) 気相化学曝露による低誘電率誘電体の損傷修復
US8445075B2 (en) Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics
JP5592327B2 (ja) 誘電体膜の材料特性を高めるための活性化学的方法
US7510982B1 (en) Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
TWI705971B (zh) 用於緻密osg膜的橋接甲矽基之烷基化合物的用途
TWI673826B (zh) 可流動膜固化穿透深度之改進以及應力調諧
EP1873818A2 (en) Process for curing dielectric films
US8481422B2 (en) Prevention and reduction of solvent and solution penetration into porous dielectrics using a thin barrier layer
JP2013515376A (ja) 連続プラズマを用いるpecvd(プラズマ化学気相堆積)マルチステップ処理
KR100939593B1 (ko) 습식 에칭 언더컷팅들을 최소화하고 k가 2.5 미만인 최저k 유전체들의 공극 밀봉을 제공하는 방법
JP2004320005A (ja) 有機シリカ多孔性膜製造のための化学気相成長方法
JP2004241776A (ja) 低k誘電体フイルムの化学的処理
TW201300567A (zh) 藉由紫外線輔助之光化學沉積而介電回復電漿損壞之低介電常數薄膜
US9850574B2 (en) Forming a low-k dielectric layer with reduced dielectric constant and strengthened mechanical properties
US9502255B2 (en) Low-k damage repair and pore sealing agents with photosensitive end groups
US9236294B2 (en) Method for forming semiconductor device structure
KR20150035509A (ko) 다공성 저-k 막의 유전 상수를 감소시키기 위한 방법
KR20160106751A (ko) 경도 및 모듈러스를 증가시키기 위한 저 k 막들의 탄소 이산화물 및 탄소 일산화물 매개성 경화
JP7299887B2 (ja) 窒化ケイ素膜のドライエッチング速度の低減
KR20230029686A (ko) 반도체 장치들 내 인트라레벨 커패시턴스 감소
US11469100B2 (en) Methods of post treating dielectric films with microwave radiation
TWI762761B (zh) 用於密osg膜的有機取代的硬化添料化合物與矽構造形成劑
JPH098029A (ja) フッ素を含有する絶縁膜及びその形成方法