CN103430291A - 用于恢复及封孔受损的低介电常数薄膜的紫外线辅助硅烷化 - Google Patents

用于恢复及封孔受损的低介电常数薄膜的紫外线辅助硅烷化 Download PDF

Info

Publication number
CN103430291A
CN103430291A CN2012800121151A CN201280012115A CN103430291A CN 103430291 A CN103430291 A CN 103430291A CN 2012800121151 A CN2012800121151 A CN 2012800121151A CN 201280012115 A CN201280012115 A CN 201280012115A CN 103430291 A CN103430291 A CN 103430291A
Authority
CN
China
Prior art keywords
dielectric film
treatment chamber
exposed
radiation
impaired
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2012800121151A
Other languages
English (en)
Inventor
谢波
A·T·迪莫斯
K·S·伊姆
T·诺瓦克
陈劲文
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN103430291A publication Critical patent/CN103430291A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Separation Using Semi-Permeable Membranes (AREA)

Abstract

提供了用于修复受损的低介电常数薄膜的方法。对低介电常数薄膜的损坏发生在处理薄膜期间,诸如,在蚀刻、灰化及平坦化期间。对低介电常数薄膜的处理使水储存在薄膜的孔中且进一步使亲水性化合物形成在低介电常数薄膜结构中。结合紫外线(UV)辐射及硅烷化化合物的修复工艺自孔移除水且进一步自低介电常数薄膜结构移除亲水性化合物。

Description

用于恢复及封孔受损的低介电常数薄膜的紫外线辅助硅烷化
发明背景
技术领域
所描述的方法大体而言涉及修复并降低用于半导体制造的低介电常数薄膜的介电常数。
现有技术
半导体制造中的介电薄膜的介电常数(k)随着器件比例继续缩小而不断地减小。最小化对低介电常数(低k)薄膜的整合损坏对于能够继续减小特征尺寸十分重要。然而,随着特征尺寸缩小,电阻电容的改良及介电薄膜的可靠性变为极大的挑战。
用于蚀刻或灰化介电薄膜的当前技术涉及产生水(H2O)作为副产物的工艺化学。可将水副产物引入至经沉积介电薄膜中,藉此增加介电薄膜的k值。同样,用于移除氧化铜(CuO)及化学机械平坦化(CMP)残余物的当前技术涉及使用氨(NH3)或氢(H2)等离子体。移除氧化铜及CMP残余物对于改良金属化结构的电迁移(EM)及层间介电(inter level dielectric,ILD)薄膜的时间相关介电质击穿(TDDB)是必要的。然而,将低介电常数薄膜暴露于NH3及H2等离子体修改薄膜结构且增加k值。现在的修复技术涉及液相硅烷化或者使用超临界CO2。然而,这些技术尚未证明对修复薄膜中的凹入特征的侧壁损坏是有效的。
因此,用于修复介电薄膜以降低k值的方法对于改良效率且允许较小器件尺寸是必要的。
发明内容
根据本发明的实施例大体而言涉及用于修复并降低用于半导体制造的低介电常数薄膜的介电常数的方法。
一种修复受损低介电常数介电薄膜的方法包括:至少两次交替性地将介电薄膜暴露于紫外线(UV)辐射及硅烷化化合物。
另一种方法包括:将介电薄膜暴露于硅烷化化合物;将氧化硅层沉积至介电薄膜上;以及将介电薄膜及氧化硅层暴露于紫外线(UV)辐射。
附图说明
因此,可详细地理解上述特征的方式,即上文简要概述的更特定描述可参照实施例进行,一些实施例图示在附图中。然而,应注意,附图仅图示典型实施例,且因此不应视为对本发明范围的限制,描述可建议其它等效的实施例。
图1A至图1F图示在处理的各个阶段期间的介电层。
图2A图示使用所述处理工艺的介电薄膜的水接触角与受损介电薄膜(作为对照)的水接触角相比较的增加。
图2B图示使用本文所述的处理工艺的介电薄膜的介电常数(k值)与受损介电薄膜及未受损介电薄膜(作为正对照及负对照)的介电常数(k值)相比较的减小。
图2C图示在FTIR(傅立叶变换红外光谱法)下的结果,所述结果显示用本文所述的一个或多个处理及修复工艺处理的介电薄膜的氢键结的硅醇基(group)与受损介电薄膜(作为负对照)的FTIR光谱法相比较的减少。
具体实施方式
所描述的实施例大体而言涉及用于修复用于半导体制造中的低介电常数薄膜的介电常数(k值)及降低用于半导体制造中的低介电常数薄膜的介电常数(k值)的方法。
图1A图标沉积至结构101上的介电薄膜100。结构101可为基板(诸如举例而言,硅晶圆)或者先前形成的层(诸如举例而言,金属化层或互连层)。介电薄膜100可为含有多孔硅的低介电常数薄膜,诸如举例而言,SiO2薄膜、SiOC薄膜、SiON薄膜、SiCOH薄膜、SiOCN薄膜、或者其它相关薄膜。介电薄膜100可具有形成在所述介电薄膜100中的孔102。
图1B图示在经平坦化且经蚀刻以使特征(例如,104)形成在介电薄膜100中之后的介电薄膜100。例如,介电薄膜100可能已藉由化学机械平坦化(CMP)工艺来平坦化。例如,介电薄膜100可能已藉由以下步骤来蚀刻:遮蔽介电薄膜100的一部分;将介电薄膜100的未遮蔽部分与自氢氟酸(HF)蒸汽形成的等离子体接触;以及使用自氧气(O2)气体或CO2气体形成的等离子体灰化掉遮蔽物。
例如,介电薄膜100的平坦化工艺、灰化工艺及蚀刻工艺将氢气和/或水引入至介电薄膜100中,从而使Si-OH基形成,所述Si-OH基使得介电薄膜100为亲水性的。介电薄膜100的亲水性使孔102填充有水,所述水产生不良效应,使得载水的孔随后被识别为受损孔103。Si-OH基与受损孔103两者的存在以非期望的方式增加介电薄膜100的k值,且存在Si-OH或受损孔103的位置被视为受损。因平坦化及蚀刻受损的位置通常位于介电薄膜100的上部且位于特征(例如,104)的侧壁,如图1B中所示。
图1C图示在已藉由一个或多个工艺修复之后的介电薄膜100,下文描述所述一个或多个工艺。例如,修复工艺藉由自受损孔103移除水,藉此产生经修复孔105,且藉由将介电薄膜100中的Si-OH基转化成疏水性的Si-O-Si(CH3)3基,来减小介电薄膜100的k值。疏水性的Si-O-Si(CH3)3基辅助将水驱出受损孔103。当与未修复受损介电薄膜的介电常数(k值)相比较且与未受损介电薄膜的介电常数(k值)相比较(分别作为正对照及负对照)时,使用所述处理工艺修复的受损介电薄膜(从而变为经修复介电薄膜)的介电常数(k值)显著地减小。
此外,当在使用本文所述的处理及修复工艺之后与受损介电薄膜(用作对照)的水接触角相比较时,所得经修复介电薄膜显示水接触角的增加。此外,当使用FTIR(傅立叶变换红外光谱法)分析经修复介电薄膜的键结性质时,存在于受损介电薄膜中的氢键结的硅醇基的数目在用下文描述的修复工艺中的一个或多个修复工艺处理受损介电薄膜之后已显著地减少(与作为负对照的未修复受损介电薄膜的FTIR光谱法相比较)。
在一个实施例中,受损介电薄膜100可藉由气相硅烷化工艺来修复。气相硅烷化工艺包含:将介电薄膜100与气化的硅烷化化合物接触,以在介电薄膜100中产生Si-O-Si(CH3)3基。气化硅烷化化合物允许所述硅烷化化合物深入地渗透至介电薄膜100中。硅烷化化合物可为六甲基二硅氮烷(HMDS)、四甲基二硅氮烷(TMDS)、三甲基氯硅烷(TMCS)、二甲基二氯硅烷(DMDCS)、甲基三氯硅烷(MTCS)、三甲基甲氧基硅烷(TMMS)(CH3-Si-(OCH3)3)、二甲基二甲氧基硅烷(DMDMS)((CH3)2-Si-(OCH3)2)、甲基三甲氧基硅烷(MTMS)((CH3)3-Si-OCH3)、苯基三甲氧基硅烷(PTMOS)(C6H5-Si-(OCH3)3)、苯基二甲基氯硅烷(PDMCS)(C6H5-Si-(CH3)2-Cl)、二甲基胺基三甲基硅烷(DMATMS)((CH3)2-N-Si-(CH3)3)、双(二甲基胺)二甲基硅烷(BDMADMS)、或者含有Si、H及C的其它化合物。
气相硅烷化工艺可藉由以下步骤来执行:将介电薄膜100放置到处理腔室中,气化硅烷化化合物,以及使经气化硅烷化化合物流动至处理腔室中。硅烷化化合物可替代性地在处理腔室中气化。可将硅烷化化合物经由喷淋头引入至处理腔室中,所述喷淋头定位在处理腔室的上部处。诸如He之类的载气可用以辅助将硅烷化化合物引入至处理腔室中。此外,可在气相硅烷化工艺期间添加诸如水之类的催化剂。气相硅烷化工艺可在介于约50毫托与约500托之间(诸如,6托)的处理腔室压力、介于约100℃与约400℃之间(诸如,385℃)的介电薄膜温度、介于约0.5g/min与约5g/min之间(诸如,1g/min)的硅烷化化合物流动速率、以及介于约1分钟(min)与约10分钟之间(诸如,3分钟)的处理时间下执行。处理腔室压力在气相硅烷化工艺期间可在例如约50托与约500托之间变化。
在另一实施例中,介电薄膜100可藉由使用紫外线(UV)固化工艺来修复。紫外线固化工艺包含:将介电薄膜100暴露于紫外线辐射,以自受损孔移除水并在以上所述的介电薄膜100中产生Si-O-Si(CH3)3基。紫外线固化工艺可藉由以下步骤来执行:将介电薄膜100放置到处理腔室中,并且使介电薄膜100暴露于紫外线辐射源,所述紫外线辐射源具有足够的能量来驱动起始且驱动所述工艺。例如,紫外线辐射源可为紫外线灯。例如,紫外线辐射源可定位在处理腔室的外部,所述处理腔室具有惰性气体(诸如,He或Ar)环境及石英窗,紫外线辐射可穿越所述石英窗。处理腔室还可包括微波源,以在将介电薄膜100暴露于紫外线辐射之前或与将介电薄膜100暴露于紫外线辐射同时加热介电薄膜100。还可使用等离子体模拟紫外线辐射波长,来执行紫外线固化工艺。可藉由将RF功率耦接至诸如He、Ar、O2及N2之类的处理气体,来形成等离子体。紫外线固化工艺可在介于约1托与约100托之间(诸如,6托)的处理腔室压力、介于约20℃与约400℃之间(诸如,385℃)的介电薄膜温度、介于约8slm与约24slm之间(诸如,16slm)的处理腔室环境(非处理)气体流动速率、介于约2slm与约20slm之间(诸如,12slm)的处理气体流动速率、介于约50W与约1000W之间(诸如,500W)的RF功率、13.56MHz的RF功率频率、介于约10秒与约180秒之间(诸如,60秒)的处理时间、介于约100W/m2与约2000W/m2之间(诸如,1500W/m2)的紫外线辐照度下、以及使用介于约100nm与约400nm之间的紫外线波长来执行。以上所述的紫外线固化工艺发挥作用以修复特征(例如,104)的侧壁中的受损孔103。
在另一实施例中,可使用以上所述的气相硅烷化工艺并接着使用以上还描述的紫外线固化工艺来修复介电薄膜100,或者反之亦然。还可原位(in-situ)且在相同腔室中执行两个工艺。举例而言,处理腔室可含有喷淋头及石英窗,所述喷淋头及所述石英窗可整合成单一部件。
在另一实施例中,介电薄膜100可使用工艺来修复,所述工艺包括紫外线固化工艺、接着使用的气相硅烷化工艺、以及随后接着使用的额外紫外线固化工艺。三阶段工艺操作以自受损孔103移除水,以便硅烷化化合物可渗透且修复受损孔103。最初的紫外线固化自介电薄膜100的表面且自特征(例如,104)的侧壁移除水,气相硅烷化恢复薄膜的疏水性,并且额外的紫外线固化完成介电薄膜100的修复。可在单一处理腔室中或多个处理腔室中执行所述工艺。
在另一实施例中,介电薄膜100可藉由原位脉冲硅烷化及紫外线固化工艺来修复。原位脉冲硅烷化及紫外线固化工艺可藉由以下步骤来执行:将介电薄膜100放置到处理腔室中且交替性地将介电薄膜100暴露于紫外线辐射,并且随后与硅烷化化合物接触。举例而言,在原位脉冲硅烷化及紫外线固化工艺的一部分中,可将紫外线辐射源暴露于介电薄膜100达约5秒与约10秒之间,并且随后自暴露切断所述紫外线辐射源。在原位脉冲硅烷化及紫外线固化工艺的另一部分中,可控制液体或气化硅烷化化合物(诸如,上文提及的硅烷化化合物中的一种硅烷化化合物)流动至处理腔室中达约5秒与约10秒之间,以与介电薄膜100接触,并且随后可停止流动。可按需重复原位脉冲硅烷化及紫外线固化工艺的两个步骤,以实现介电薄膜100的期望修复。举例而言,可重复原位脉冲硅烷化及紫外线固化工艺的两个步骤,例如,重复2次、3次、10次等。应理解,两个步骤中的任一步骤可开始修复程序且随后接着使用另一步骤。可将硅烷化化合物经由定位在处理腔室的上部的喷淋头引入至处理腔室中,紫外线辐射源可为定位在处理腔室的外部的紫外线灯,并且处理腔室可具有石英窗,紫外线辐射可穿越所述石英窗。原位脉冲硅烷化及紫外线固化工艺可在介于约1托与约500托之间(诸如,6托)的处理腔室压力、介于约100℃与约400℃之间(诸如,385℃)的介电薄膜温度、介于约0.5g/min与约5g/min之间(诸如,1g/min)的硅烷化化合物流动速率、介于约10秒与约600秒之间(诸如,180秒)的总处理时间、介于约100W/m2与约2000W/m2之间(诸如,1500W/m2)的紫外线辐照度下、并且使用介于约100nm与约400nm之间的紫外线波长来执行。
在另一实施例中,介电薄膜100可藉由原位硅烷化及紫外线固化工艺来修复。原位硅烷化及紫外线固化工艺可藉由以下步骤来执行:将介电薄膜100放置到处理腔室中,使液体或气化硅烷化化合物(诸如,上文提及的硅烷化化合物中的一种硅烷化化合物)连续流动至处理腔室中以与介电薄膜100接触,并且同时将介电薄膜100暴露于脉冲紫外线辐射。可将硅烷化化合物经由定位在处理腔室的上部的喷淋头引入至处理腔室中,紫外线辐射源可为定位在处理腔室的外部的紫外线灯,并且处理腔室可具有石英窗,紫外线辐射可穿越所述石英窗。原位硅烷化及紫外线固化工艺可在介于约1托与约500托之间(诸如,6托)的处理腔室压力、介于约100℃与约400℃之间(诸如,385℃)的介电薄膜温度、介于约0.5g/min与约5g/min之间(诸如,1g/min)的硅烷化化合物流动速率、介于约10秒与约600秒之间(诸如,180秒)的总处理时间、介于约100W/m2与约2000W/m2之间(诸如,1500W/m2)的紫外线辐照度下、并且使用介于约100nm与约400nm之间的紫外线波长来执行。
在另一实施例中,介电薄膜100可藉由三阶段程序来修复,所述三阶段程序包括硅烷化工艺、低温共形氧化硅沉积工艺、以及紫外线固化工艺。硅烷化工艺及紫外线固化工艺可类似于最初以上所述的那些硅烷化工艺及紫外线固化工艺。低温共形氧化硅沉积工艺为用于沉积十分薄的层的原子层沉积(ALD)型工艺。在低温共形氧化硅沉积工艺中,藉由将介电薄膜100定位在处理腔室中且将介电薄膜100暴露于自含硅的前驱物形成的等离子体,来将共形籽晶层沉积至介电薄膜100上。随后用自含氧的前驱物形成的等离子体来处理共形籽晶层,藉此在介电薄膜100上形成氧化硅层。可重复所述工艺,直至形成期望厚度的氧化硅为止。
适合的含硅的前驱物可包括八甲基环四硅氧烷(OMCTS)、甲基二乙氧基硅烷(MDEOS)、双(叔丁胺基)硅烷(BTBAS)、三-二甲基胺基硅烷(TriDMAS)、硅烷、乙硅烷、二氯甲硅烷、三氯硅烷、二溴硅烷、四氯化硅、四溴化硅、或者上述前驱物的组合。在13.56MHz和/或350KHz的频率下,以约50W至约3000W的RF功率提供含硅的等离子体。可向腔室中的喷淋头(即,气体分配组件)和/或基板支撑件提供RF功率。喷淋头与基板支撑件之间的间隔可大于约230密耳,诸如,介于约350密耳与约800密耳之间。
含硅的前驱物可视需要包括载气,诸如,氦气、氮气、氧气、氧化亚氮、以及氩气。可以介于约5sccm与约1000sccm之间的流动速率引入含硅的前驱物。可以介于约100sccm与约20000sccm之间的流动速率引入视需要的载气,例如,氦气。含硅的前驱物(例如,八甲基环四硅氧烷)的流动速率与载气(例如,氦气)的流动速率的比率为约1:1或大于1:1,诸如,介于约1:1与约1:100之间。当含硅的前驱物流动至处理腔室中以沉积共形籽晶层时,处理腔室压力可大于约5毫托,诸如,介于约1.8托与约10托之间,并且介电薄膜100的温度可介于约125℃与约580℃之间,更特定地,温度介于约200℃与约400℃之间。
可控制含硅的前驱物流动至腔室中达足以沉积层的处理时间,所述层具有介于约
Figure BDA00003783998500094
Figure BDA00003783998500095
之间的厚度。举例而言,可控制含硅的前驱物流动至腔室中达介于约0.1秒与约120秒之间。
适合的含氧的前驱物可包括氧气(O2)气体或氧化亚氮(N2O)。可将含氧的前驱物以介于约100sccm与约20000sccm之间的流动速率引入至腔室中。可控制含氧的前驱物流动至腔室中达诸如介于约0.1秒与约120秒之间的处理时间。可藉由在13.56MHz和/或350KHz的频率下,在腔室中施加介于约50W与约3000W之间的RF功率,来提供氧等离子体。当含氧的前驱物流动至腔室中时,腔室压力可介于约5毫托与约10托之间,并且介电薄膜100的温度可介于约125℃与约580℃之间。用于含碳的等离子体工艺的适合处理腔室为可购自位于加利福尼亚州圣克拉拉市的应用材料公司的
Figure BDA00003783998500093
SNOWPECVD腔室。以上所述的三阶段程序有利地密封受损孔,所述受损孔可能已在以上论述的蚀刻工艺、灰化工艺或平坦化工艺期间破裂。
在另一实施例中,介电薄膜100可藉由含碳的等离子体工艺来修复。含碳的等离子体工艺可藉由以下步骤来执行:将介电薄膜100放置在处理腔室中,使含碳的前驱物气体(诸如,烃前驱物气体)流动至处理腔室中,将RF功率耦接至含碳的前驱物气体以形成等离子体,并且将介电薄膜100与含碳的等离子体接触。含碳的前驱物气体可包括乙烯、乙炔、丁二烯、α萜品烯(A-TRP)、甲烷、二环庚二烯(BCHD)、或者其它相关化合物。可将含碳的前驱物气体经由喷淋头引入至处理腔室中,所述喷淋头定位在所述处理腔室的上部处。用于含碳的等离子体工艺的适合处理腔室为可购自位于加利福尼亚州圣克拉拉市的应用材料公司的
Figure BDA00003783998500101
PECVD腔室。含碳的等离子体工艺可在介于1托与50托之间(诸如,10托)的处理腔室压力、介于约20℃与约400℃之间(诸如,300℃)的介电薄膜温度、介于约10sccm与约5000sccm之间(诸如,1000sccm)的含碳的前驱物气体流动速率、介于约10W与约1000W之间(诸如,300W)的RF功率、介于0.01MHz与300MHz之间(诸如,13.56MHz)的RF频率、以及介于约5秒与约600秒之间(诸如,60秒)的处理时间下执行。
图2A至图2C图示使用以上所述的修复工艺的益处。在图2A中,图示各种介电薄膜的水接触角。未受损介电薄膜通常呈现较大水接触角,例如,如图2A的数据栏7中所示的约102.5度,并且未受损介电薄膜为相对疏水性的。与未受损介电薄膜的水接触角相比较,受损介电薄膜通常呈现更小的水接触角。举例而言,如图2A的数据栏1及数据栏3中所示的两个示例性受损介电薄膜的水接触角为7.5度及13度,并且因此,受损介电薄膜更具亲水性。
图2A的数据栏2图示在将以上所述的一个气相硅烷化工艺用于受损介电薄膜上之后,已恢复至至少约82.6度的受损介电薄膜的水接触角的一个实例,所述受损介电薄膜的预修复状况图示在数据栏1中。图2A的数据栏4图示在将以上所述的另一气相硅烷化工艺用于受损介电薄膜上之后,已恢复至至少约76.2度的受损介电薄膜的水接触角的另一实例,所述受损介电薄膜的预修复状况图示在数据栏3中。图2A的数据栏5图示在将以上所述的紫外线固化工艺用于受损介电薄膜上之后,已恢复至至少约28.92度的受损介电薄膜的水接触角的另一实例,所述受损介电薄膜的预修复状况图示在数据栏3中。
此外,图2A的数据栏6图示在将以上所述的另一气相硅烷化工艺及原位紫外线固化工艺同时用于受损介电薄膜上之后,已恢复至至少约96.3度的受损介电薄膜的经恢复水接触角的另一实例,所述受损介电薄膜的预修复状况图示在数据栏3中。数据栏6的经修复介电薄膜的经恢复水接触角惊人地比数据栏2、4及5中所示的实例大得多,并且数据栏6的经修复介电薄膜的经恢复水接触角相对接近于数据栏7的未受损介电薄膜的水接触角(102.5度)。图2A中的结果显示使用本文所述处理工艺的介电薄膜的水接触角与受损介电薄膜(用作对照)的水接触角相比较的增加。
在图2B中,图示各种介电薄膜的介电常数(k值)。未受损低介电常数介电薄膜通常呈现较低介电常数。在一个实例中,低介电常数的未受损介电薄膜具有如数据栏10中所示的2.21的k值,并且未受损低介电常数介电薄膜可由各种不同处理损坏,所述处理将介电薄膜改变(变换)成受损介电薄膜,所述受损介电薄膜具有2.62的k值作为数据栏1中的受损介电薄膜的一个实例且具有2.52的k值作为数据栏5中的受损介电薄膜的另一实例。因此,一些沉积后处理工艺可损坏低介电常数介电薄膜,并且所得受损介电薄膜的介电常数(k值)比未受损介电薄膜的介电常数高10%至20%。
图2B的数据栏2及6图示在将以上所述的一个气相硅烷化工艺用于受损介电薄膜的实例上之后,已恢复至至少约2.54及约2.43的受损介电薄膜的介电常数的实例,所述受损介电薄膜的预修复状况分别图示在数据栏1及5(2.62及2.52的k值)中。数据栏2及6中经修复介电薄膜的2.54及2.43的k值与数据栏10中未受损介电薄膜的2.21的k值相比较仍过高。结果表明,气相硅烷化工艺修复受损介电薄膜的介电常数且使受损介电薄膜的介电常数值降低介于约2%与约6%之间。
图2B的数据栏7图示在将以上所述的紫外线固化工艺用于受损介电薄膜的实例上之后,已修复至至少约2.45的受损介电薄膜的介电常数的一个实例,所述受损介电薄膜的预修复状况图示在数据栏5中。结果显示,紫外线固化工艺在恢复受损介电薄膜的k值方面可与硅烷化工艺效果相当,通常显示受损介电薄膜的介电常数降低介于约2%与约6%之间。
图2B的数据栏3及8图示将气相硅烷化工艺及紫外线固化工艺连续用于受损介电薄膜上的两个实例,所述受损介电薄膜的预修复状况分别图示在数据栏1及5中。藉由硅烷化工艺及紫外线固化工艺的连续的经组合处理恢复且修复受损介电薄膜并降低受损介电薄膜的k值。此外,藉由使用硅烷化工艺及紫外线固化工艺的连续的经组合处理,如数据栏3及8中所示的经修复介电薄膜的2.4及2.36的所得k值比数据栏2、6及7中经修复介电薄膜的2.54、2.43及3.45的k值更低,所述数据栏2、6及7中经修复介电薄膜仅使用硅烷化工艺或者紫外线固化工艺的单一处理步骤。
此外,已发现,在处理腔室内部使用基板的多步骤或同时执行的原位处理可比仅使用单一处理步骤工艺更佳地恢复且修复受损介电薄膜,在所述基板上具有受损介电薄膜。例如,基板的多步骤原位处理可为将基板同时暴露于气相硅烷化工艺及原位紫外线固化工艺达预定处理时间,而不将基板带出处理腔室。另一实例为将基板同时暴露于原位脉冲硅烷化及紫外线固化工艺(例如,将基板暴露于气相硅烷化化合物)达预定处理时间(例如,连续地或以较短的5-10秒脉冲)且暴露于原位紫外线固化工艺达另一处理时间(例如,连续地或以较短的5-10秒脉冲)。
图2B的数据栏4及9图示使用原位气相硅烷化及紫外线固化工艺(例如,同时用气相硅烷化化合物及紫外线辐射处理具有受损介电薄膜的基板,所述受损介电薄膜的预修复状况图示在数据栏1及5中)的两个实例的结果。分别与数据栏1及5中的起始受损介电薄膜的介电常数2.62及2.52相比较,经修复介电薄膜的介电常数(k值)为数据栏4及9中所示的约2.38及约2.32。数据栏4及9中的结果显示原位硅烷化及紫外线固化工艺比连续的经组合硅烷化及紫外线固化工艺更佳,通常受损介电薄膜的介电常数降低介于约6%与约20%之间。原位气相硅烷化及紫外线固化工艺不仅可降低受损介电薄膜的介电常数而且可将受损介电薄膜修复并恢复至介电常数值与未受损介电薄膜的2.21的原始k值相当的程度。
图2C图示FTIR(傅立叶变换红外光谱法)的结果图,所述结果图图示用本文所述的一个或多个处理/修复工艺处理的介电薄膜的氢键结的硅醇基与受损介电薄膜(用作负对照)的FTIR光谱法相比较的减少。在图2C中,虚线(the dotted line)图示受损介电薄膜的FTIR结果(建立负对照水平),从而显示在约3000(cm-1)至3500(cm-1)的波数处的较高吸收且指示较大数目的Si-OH键。点划线(the long and short dashed line)图示使用以上所述的硅烷化工艺的经修复介电薄膜的FTIR结果,从而图示与受损负对照水平相比较的减少的Si-OH键。
在图2C中,实线图示使用以上所述的原位硅烷化及紫外线固化工艺的经修复介电薄膜的FTIR结果,从而图示与单一硅烷化处理工艺的FTIR结果(点划线)及受损负对照的FTIR结果(虚线)相比较的减少的Si-OH键。波数3000(cm-1)至3500(cm-1)周围的吸收的显著减小指示Si-OH键的数目减小,例如,Si-OH键可转换成Si-O-Si(CH3)3键及其它键。
在已修复介电薄膜100之后,可执行后续工艺,以继续制造半导体基板。举例而言,如图1D中所示,可将扩散阻挡层106沉积至介电薄膜100的特征(例如,104)中,并且可将诸如举例而言铜或铜合金的金属材料107沉积至特征(例如,104)中。可能有必要平坦化金属材料107且自金属材料107移除可能在平坦化期间形成的任何氧化物。常见的金属氧化物移除技术涉及使用氢等离子体或氨等离子体。平坦化工艺和/或金属氧化物移除工艺可能再损坏介电薄膜100的表面,如图1E中所见。可使用以上所述的修复工艺中的任何修复工艺来修复介电薄膜100,如图1F中所见。
所述修复工艺有效地降低受损介电薄膜的k值,因此使半导体器件特征的比例能够继续缩小。
尽管上文涉及所述实施例,但可在不脱离本发明的基本范围的情况下设计根据本发明的其它及进一步实施例,并且本发明的范围由以下权利要求书决定。

Claims (15)

1.一种修复受损介电薄膜的方法,所述方法包含:
将基板放置到处理腔室中,在所述基板上具有所述受损介电薄膜;
将硅烷化化合物气化成气化硅烷化化合物的流;
在所述处理腔室中将所述基板暴露于所述气化硅烷化化合物的所述流达第一处理时间,并在相同的处理腔室中将所述基板原位暴露于紫外线(UV)辐射达第二时段,将所述基板设置于相同的处理腔室中,而不将所述基板带出所述处理腔室。
2.如权利要求1所述的方法,所述方法进一步包含:使一种或多种惰性气体流动至所述处理腔室中。
3.如权利要求1所述的方法,所述方法进一步包含:
在所述处理腔室中自He、Ar、O2或N2气体中的至少一种形成等离子体。
4.如权利要求1所述的方法,所述方法进一步包含:
在将所述基板暴露于所述气化硅烷化化合物的所述流之前或者与将所述基板暴露于所述气化硅烷化化合物的所述流同时,将所述基板加热至介于约100℃与约400℃之间的基板温度,在所述基板上具有所述受损介电薄膜。
5.如权利要求1所述的方法,其中在将所述基板原位暴露于紫外线(UV)辐射之前或者与将所述基板原位暴露于紫外线(UV)辐射同时,将所述基板暴露于所述气化硅烷化化合物的所述流。
6.如权利要求1所述的方法,其中在所述硅烷化化合物流动至所述处理腔室中之前,将所述硅烷化化合物气化成气相。
7.如权利要求1所述的方法,其中所述硅烷化化合物选自由以下组成的群组:六甲基二硅氮烷(HMDS)、四甲基二硅氮烷(TMDS)、三甲基氯硅烷(TMCS)、二甲基二氯硅烷(DMDCS)、甲基三氯硅烷(MTCS)、三甲基甲氧基硅烷(TMMS)(CH3-Si-(OCH3)3)、二甲基二甲氧基硅烷(DMDMS)((CH3)2-Si-(OCH3)2)、甲基三甲氧基硅烷(MTMS)((CH3)3-Si-OCH3)、苯基三甲氧基硅烷(PTMOS)(C6H5-Si-(OCH3)3)、苯基二甲基氯硅烷(PDMCS)(C6H5-Si-(CH3)2-Cl)、二甲基胺基三甲基硅烷(DMATMS)((CH3)2-N-Si-(CH3)3)、双(二甲基胺)二甲基硅烷(BDMADMS)、以及上述化合物的组合。
8.如权利要求1所述的方法,其中所述第一处理时间介于约10秒与约600秒之间。
9.如权利要求1所述的方法,其中自介于约100nm与约400nm之间的紫外线波长下且介于约100W/m2与约2000W/m2之间的紫外线辐射功率下的紫外线辐射源产生所述紫外线辐射。
10.如权利要求1所述的方法,所述方法进一步包含:
在将所述基板在所述处理腔室中暴露于所述气化硅烷化化合物的所述流、同时将所述基板原位暴露于紫外线(UV)辐射之前,将所述基板暴露于紫外线固化工艺。
11.如权利要求1所述的方法,其中将所述基板在所述处理腔室中暴露于所述气化硅烷化化合物的所述流、同时将所述基板原位暴露于紫外线(UV)辐射包含:
将所述基板连续暴露于所述气化硅烷化化合物的所述流达所述第一处理时间,同时以脉冲将所述基板原位暴露于紫外线(UV)辐射,每一脉冲介于约5秒与约10秒之间。
12.如权利要求1所述的方法,其中将所述基板在所述处理腔室中暴露于所述气化硅烷化化合物的所述流、同时将所述基板原位暴露于紫外线(UV)辐射包含:
交替性地将所述基板暴露于所述气化硅烷化化合物达介于5秒与10秒之间的脉冲且暴露于所述紫外线(UV)辐射达介于5秒与10秒之间的脉冲;以及
重复交替性地将所述基板暴露于所述气化硅烷化化合物的所述流及所述紫外线(UV)辐射。
13.如权利要求1所述的方法,所述方法进一步包含:
将氧化硅层沉积至所述基板上,在所述基板上具有所述受损介电薄膜,其中沉积所述氧化硅层包含:
自含硅的前驱物形成等离子体,以将含硅的化合物沉积至所述基板上;以及
将所述基板暴露于含氧的等离子体,所述基板具有所述经沉积含硅的化合物。
14.如权利要求13所述的方法,其中所述含硅的前驱物包含八甲基环四硅氧烷(OMCTS)、甲基二乙氧基硅烷(MDEOS)、双(叔丁胺基)硅烷(BTBAS)、三-二甲基胺基硅烷(TriDMAS)、硅烷、乙硅烷、二氯甲硅烷、三氯硅烷、二溴硅烷、四氯化硅、或者四溴化硅。
15.如权利要求13所述的方法,其中将所述基板暴露于所述含氧的等离子体包含:
自含氧的前驱物形成等离子体,所述含氧的前驱物选自由以下组成的群组:O2、N2O、以及上述化合物的组合。
CN2012800121151A 2011-04-25 2012-04-04 用于恢复及封孔受损的低介电常数薄膜的紫外线辅助硅烷化 Pending CN103430291A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/093,351 US8492170B2 (en) 2011-04-25 2011-04-25 UV assisted silylation for recovery and pore sealing of damaged low K films
US13/093,351 2011-04-25
PCT/US2012/032203 WO2012148641A2 (en) 2011-04-25 2012-04-04 Uv assisted silylation for recovery and pore sealing of damaged low k films

Publications (1)

Publication Number Publication Date
CN103430291A true CN103430291A (zh) 2013-12-04

Family

ID=47021640

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2012800121151A Pending CN103430291A (zh) 2011-04-25 2012-04-04 用于恢复及封孔受损的低介电常数薄膜的紫外线辅助硅烷化

Country Status (6)

Country Link
US (1) US8492170B2 (zh)
JP (1) JP2014516477A (zh)
KR (1) KR20140010449A (zh)
CN (1) CN103430291A (zh)
TW (1) TWI456655B (zh)
WO (1) WO2012148641A2 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104779197A (zh) * 2014-01-13 2015-07-15 台湾积体电路制造股份有限公司 半导体器件金属化系统和方法
CN105990224A (zh) * 2015-02-04 2016-10-05 中芯国际集成电路制造(上海)有限公司 改善绝缘层与金属扩散阻挡层的交界面性能的方法
CN107743651A (zh) * 2015-06-25 2018-02-27 应用材料公司 针对侧壁孔密封及通孔清洁度的互连整合

Families Citing this family (355)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8535767B1 (en) * 2012-04-18 2013-09-17 Asm Ip Holding B.V. Method for repairing damage of dielectric film by hydrocarbon restoration and hydrocarbon depletion using UV irradiation
TW201403711A (zh) 2012-07-02 2014-01-16 Applied Materials Inc 利用氣相化學暴露之低k介電質損傷修復
TWI581331B (zh) * 2012-07-13 2017-05-01 應用材料股份有限公司 降低多孔低k膜的介電常數之方法
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103839871B (zh) * 2012-11-21 2017-09-08 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8927420B2 (en) * 2013-02-04 2015-01-06 Taiwan Semiconductor Manufacturing Company Limited Mechanism of forming semiconductor device having support structure
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9330900B2 (en) * 2013-03-14 2016-05-03 Applied Materials, Inc. Layer-by-layer deposition of carbon-doped oxide films through cyclical silylation
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
JP6206096B2 (ja) * 2013-10-31 2017-10-04 富士通株式会社 半導体装置の製造方法
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
CN104752317B (zh) * 2013-12-26 2017-11-10 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10113234B2 (en) * 2014-07-21 2018-10-30 Applied Materials, Inc. UV assisted silylation for porous low-k film sealing
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9478414B2 (en) 2014-09-26 2016-10-25 Asm Ip Holding B.V. Method for hydrophobization of surface of silicon-containing film by ALD
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102341710B1 (ko) 2014-11-25 2021-12-22 삼성전자주식회사 다공성 절연막의 처리 방법 및 이를 이용한 반도체 소자의 제조 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
KR102272553B1 (ko) 2015-01-19 2021-07-02 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9508545B2 (en) * 2015-02-09 2016-11-29 Applied Materials, Inc. Selectively lateral growth of silicon oxide thin film
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160300757A1 (en) * 2015-04-07 2016-10-13 Applied Materials, Inc. Dielectric constant recovery
KR102328108B1 (ko) 2015-05-08 2021-11-17 삼성전자주식회사 배선 구조물, 배선 구조물의 형성 방법 및 반도체 장치의 제조 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859127B1 (en) * 2016-06-10 2018-01-02 Lam Research Corporation Line edge roughness improvement with photon-assisted plasma process
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
KR20180030280A (ko) 2016-09-12 2018-03-22 삼성전자주식회사 배선 구조체를 갖는 반도체 소자
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11495456B2 (en) 2018-10-15 2022-11-08 Beijing E-Town Semiconductor Technology, Co., Ltd Ozone for selective hydrophilic surface treatment
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US10832950B2 (en) 2019-02-07 2020-11-10 International Business Machines Corporation Interconnect with high quality ultra-low-k dielectric
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
US11348784B2 (en) 2019-08-12 2022-05-31 Beijing E-Town Semiconductor Technology Co., Ltd Enhanced ignition in inductively coupled plasmas for workpiece processing
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11495532B2 (en) 2020-02-27 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques to inhibit delamination from flowable gap-fill dielectric
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11955381B2 (en) 2020-06-22 2024-04-09 Applied Materials, Inc. Low-temperature plasma pre-clean for selective gap fill
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202220054A (zh) 2020-10-19 2022-05-16 日商東京威力科創股份有限公司 基板處理方法及基板處理裝置
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6451512B1 (en) 2000-05-01 2002-09-17 Advanced Micro Devices, Inc. UV-enhanced silylation process to increase etch resistance of ultra thin resists
US7541200B1 (en) 2002-01-24 2009-06-02 Novellus Systems, Inc. Treatment of low k films with a silylating agent for damage repair
US6962869B1 (en) 2002-10-15 2005-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. SiOCH low k surface protection layer formation by CxHy gas plasma treatment
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US20050250346A1 (en) 2004-05-06 2005-11-10 Applied Materials, Inc. Process and apparatus for post deposition treatment of low k dielectric materials
EP1761946A2 (en) * 2004-06-04 2007-03-14 International Business Machines Corporation Fabrication of interconnect structures
KR20070019748A (ko) 2004-06-04 2007-02-15 인터내셔널 비지네스 머신즈 코포레이션 상호접속 구조물의 제조방법
US7253105B2 (en) * 2005-02-22 2007-08-07 International Business Machines Corporation Reliable BEOL integration process with direct CMP of porous SiCOH dielectric
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7892985B1 (en) 2005-11-15 2011-02-22 Novellus Systems, Inc. Method for porogen removal and mechanical strength enhancement of low-k carbon doped silicon oxide using low thermal budget microwave curing
US20070173071A1 (en) 2006-01-20 2007-07-26 International Business Machines Corporation SiCOH dielectric
US7446058B2 (en) * 2006-05-25 2008-11-04 International Business Machines Corporation Adhesion enhancement for metal/dielectric interface
US20100267231A1 (en) 2006-10-30 2010-10-21 Van Schravendijk Bart Apparatus for uv damage repair of low k films prior to copper barrier deposition
US7851232B2 (en) 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US8465991B2 (en) * 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
JP4555320B2 (ja) 2007-06-15 2010-09-29 東京エレクトロン株式会社 低誘電率絶縁膜のダメージ回復方法及び半導体装置の製造方法
US7741224B2 (en) 2007-07-11 2010-06-22 Texas Instruments Incorporated Plasma treatment and repair processes for reducing sidewall damage in low-k dielectrics
JP2009164198A (ja) * 2007-12-28 2009-07-23 Panasonic Corp 半導体装置の製造方法
US8999734B2 (en) 2009-03-10 2015-04-07 American Air Liquide, Inc. Cyclic amino compounds for low-k silylation
US20110151590A1 (en) 2009-08-05 2011-06-23 Applied Materials, Inc. Apparatus and method for low-k dielectric repair

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104779197A (zh) * 2014-01-13 2015-07-15 台湾积体电路制造股份有限公司 半导体器件金属化系统和方法
CN104779197B (zh) * 2014-01-13 2018-08-28 台湾积体电路制造股份有限公司 半导体器件金属化系统和方法
US10121698B2 (en) 2014-01-13 2018-11-06 Taiwan Semiconductor Manufacturing Company Method of manufacturing a semiconductor device
CN105990224A (zh) * 2015-02-04 2016-10-05 中芯国际集成电路制造(上海)有限公司 改善绝缘层与金属扩散阻挡层的交界面性能的方法
CN107743651A (zh) * 2015-06-25 2018-02-27 应用材料公司 针对侧壁孔密封及通孔清洁度的互连整合
CN107743651B (zh) * 2015-06-25 2022-02-01 应用材料公司 针对侧壁孔密封及通孔清洁度的互连整合

Also Published As

Publication number Publication date
US8492170B2 (en) 2013-07-23
JP2014516477A (ja) 2014-07-10
KR20140010449A (ko) 2014-01-24
TW201243948A (en) 2012-11-01
WO2012148641A2 (en) 2012-11-01
WO2012148641A3 (en) 2012-12-20
US20120270339A1 (en) 2012-10-25
TWI456655B (zh) 2014-10-11

Similar Documents

Publication Publication Date Title
CN103430291A (zh) 用于恢复及封孔受损的低介电常数薄膜的紫外线辅助硅烷化
JP7168586B2 (ja) 高品質のボイド充填法のための流動性堆積及び高密度プラズマ処理工程サイクル
JP6422536B2 (ja) 気相化学曝露による低誘電率誘電体の損傷修復
US8685867B1 (en) Premetal dielectric integration process
US8728958B2 (en) Gap fill integration
US9478460B2 (en) Cobalt selectivity improvement in selective cobalt process sequence
CN105390437A (zh) 用于选择性超低k孔密封的可流动电介质
GB2316535A (en) Forming dielectric films
TW201532188A (zh) 基板表面上的可流動介電質沉積用處理
KR20150053967A (ko) 저비용의 유동 가능한 유전체 필름들
TW201300567A (zh) 藉由紫外線輔助之光化學沉積而介電回復電漿損壞之低介電常數薄膜
KR102109482B1 (ko) 다공성 저-k 막의 유전 상수를 감소시키기 위한 방법
CN100517600C (zh) 介质层的形成方法
JPH06104181A (ja) 光cvd法利用絶縁膜の製造方法と平坦化絶縁膜の製造方法
KR20230029686A (ko) 반도체 장치들 내 인트라레벨 커패시턴스 감소
JP3440714B2 (ja) シリコン化合物系絶縁膜の成膜方法
US11469100B2 (en) Methods of post treating dielectric films with microwave radiation
TWI839527B (zh) 微波輻射後處理介電膜的方法
JP3038473B2 (ja) 絶縁膜形成方法
JPH098029A (ja) フッ素を含有する絶縁膜及びその形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20131204