JP2014505363A - マイクロ波プラズマを用いた薄膜堆積 - Google Patents

マイクロ波プラズマを用いた薄膜堆積 Download PDF

Info

Publication number
JP2014505363A
JP2014505363A JP2013547542A JP2013547542A JP2014505363A JP 2014505363 A JP2014505363 A JP 2014505363A JP 2013547542 A JP2013547542 A JP 2013547542A JP 2013547542 A JP2013547542 A JP 2013547542A JP 2014505363 A JP2014505363 A JP 2014505363A
Authority
JP
Japan
Prior art keywords
gas
substrate
silicon
microwave
source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013547542A
Other languages
English (en)
Other versions
JP6104817B2 (ja
Inventor
タエ キュング ウォン
ヘリンダ ノミナンダ
セオン−ミー チョー
スー ヤング チョイ
べオム スー パーク
ジョン エム ホワイト
スハイル アンワール
ジョゼフ クデラ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2014505363A publication Critical patent/JP2014505363A/ja
Application granted granted Critical
Publication of JP6104817B2 publication Critical patent/JP6104817B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • C23C16/545Apparatus specially adapted for continuous coating for coating elongated substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/3222Antennas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本発明の実施形態は、概して、改善されたマイクロ波援用CVDチャンバを用いたケイ素含有誘電体層用の堆積プロセスを提供する。一実施形態では、処理チャンバ内で基板を処理する方法が提供される。本方法は、概して、処理チャンバ内に配置されたマイクロ波源に結合されたアンテナにマイクロ波電力を印加する工程であって、マイクロ波源は基板の略全面をカバーするガス分配カバレージを提供するように構成されたガス送出源の相対的に上方に配置されている工程と、ガス送出源によって供給される処理ガスから生成したマイクロ波プラズマに基板を曝露して、摂氏約200℃未満の温度で基板上にケイ素含有層を堆積する工程を含み、マイクロ波プラズマは、約1GHz〜約10GHzの周波数で、約500ミリワット/cm〜約5,000ミリワット/cmのマイクロ波電力を用いる。

Description

発明の背景
(発明の分野)
本発明の実施形態は、概して、改善されたマイクロ波援用CVDチャンバを用いたケイ素含有誘電体層用の堆積プロセスに関する。
(関連技術の説明)
集積回路の製造においては、化学蒸着(CVD)プロセスは、しばしば様々な材料層の堆積やエッチングのために使用される。一般的なCVD技術の例としては、熱CVD、低圧CVD(LPCVD)、プラズマCVD(PECVD)、マイクロ波プラズマCVD、大気圧CVDなどが挙げられる。従来の熱CVDプロセスは、所望の層を生成するために熱誘導化学反応が起こる基板表面に反応性化合物を供給する。プラズマ強化化学蒸着(PECVD)プロセスは、反応性化合物の解離を増加させるために堆積チャンバに結合された電源(例えば、高周波(RF)電力又はマイクロ波電力)を用いる。したがって、PECVDプロセスは、類似の熱プロセスに要求される基板温度よりも低い基板温度(例えば、約75℃〜650℃)における良質な材料の急速な成長に対して、大量生産可能であり、費用対効果の高い方法である。これは、熱量の要求が厳しいプロセスに有利である。
より大型のフラットパネルディスプレイ及び太陽電池パネルに対する要求が増加し続けるに伴って、基板サイズも増加しなければならず、それゆえ、処理チャンバのサイズも増加しなければならない。薄膜堆積のためには、大型基板上に膜を形成するための高い堆積速度、及び膜物性を制御するための柔軟性が、しばしば望ましい。高い堆積速度は、プラズマ密度を増加させるか、チャンバ圧力を下げることによって達成することができる。マイクロ波プラズマ援用CVD(MPCVD)は、13.56MHzで動作する典型的な高周波(RF)結合プラズマ源と比べて、2.45GHzで結合及び吸収する改良された電力の結果として、より高いプラズマ密度(例えば、1011イオン/cm)及びより高い堆積速度を達成するために開発されてきた。RFプラズマを使用する1つの欠点は、入力電力の大部分が、プラズマシース(暗部)全域に亘って降下されることである。マイクロ波プラズマを使用することによって、狭いプラズマシースが形成され、ラジカル及びイオン種を生成するためのプラズマによって、より多くの電力を吸収することができる。これは、イオンエネルギー分布を広げる衝突を減少させることによる狭いエネルギー分布によって、プラズマ密度を増加させることができる。
過去において、真空コーティング業界におけるマイクロ波源技術に関連する主な欠点は、小さなウェハ処理から非常に大面積の基板の処理へとスケールアップした時に均質性の維持が困難なことであった。マイクロ波リアクタの設計における最近の進歩によって、これらの課題は手の届くところに位置するようになってきている。緻密で厚い膜を形成するために高い堆積速度で超大面積の(1mよりも大きい)実質的に均一な膜を堆積させるために、プラズマリニア源のアレイが開発されてきた。しかしながら、基板のサイズが増加し続けるのに伴って、合理的なコストでの大規模な製造を可能にしつつ、より高い堆積速度で大面積の基板上に均一な膜を堆積するためにプラズマ均一性及び密度を向上させるための技術への継続的なニーズが存在する。
本発明の実施形態は、概して、改善されたマイクロ波援用CVDチャンバを用いたケイ素含有誘電体層用の堆積プロセスを提供する。一実施形態では、基板上にケイ素含有層を堆積させる方法が提供される。本方法は、概して、マイクロ波源及びガス送出源を有する処理チャンバ内に基板をロードする工程と、ガス送出源内に処理ガスを流す工程と、マイクロ波源に結合されたアンテナにマイクロ波電力を印加することによって、処理ガスからプラズマを生成する工程と、1GHz〜約10GHzの周波数で、約500ミリワット/cm〜約5,000ミリワット/cmのマイクロ波電力を用いて、プラズマの存在下で基板上にケイ素含有層を堆積する工程であって、堆積中に基板は摂氏約200度未満の温度に維持される工程を含む。
別の一実施形態では、基板上にケイ素含有層を堆積させるためのマイクロ波プラズマ援用CVDプロセスが提供される。本プロセスは、概して、基板サセプタに平行な関係に配置されるマイクロ波源及びガス送出源を含む処理チャンバ内に基板をロードする工程であって、マイクロ波源は互いに平行な同一平面上にある関係で配置された1以上のリニアマイクロ波発生器を有し、ガス送出源は、互いに平行な同一平面上にある関係で配置されたガス送出ラインのアレイを有する工程と、ガス送出源内に前駆体ガスを流す工程と、マイクロ波電力をマイクロ波源内へ変調することによって、前駆体ガスからプラズマを発生させる工程と、基板サセプタ上に載置された基板の略全面に向かってガス送出源から均一に前駆体ガスを分配する工程と、約1GHz〜約10GHzの周波数で、約500ミリワット/cm〜約5,000ミリワット/cmのマイクロ波電力を用いて、プラズマの存在下で基板上にケイ素含有層を堆積する工程であって、堆積中に基板は摂氏約200度未満の温度に維持される工程を含む。
更に別の一実施形態では、処理チャンバ内で基板を処理する方法が提供される。本方法は、概して、処理チャンバ内に配置されたマイクロ波源に結合されたアンテナにマイクロ波電力を印加する工程であって、マイクロ波源は基板の略全面をカバーするガス分配カバレージを提供するように構成されたガス送出源の相対的に上方に配置されている工程と、ガス送出源によって供給される処理ガスから生成したマイクロ波プラズマに基板を曝露して、摂氏約200℃未満の温度で基板上にケイ素含有層を堆積する工程を含み、マイクロ波プラズマは、約1GHz〜約10GHzの周波数で、約500ミリワット/cm〜約5,000ミリワット/cmのマイクロ波電力を用いる。
本発明の上述した構成を詳細に理解することができるように、上記に簡単に要約した本発明のより具体的な説明を、実施形態を参照して行う。実施形態のいくつかは添付図面に示されている。しかしながら、添付図面は本発明の典型的な実施形態を示しているに過ぎず、したがってこの範囲を制限されていると解釈されるべきではなく、本発明は他の等しく有効な実施形態を含み得ることに留意すべきである。
本発明の一実施形態に係る概略的な同軸マイクロ波プラズマ援用CVDチャンバの断面図である。 基板が基板サセプタの上面離間している又は基板サセプタの上面と接触していることを示す代替実施形態の拡大図である。 本発明の一実施形態に係るガス送出源及び同軸マイクロ波源の構成例を示す同軸マイクロ波プラズマ援用CVDチャンバの概略上面図である。 本発明の種々の実施形態に係るガス送出源及びマイクロ波源の可能な構成である。 本発明の一実施形態に係る同軸マイクロ波源の概略断面図である。 本発明のマイクロ波プラズマ援用CVDチャンバを用いて基板上に窒化ケイ素(SiN)膜を形成するために使用することができる処理シーケンスの図である。 基板サセプタと接触及び離間した基板を備えたマイクロ波CVDによって堆積されたSiN膜に対する膜均一性の比較を含む、同軸マイクロ波源と基板間の間隔の関数として堆積速度(Å/分)を示したグラフである。 (処理されている基板の端部からの)X軸距離及びY軸距離の関数として堆積速度をそれぞれ示したグラフを示す。
理解を促進するために、図面に共通する同一の要素を示す際には可能な限り同一の参照番号を使用している。一実施形態において開示された要素を具体的な引用なしに他の実施形態で有益に使用してもよいと理解される。
詳細な説明
本発明の実施形態は、改良されたマイクロ波援用CVDチャンバを用いてケイ素含有誘電体層(例えば、SiN)用の堆積プロセスを提供する。改良されたマイクロ波援用CVDチャンバは、基板が載置される基板サセプタと平行関係に配置されるガス送出源と同軸マイクロ波源を含む。ガス送出源は、同軸マイクロ波源と基板サセプタとの間に配置することができる。ガス送出源は、互いに平行に配置され、長手方向に離間されたガス送出ラインのアレイを含むことができる。同軸マイクロ波源は、ガス送出ラインの長手方向に平行な関係で配置可能な単一又は複数のリニアマイクロ波発生器を含むことができる。マイクロ波プラズマ源を備えた本発明の装置を用いることによって、もしもこれを使用しない場合に典型的なプラズマ化学気相堆積プロセスにおいて必要とされる高価な加熱要素を使用する基板サセプタを必要とすることなく、良質なCVD膜が得られるので、製造コストを下げ、基板サセプタに関連する保守作業を削減する。また、ラジカル及びイオン種の生成のためにプラズマがより多くの電力を吸収でき、同様にプラズマ密度及び堆積速度を増加させる。したがって、プラズマ密度の増加の結果として、より低い基板温度(例えば、摂氏200度未満)を達成することができる。
(典型的な堆積チャンバ)
図1Aは、本発明の一実施形態に係る同軸マイクロ波プラズマ援用CVDチャンバ100の概略断面図である。処理チャンバ100は、処理チャンバ100から基板102を取り除くことなく、基板102上に1以上の膜を堆積できるように構成される。以下の説明では、マイクロ波プラズマ援用CVDチャンバ、特にマイクロ波源及びガス送出源が水平堆積プロセス用の水平に位置した基板サセプタの上方に配置されている横型のチャンバを参照してなされているが、本発明はマイクロ波線源が処理チャンバのチャンバ壁に垂直に取り付けられている縦型の堆積チャンバ、及び垂直構成に基板を支持するための垂直に位置する基板サセプタに応用可能であることを理解すべきである。本発明から利益を得るように利用可能な縦型の堆積チャンバの実施形態が、例えば、「DYNAMIC VERTICAL MICROWAVE DEPOSITION OF DIELECTRIC LAYERS(誘電体層の動的垂直マイクロ波堆積)」と題される米国特許第12/833,571号に記載されており、参照により本明細書に組み込まれる。本発明は、他のメーカーによって作られたチャンバ及び他のプラズマ援用プロセス(例えば、エッチング、イオン注入、表面処理等)を含む他の処理チャンバにも等しく適用可能である。また、図面及びその説明は単なる例示であり、単一の実施形態に記載された任意の個々のハードウェア構成は明細書に記載されている他の実施形態のいずれとも組み合わせることができることに留意すべきである。
基板102は、とりわけ、金属、プラスチック、有機材料、ケイ素、ガラス、石英、又はポリマー材料の薄いシートが可能である。一実施形態では、基板102は、ケイ素含有誘電体が上に堆積されるガラス基板である。他の実施形態では、基板102は、ドープされた又は他の方法で改質されたガラス基板が可能である。基板102は、約1平方メートルよりも大きな(例えば、約2平方メートルより大きな)表面積を有することができる。後述するように、本発明は、例えば、約15,600cm又はそれよりも大きな平面表面積(例えば、約90,000cmの平面表面積)を有する大型基板上に、ケイ素含有層(例えば、SiN)を堆積させるのに特に有用である。処理チャンバ100は、誘電体材料(例えば、SiO、SiO、これらの誘導体、又はこれらの組み合わせ)、半導体材料(例えば、Si及びこのドーパント)、バリア材料(例えば、SiN、SiO、又はこれらの誘導体)、又はケイ素含有誘電体層によって不動態化されたアモルファスシリコン又は微結晶シリコンの薄膜トランジスタ(TFT)を含むがこれらに限定されない様々な材料を基板102上に堆積させるように構成することができる。大面積基板上にプラズマ処理チャンバ100によって形成される又は堆積される誘電体材料及び半導体材料の具体例としては、エピタキシャルシリコン、多結晶シリコン、アモルファスシリコン、微結晶シリコン、シリコンゲルマニウム、ゲルマニウム、二酸化ケイ素、酸窒化ケイ素、窒化ケイ素、これらのドーパント(例えば、B、P、又はAs)、これらの誘導体、又はこれらの組み合わせを含むことができるが、これらに限定されない。処理チャンバ100はまた、アルゴン、水素、窒素、ヘリウム、又はこれらの組合せなどのガスを、パージガス又はキャリアガス(例えば、Ar、H、N、He、これらの誘導体、又はこれらの組み合わせ)として使用するために受け入れるように構成される。
処理チャンバ100は、概して、内部に処理容積199を画定するチャンバ壁104と、チャンバ底106と、チャンバ蓋108とを含む。処理容積は、真空システム109に結合され、内部に基板サセプタ110が配置される。処理容積は、処理チャンバ100の内外に基板102を搬送することができるように、スリットバルブ開口部112を介してアクセスされる。チャンバ壁104、チャンバ底106、及びチャンバ蓋108は、アルミニウム又はプラズマ処理に対して化学反応を起こさない他の材料の単一ブロックから作製することができる。チャンバ蓋108は、チャンバ壁104によって支持され、処理チャンバ100を補修するために除去することができる。基板サセプタ110は、基板サセプタ110を上下動させるために、アクチュエータ114に結合することができる。図1Bに示されるような本発明の特定の実施形態においては、基板が基板サセプタ110の表面に接触することなく、堆積中に実質的に平坦を維持するように、基板サセプタ110の表面上にスペーサ190を配置してもよい。例えば、3つのスペーサ190(1つのみ図示)を基板サセプタ110上に等間隔に配置して、間にギャップ92を形成するように基板サセプタ110の上面から基板102を離間することができる。スペーサ190は、任意の金属、セラミックス、高温材料から作製することができ、約1mm〜約100mmの厚みを有し、これによって基板サセプタ110の上方に同じ距離だけ基板102を離間させることができる。あるいはまた、基板102は、図1Cに示されるように、基板サセプタ110の表面と接触していてもよい。
基板サセプタ110は、基板サセプタ110を所望の温度に維持するために、必要に応じて加熱及び/又は冷却要素を含むことができる。例えば、基板サセプタ110は、堆積中に基板サセプタ110上に配置された基板102の温度を制御するために利用される抵抗ヒータ198及び/又は冷却流体導管196を含むことができる。
リフトピン116は基板サセプタ110を貫通して移動可能に配置され、基板サセプタ110上への配置前及び基板サセプタ110から除去した後に、基板102を制御可能に支持する。リフトピン116は、典型的には、セラミックス又は陽極酸化アルミニウムから構成される。一般的に、リフトピン116は、リフトピン116が通常位置にある(すなわち、基板サセプタ110に対して後退している)とき、基板サセプタ110の上面と実質的に同一平面又は僅かに窪んだ第1端部117を有する。第1端部117は、一般的に、リフトピン116が孔を通って落下するのを防ぐために、フレア状又はその他拡張されている。リフトピン116は、チャンバ底106に接触し、基板サセプタ110の上面からずれており、これによって、基板サセプタ110と間隔を隔てて基板102を配置している。一実施形態では、様々な長さのリフトピン116が使用され、これによってそれらは底106に接触し、異なる時に作動される。本発明から利益を得るように構成可能な基板サセプタから、端部から中心へと基板を持ち上げるように構成されたリフトピンを有するPECVDシステムの実施形態は、米国特許第6,676,761号に記載されており、参照により本明細書に組み込まれる。
本発明に係る処理チャンバ100の主要な構成要素は、とりわけ、ガス送出源120及びマイクロ波源126を含むことができる。より詳細に後述するように、マイクロ波源126は、ガス送出源120の長手方向に平行になるように構成された1以上の同軸マイクロ波発生器128を含むことができる。図1Aに示されるように、ガス送出源120は、マイクロ波源126と基板102との間に配置することができる。堆積速度を向上させるために、オプションで第2のガス送出源(図示せず)をマイクロ波源126の上方で処理チャンバ100の上部(例えば、チャンバ蓋108)付近に配置してもよい。図2B〜2Dは、マイクロ波源226の相対的上方に配置される(例えば、チャンバ蓋108内又は隣接して配置される、図2B)又はマイクロ波源226との共通平面内で平行に離間関係で配置される(図2C)ガス送出源220を有する種々の構成を示している。いずれの場合も、前駆体ガス(例えば、N又はSiH)及びキャリアガス(Ar)をガス送出源220に供給することができる。ガス送出源220がマイクロ波源126と平行に間隔を空けて配置されている代替の一実施形態では、図2Dに示されるように、前駆体ガス(例えば、N又はSiH)をガス送出源220に別途供給しながら、チャンバ蓋108内に配置された第2のガス送出源221にキャリアガス(Ar)を供給することができる。
ここで、図1Aに示されるマイクロ波源126及びガス送出源120の上面図を示す図2Aを参照する。ガス送出源120は、ガス源122A及び/又はガス源122Bから1以上の前駆体ガス及びキャリアガスを制御可能に受け入れるように構成されたガス送出ライン121のアレイを含むことができる。ガス送出ライン121は、平面配置が可能であり、例えば、3つの支持要素123によって、移動可能に支持することができる。支持要素123は、電気絶縁材料などの任意の適切な材料から作ることができる。一例では、各支持要素123は、少なくとも3つの締結機構(例えば、ナット及びボルトアセンブリ、図示せず)、又は、支持要素123の長さに沿って配置され、ガス送出ライン121の一部を保持するように構成されるその他の適切な手段を有することができる。支持要素123の構成は、使用する用途又はガス送出ライン121の数に応じて変化させることができる。構成が膜の均一性及び/又はリニアマイクロ波発生器128からのマイクロ波電力に大幅に影響を与えない限り、その他の支持機構も考えられる。特定の実施形態では、ガス送出ライン121は、支持要素123を使用せずにチャンバ壁104を介して支持してもよい。
ここでは詳細に説明されてはいないが、支持要素123は同軸マイクロ源126とガス送出源120との間でより狭い又はより広い空間を得ることができるように、垂直(又は水平)に調節可能であることが理解される。また、所望の膜特性を得るために、処理パラメータに応じてガス送出源120の位置を垂直軸内で基板に対して接近又は離れるように調整してもよいことが理解される。
ガス送出ライン121のアレイは、互いに平行に、長手方向に離間して配置されており(図2A)、複数のガス送出ライン121の夫々は、基板102に対向する穿孔(図示せず)を有する。孔は、ガス送出ライン121の長さに沿って実質的に等間隔に配置されており、これによって基板102のほぼ上面をカバーする実質的に均一なガス流を提供する。ガス送出源120は、基板のサイズに応じて、約3本から約20本のガス送出ライン121を含むことができる。単一のガス送出ライン121は、法線方向に約10%の不均一性で約100mmの領域をカバーできることが観察された。730mmX920mmの大きさを有する基板に対して、ガス送出源120は、10本のガス送出ライン121を有することができる。ガス送出ライン121間(すなわち、ガス送出ラインから隣接するガス送出ラインまで)の距離は、用途に応じて約50mm〜約200mmの間(例えば、約100mm〜約130mmの間(例えば、約110mm))で変えることができる。各ガス送出ライン121の長さは、基板102の大きさに応じて変えることができる。ガス送出ライン121の各々の長さは、最大約2.5m、つまり、基板102の直径よりも長くすることができ、これによって処理されている基板の完全なカバレージを得ることができる。
前述のように、図2Aに示されるようなガス送出ライン121のアレイは、ガス源122A及び/又はガス源122Bから1以上の前駆体ガス及びキャリアガスを受け入れることができる。前駆体ガスは、用途に応じて変えることができる。ケイ素含有誘電体層が望まれる場合には、例えば、前駆体ガス(例えば、SiH及びNH)及びキャリアガス(例えば、Ar)は、ガス送出ライン121に入る前に、ガス供給源202からガス源122A、122Bへ一緒に又はそれぞれ別々に供給することができる。ガス管バルブ204、206は、ガス源122A、122Bとガス送出ライン121の端部の間に配置することができ、これによってガス送出ライン121の片側又は両側からガスの供給を選択的に制御することができる。膜厚はガス供給位置と強く独立しているので、すなわちガス供給側はその側の高いガス流のため常に高い堆積速度を引き起こすので、ガス送出ライン121の両側から供給されたガスを有することは、膜厚の均一性を高めると考えられている。
図1Aに戻って参照すると、同軸マイクロ波源126は、ガス送出源120と処理チャンバ100の上部(例えば、チャンバ蓋108)との間に配置されてもよい。同軸マイクロ波源126は、概して、複数のリニアマイクロ波発生器128と、リニアマイクロ波発生器128に接続されたアンテナ130を含む。同軸マイクロ波源126は、接地に結合することができる。一実施形態では、同軸マイクロ波源126は、リニアマイクロ波発生器128が平行に、長手方向に互いに離間して配置される平面配置が可能である(図2A参照、支持要素123は分かりやすくするためにこの図から省略されていることに留意すべきである)。2つのリニアマイクロ波発生器128のみが示されているが、リニアマイクロ波発生器128の数は、基板のサイズに応じて増加又は減少され得ることが理解される。730mmX920mmの大きさを有する基板に対しては、マイクロ波源126は4つのマイクロ波発生器128を有していてもよい。様々な実施形態では、隣り合うリニアマイクロ波発生器128間のY軸方向の距離は、約100mm〜約500mm(例えば、約180mm〜約350mmの間(例えば、230mm))とすることができる。広い間隔は、マイクロ波発生器間の基板表面領域上に落ち込んだ形状と不均一な膜特性を引き起こす場合がある。2つのリニアマイクロ波発生器128の各々を、2つの隣接するガス送出ライン121間の上方及び間にそれぞれ配置してもよい。単一のマイクロ波発生器128は、約10%の不均一性で垂直方向に約260mmの領域をカバーすることができることが観察された。
各リニアマイクロ波発生器128の長さは、ガス送出ライン121以上であることができる。例えば、各リニアマイクロ波発生器128の長さは、最大約3mであることができる。本発明のいくつかの実施形態では、同軸マイクロ波源126は、基板102のX軸に直交する水平方向に沿って移動することができる(図2A)。これは、大型基板を処理するために行うことができる。例えば、基板が16フィートの長さと3〜4フィートの幅の寸法を有する場合、同軸マイクロ波源126は、基板の長さに沿って移動する必要があるかもしれない。しかしながら、基板が16フィートの長さと16フィートの幅の寸法を有する場合は、同軸マイクロ波源126は、基板の長さと幅の両方に沿って移動する必要があるかもしれない。
図3は、本発明の一実施形態に係る同軸マイクロ波源の概略断面図である。同軸マイクロ波源126は、一般的に、圧力隔離バリアとしての誘電体管308を有するアンテナ130を含む。マイクロ波源132は、同軸マイクロ波源126に接続されており、アンテナ130内にマイクロ波を入力することができる(図1A)。一側のマイクロ波RF入力はマイクロ波源に沿った領域全体をカバーしない可能性があるので、チャンバの両側からのデュアルマイクロ波RF入力は、マイクロ波源に沿ったカバレッジを改善すると考えられている。マイクロ波源132は、例えば、横電磁(TEM)波モードでチャンバ内にマイクロ波電力を調整して放射することができる。略円形断面を有するアンテナ130を部分的に囲むオプションの閉じ込めシールド(図示せず)もまた、ガス送出ライン121から反応性前駆体と衝突する閉じ込めシールドの底部近傍に形成された開口部(図示せず)を介してプラズマを閉じ込め導くために使用することができる。アンテナ130を冷却するために誘電体管308と閉じ込めシールドの間の空間内に空気又は窒素を充填することができる。閉じ込めシールドの詳細は、マイケルストーウェルによる「マイクロ波プラズマ閉じ込めシールドの成形(Microwave Plasma Containment Shield Shaping)」と題された米国特許出願第12/238,664号において更なる議論がされており、その全内容はすべての目的のために参照により本明細書内に組み込まれる。
図3に示されるような同軸マイクロ波源126の断面図は、約2.45GHzの周波数でマイクロ波を放射するための導体(例えば、アンテナ302)を示している。放射状の線は電場304を示し、円は磁場306を示す。マイクロ波は空気を通って、大気圧を有する導波路(図示せず)と真空チャンバとの間のインタフェースとして機能する誘電体管308を通って伝播する。誘電体管308を通過したマイクロ波は、処理チャンバ内のガスを励起して、誘電体管308の表面310の外側にプラズマを形成する。リニアマイクロ波発生器128の近くに維持されたこのような波は表面波である。動作中、図1Aに示されるように、堆積プロセスなどの処理時に、マイクロ波はリニアマイクロ波発生器128に沿って伝わり、処理容積内でプラズマを点火するプラズマエネルギーに電磁エネルギーを変換することによって大きく減衰する。プラズマによって生成されたラジカル種は、(矢印124で示されるように)基板102の方へ向けられ、基板表面全域に亘って放射状に均一に分散された、典型的には層流であるガス送出ライン121から来る反応性前駆体を解離させ、これによって基板サセプタ110によって保持された基板102上に膜を形成する。堆積時のチャンバ内の圧力は、真空システム109によって制御される。
(典型的な堆積プロセス)
図4は、図1A及び図2A〜2Dに関連して上述したような本発明のマイクロ波プラズマ援用CVDチャンバを用いて基板上にケイ素含有膜を形成するために使用可能な処理シーケンス400のフロー図を提供する。本明細書に記載された本発明の基本的な範囲から逸脱することなく、1以上のステップを追加、削除、又は並べ替えることができるので、処理シーケンス400内の構成、処理ステップ数、及び処理ステップの順序は、本明細書に記載された発明の範囲を限定することを意図していないことに留意すべきである。また、以下の説明は、窒化ケイ素(SiN)を参照して行われているが、その他のケイ素含有層(例えば、シリコン、二酸化ケイ素、炭化ケイ素、オキシ炭化ケイ素、水素化ケイ素、フッ化ケイ素、ホウ素又はリン又はヒ素をドープしたシリコン、ホウ素又はリン又はヒ素をドープした炭化ケイ素、ホウ素又はリン又はヒ素をドープした酸化ケイ素など)も想定されることを当業者は理解すべきである。後述するような化学薬品及び処理パラメータは、堆積される層に応じてその結果変更/調整することができる。しかしながら、本発明のプロセスは、バッチ処理サイクルにおいて単一の基板又は複数の基板を処理できることが証明されている。
図1A及び図2A〜2Dに関連して上述した様々な実施形態等のプロセスは、基板サセプタ110と、基板サセプタ110と平行な関係に配置されているガス送出源120及び同軸マイクロ波源126を含むマイクロ波援用CVDチャンバ内に基板をロードすることによってステップ402で始まる。基板は、ケイ素含有誘電体層を上に形成することができる任意の基板であることができる。基板は、導電性又は非導電性であることができ、固い又はフレキシブルであることができる。いくつかの実施形態では、基板は、ドープした又は非ドープのガラス基板であることができる。基板の温度は、基板サセプタを加熱及び/又は冷却することによって、約150℃〜約250℃の間(例えば、約200℃)に制御することができる。
ステップ404において、マイクロ波がアンテナによってチャンバ内に生成され、例えば上述のようにパルス電源又は連続電源を用いたマイクロ波源によって変調される。
ステップ406において、前駆体ガス及びキャリアガスが、チャンバ内に供給される。窒化ケイ素層の堆積のために、そのような前駆体ガスは、シラン(SiH)、ジシラン(Si)、四フッ化ケイ素(SiF)、四塩化ケイ素(SiCl)、ジクロロシラン(SiHCl)、これらの組み合わせを含むが、これらに限定されないケイ素含有前駆体、及び窒素(N)、アンモニア(NH)、ヒドラジン(N)、又はこれらの混合物を含むが、これらに限定されない窒素含有前駆体を含むことができる。キャリアガスは、アルゴン(Ar)、水素(H)、ヘリウム(He)、これらの誘導体、又はこれらの組み合わせを含むことができる。前駆体ガスは、基板に到達する前に時期尚早に反応することを防ぐために別々のラインを通って流れることができる。あるいはまた、反応性前駆体は、同じラインを通って流れるように混合することもできる。
チャンバへのガスの流量は、処理されている基板の大きさに依存している。730mm×920mmの大きさの基板が、SiH及びNHでSiN層を堆積させるために処理される場合、SiHのガスのガス流は、約150sccm/L〜約3,000sccm/Lの間(例えば、約250sccm/L〜約1,500sccm/Lの間(例えば、約300sccm/L〜約900sccm/Lの間))の流量で供給することができる。NHガスのガス流は、約1,200sccm/L〜約5,000sccm/Lの間(例えば、約2、000sccm/L〜約4,000sccm/Lの間(例えば、約3、000sccm/L))の流量で供給することができる。Arのガス流は、約450sccm/L〜約5,000sccm/Lの間(例えば、約500sccm/L〜約3,500sccm/Lの間(例えば、約2、500sccm/L))の流量で供給することができる。より高いAr流は、マイクロ波プラズマの均一性を向上させ、これによって膜厚を改善すると考えられている。SiHのNHに対するガス流量比(SiH:NH)は、約1:2〜約1:6の間(例えば、約1:3)とすることができる。SiHのArに対するガス流量比(SiH:Ar)は、約1:1〜1:20の間(例えば、約1:5〜1:10の間)である。 NHのArに対するガス流量比(NH:Ar)は、約1:1〜約1:10の間(例えば、約1:2〜約1:5の間)とすることができる。SiN層は、チャンバ圧力が約50ミリトール〜約250ミリトールの間(例えば、100ミリトール)で堆積させることができる。
ステップ408において、約1GHz〜約10GHzの範囲の周波数(例えば、2.45GHz)でのマイクロ波によって、プラズマが前駆体ガスから形成される。電力要件が重要ではないような場合には、5.8GHzのより高い周波数を使用することができる。より高い周波数源を使用することの利点は、より高い周波数は2.45GHzの低周波源のサイズよりも小さい(約半分の大きさを有する)ことである。約500ミリワット/cm〜約5,000ミリワット/cm(例えば、約1,500ミリワット/cm〜約3,000ミリワット/cm)のマイクロ波電力が、ステップ404で電磁エネルギーを生成するためにチャンバ内にアンテナを通して供給され、これによってステップ408で前駆体ガスを励起する。より高いマイクロ波RF電力は、マイクロ波源126に沿ったプラズマの均一性を向上させると考えられている。多くの実施形態では、上述の条件は1012イオン/cmを超えるイオン密度を有する高密度プラズマをもたらす。いくつかの例では、堆積特性は、プラズマのイオン種を基板に引きつけるために基板に電気的バイアスを印加することによって影響を受ける可能性があるが、本発明の特定の実施形態では、電気的バイアスは、堆積時に必要とされず、基板の表面上のプラズマダメージを最小限に抑えることができる。なお、チャンバ内の環境は、例えば、チャンバ内の圧力を制御する、前駆体ガスの流量及び/又は流量比を制御する、又はプラズマを生成するのに使用される間隔及び/又は電力を制御することによって調節可能であることが理解される。
ステップ410において、SiN層が基板上に堆積される。膜特性及びチャンバ条件に応じて、ステップ404〜410で説明したような処理を、所望の膜厚が得られるまで、必要に応じて何度も繰り返すことができる。4つのリニアマイクロ波発生器及び10本のガス送出ラインが使用される一実施形態では、上記で定義した処理条件によって、それぞれ(基板の端部からの)X軸距離及びY軸距離の関数として堆積速度を示すグラフを示す図6及び図7によって証明されるように、14%未満の厚さの不均一性と共に2,500Å/分を超える高い堆積速度でSiN層を堆積させることが可能である。
ステップ412において、堆積の完了後、プラズマが消され、基板が処理チャンバ外に搬送される。
本発明に係るマイクロ波プラズマ援用CVDチャンバは、13.56MHzでプラズマ源に結合される高周波(RF)を用いた従来のPECVDプロセスと比較して、ガス送出源及び同軸マイクロ波源の改良された配置の結果として、より高いプラズマ密度及びより高い堆積速度を提供する。マイクロ波プラズマ源を備えた本発明の装置を用いることによって、狭いプラズマシースが形成され、ラジカル及びイオン種を生成するためのプラズマによって、より多くの電力を吸収することができ、同様にイオンエネルギー分布の衝突の広がりを低減させることによって、狭いエネルギー分布と共にプラズマ密度を増加させる。したがって、狭いエネルギー分布をもつより低いイオンエネルギーでのプラズマ密度の増加の結果として、より低い堆積温度(例えば、200℃未満、例えば、130℃)を達成することができる。SiN膜特性の点で、異なる堆積温度での従来のPECVDプロセスと本発明のマイクロ波CVDプロセスの間の比較が、下記の表Iに示される。示されているように、低温マイクロ波CVDプロセスは、同様又はより良好な膜特性と共に、約280℃又は130℃で実施された従来のPECVDプロセスよりも高い堆積速度を提供している。より低い熱量をもつマイクロ波CVDプロセスは、運動学的に限られた条件下でより良好な微結晶の成長を可能にし、したがって、低温堆積プロセス(例えば、LCDプロセス、OLEDディスプレイプロセス、又はフレキシブルディスプレイプロセス等)に対して適している。
また、マイクロ波プラズマ源を有する本発明の装置を用いて、基板の温度をプロセス中に所望の温度に効果的に加熱して維持することができる。基板は、マイクロ波プラズマへの曝露の1分後に、約176℃まで加熱することができることが判明した。下記の表IIは、約130ミリトールのチャンバ圧力及び約2.45GHzの周波数でマイクロ波プラズマ源を用いた本発明の装置を用いて堆積された窒化ケイ素層用のプロセスパラメータ及び膜特性を示している。示されているように、サセプタ設定温度を40℃から150℃まで増加しても、例えば、RI(屈折率)などの膜特性は有意に変化せず、これはサセプタ設定温度は、フィルムの品質に影響を与えないことを示唆している。このように、本発明のマイクロ波援用CVDプロセスの使用は、もしもこれを使用しない場合に典型的なプラズマ化学気相堆積プロセスにおいて基板を所望の温度に加熱して維持するのに必要とされる高価な加熱要素を除去することができるので、低い製造コスト及びサセプタの保守作業の削減を可能にする。
更に、驚くべきことに、基板サセプタ110に接触することなく基板102を実質的に平坦に支持/維持するために、基板サセプタ110の表面上に(1インチ程度高い)複数のスペーサを配置した特定の実施形態において、図1及び図2に関連して上述したマイクロ波プラズマ源を用いた本発明の装置によって堆積を実施した場合、窒化ケイ素膜の膜厚不均一性は、8.1%未満に減少することを、本発明者らは発見した。図5は、約2600Å/分のほぼ同じ堆積速度で基板サセプタと接触及び離間した基板による窒化ケイ素膜に対する膜均一性の比較を含む、同軸マイクロ波源と基板間の間隔の関数として堆積速度を示したグラフを示す。
上記は本発明の実施形態を対象としているが、本発明の他の及び更なる実施形態は本発明の基本的範囲を逸脱することなく創作することができ、その範囲は以下の特許請求の範囲に基づいて定められる。

Claims (20)

  1. 基板上にケイ素含有層を堆積させる方法であって、
    マイクロ波源及びガス送出源が処理容積内に配置された処理チャンバの処理容積内に基板をロードする工程と、
    ガス送出源から処理容積内に処理ガスを流す工程と、
    マイクロ波源に結合されたアンテナにマイクロ波電力を印加することによって、処理容積内の処理ガスからプラズマを生成する工程と、
    約1GHz〜約10GHzの周波数で、約500ミリワット/cm〜約5,000ミリワット/cmのマイクロ波電力を用いて、プラズマの存在下で基板上にケイ素含有層を堆積する工程であって、堆積中に基板は摂氏約200度未満の温度に維持される工程を含む方法。
  2. 基板上にケイ素含有層を堆積させる工程が、約50ミリトール〜約250ミリトールのチャンバ圧力でケイ素含有層を堆積する工程を含む請求項1記載の方法。
  3. 処理ガスは、シラン(SiH)、ジシラン(Si)、四フッ化ケイ素(SiF)、四塩化ケイ素(SiCl)、ジクロロシラン(SiHCl)、及びこれらの組み合わせからなる群から選択されるケイ素含有前駆体と、窒素(N)、アンモニア(NH)、ヒドラジン(N)、及びこれらの混合物からなる群から選択される窒素含有前駆体を含む請求項1記載の方法。
  4. 処理ガスは更に、アルゴン(Ar)、水素(H)、ヘリウム(He)、これらの誘導体、及びこれらの組み合わせからなる群から選択されるキャリアガスを含む請求項3記載の方法。
  5. ガス送出源に処理ガスを流す工程は、SiHのArに対するガス流量比(SiH:Ar)が約1:5〜約1:10でSiH及びArを供給する工程を含む請求項4記載の方法。
  6. ガス送出源に処理ガスを流す工程は、SiHのNHに対するガス流量比(SiH:NH)が約1:1〜約1:10でSiH及びNHを供給する工程を含む請求項3記載の方法。
  7. ガス送出源に処理ガスを流す工程は、NHのArに対するガス流量比(NH:Ar)が約1:2〜約1:5でNH及びArを供給する工程を含む請求項4記載の方法。
  8. マイクロ波源は、平行に配置され、長手方向に約180mm〜約350mmの間の距離で互いに離間している1以上のリニアマイクロ波発生器を含む請求項1記載の方法。
  9. ガス送出源は、平行に配置され、長手方向に約50mm〜約200mmの間の距離で互いに離間しているガス送出ラインのアレイを含む請求項8記載の方法。
  10. ガス送出源は、マイクロ波源と基板との間に配置され、1以上のリニアマイクロ波発生器は、ガス送出ラインと平行な関係に配置される請求項9記載の方法。
  11. 基板上にケイ素含有層を堆積させるためのマイクロ波プラズマ援用CVDプロセスであって、
    基板サセプタに平行な関係に配置されるマイクロ波源及びガス送出源を含む処理チャンバ内に基板をロードする工程であって、マイクロ波源は互いに平行な同一平面上にある関係で配置された1以上のリニアマイクロ波発生器を有し、ガス送出源は処理チャンバの処理容積内に配置され、互いに平行な同一平面上にある関係で配置されたガス送出ラインのアレイを有する工程と、
    処理容積内にガス送出源から前駆体ガスを流す工程と、
    マイクロ波電力をマイクロ波源内へ変調することによって、前駆体ガスからプラズマを発生させる工程と、
    基板サセプタ上に載置された基板の略全面に向かってガス送出源から均一に前駆体ガスを分配する工程と、
    約1GHz〜約10GHzの周波数で、約500ミリワット/cm〜約5,000ミリワット/cmのマイクロ波電力を用いて、プラズマの存在下で基板上にケイ素含有層を堆積する工程であって、堆積中に基板は摂氏約200度未満の温度に維持される工程を含むプロセス。
  12. ケイ素含有層は、ケイ素、窒化ケイ素、二酸化ケイ素、炭化ケイ素、オキシ炭化ケイ素、水素化ケイ素、フッ化ケイ素、ホウ素又はリン又はヒ素をドープしたケイ素、ホウ素又はリン又はヒ素をドープした炭化ケイ素、及びホウ素又はリン又はヒ素をドープした酸化ケイ素から成る群から選択される材料を含む請求項11記載のプロセス。
  13. 基板上にケイ素含有層を堆積する工程は、約50ミリトール〜約250ミリトールのチャンバ圧力でケイ素含有層を堆積する工程を含む請求項11記載のプロセス。
  14. ガス送出源に前駆体ガスを流す工程は、約1:5〜約1:10の間のケイ素含有前駆体のキャリアガスに対するガス流量比でケイ素含有前駆体及びキャリアガスを流す工程を含む請求項13記載のプロセス。
  15. ガス送出源に前駆体ガスを流す工程は、約1:1〜約1:10の間のケイ素含有前駆体の窒素含有前駆体に対するガス流量比でケイ素含有前駆体及び窒素含有前駆体を流す工程を含む請求項13記載のプロセス。
  16. 1以上のリニアマイクロ波発生器が長手方向に約180mm〜約350mmの間の距離で互いに離間しており、ガス送出ラインのアレイが長手方向に約50mm〜約200mmの間の距離で互いに離間している請求項11記載のプロセス。
  17. ガス送出源は、マイクロ波源と基板との間に配置されている請求項11記載のプロセス。
  18. 処理チャンバ内に配置されたマイクロ波源に結合されたアンテナにマイクロ波電力を印加する工程であって、マイクロ波源は基板の略全面をカバーするガス分配カバレージを提供するように構成されたガス送出源の相対的に上方に配置されている工程と、
    ガス送出源によって供給される処理ガスから生成したマイクロ波プラズマに基板を曝露して、摂氏約200℃未満の温度で基板上にケイ素含有層を堆積する工程を含む、処理チャンバ内で基板を処理する方法。
  19. マイクロ波プラズマは、約1GHz〜約10GHzの周波数で、約500ミリワット/cm〜約5,000ミリワット/cmのマイクロ波電力を用いる請求項18記載の方法。
  20. 処理ガスは、ケイ素含有前駆体及びキャリアガスを含み、ケイ素含有前駆体及びキャリアガスは、約1:5〜約1:10の間のケイ素含有前駆体のキャリアガスに対するガス流量比でガス送出源に導入される請求項18記載のプロセス。
JP2013547542A 2010-12-30 2011-12-20 マイクロ波プラズマを用いた薄膜堆積 Active JP6104817B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201061428837P 2010-12-30 2010-12-30
US61/428,837 2010-12-30
PCT/US2011/066124 WO2012092020A2 (en) 2010-12-30 2011-12-20 Thin film deposition using microwave plasma

Publications (2)

Publication Number Publication Date
JP2014505363A true JP2014505363A (ja) 2014-02-27
JP6104817B2 JP6104817B2 (ja) 2017-03-29

Family

ID=46380994

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013547542A Active JP6104817B2 (ja) 2010-12-30 2011-12-20 マイクロ波プラズマを用いた薄膜堆積

Country Status (6)

Country Link
US (1) US8883269B2 (ja)
JP (1) JP6104817B2 (ja)
KR (1) KR101563541B1 (ja)
CN (1) CN103270578B (ja)
TW (1) TWI553146B (ja)
WO (1) WO2012092020A2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160000438A (ko) * 2014-06-24 2016-01-04 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
JP2017011058A (ja) * 2015-06-19 2017-01-12 東京エレクトロン株式会社 プラズマを用いた成膜方法
JP2018505304A (ja) * 2014-12-17 2018-02-22 ツーシックス、インコーポレイテッドIi−Vi Incorporated 自立型cvd多結晶ダイアモンド膜を製造する装置および方法

Families Citing this family (350)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2936970B1 (fr) * 2008-10-09 2010-12-24 Saint Gobain Ct Recherches Grains fondus abrasifs
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9048518B2 (en) * 2011-06-21 2015-06-02 Applied Materials, Inc. Transmission line RF applicator for plasma chamber
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
DE102012017453A1 (de) 2012-09-04 2014-03-06 Manz Ag Plasmabehandlungseinrichtung und Verfahren zur Behandlung zumindest eines Substrats
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR20150127122A (ko) * 2013-03-01 2015-11-16 어플라이드 머티어리얼스, 인코포레이티드 금속 옥사이드 tft 안정성 개선
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
WO2014158955A1 (en) 2013-03-12 2014-10-02 Applied Materials, Inc. Pinhole evaluation method of dielectric films for metal oxide semiconductor tft
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) * 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN103935127B (zh) * 2014-04-24 2017-01-11 珠海赛纳打印科技股份有限公司 液体喷头制造方法、液体喷头和打印装置
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
TWI519668B (zh) 2014-07-17 2016-02-01 國立清華大學 具有結晶矽薄膜之基板及其製備方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
CN104328493A (zh) * 2014-10-30 2015-02-04 上海科慧太阳能技术有限公司 一种多晶硅薄膜的制备方法
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10312475B2 (en) 2017-05-15 2019-06-04 Applied Materials, Inc. CVD thin film stress control method for display application
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI826925B (zh) 2018-03-01 2023-12-21 美商應用材料股份有限公司 電漿源組件和氣體分配組件
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217443B2 (en) * 2018-11-30 2022-01-04 Applied Materials, Inc. Sequential deposition and high frequency plasma treatment of deposited film on patterned and un-patterned substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US20200190664A1 (en) * 2018-12-13 2020-06-18 Applied Materials, Inc. Methods for depositing phosphorus-doped silicon nitride films
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN113316835A (zh) * 2019-01-02 2021-08-27 应用材料公司 用于形成具有低漏电流的含硅硼膜的方法
US10748759B2 (en) * 2019-01-15 2020-08-18 Applied Materials, Inc. Methods for improved silicon nitride passivation films
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
JP2023500375A (ja) * 2019-11-08 2023-01-05 アプライド マテリアルズ インコーポレイテッド 材料の表面粗さを減少させる方法
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
FI129609B (en) 2020-01-10 2022-05-31 Picosun Oy SUBSTRATE PROCESSING EQUIPMENT
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220060069A (ko) * 2020-11-03 2022-05-11 삼성디스플레이 주식회사 표시 장치 및 그 제조 방법
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114686803B (zh) * 2022-03-22 2023-03-17 武汉理工大学 一种微波等离子体化学气相沉积制备的三元氮化物涂层及其方法
CN115491655A (zh) * 2022-10-05 2022-12-20 江苏筑磊电子科技有限公司 一种半导体技术中用于低温清洁和沉积的微波等离子辅助方法

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5093152A (en) * 1987-04-22 1992-03-03 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Process for protecting an optical substrate by plasma deposition
JPH07211488A (ja) * 1994-01-24 1995-08-11 Matsushita Electric Ind Co Ltd プラズマ処理装置及び処理方法
JP2001126899A (ja) * 1999-10-26 2001-05-11 Ulvac Japan Ltd アンテナ装置及びプラズマ処理装置
JP2005033221A (ja) * 2001-02-08 2005-02-03 Tokyo Electron Ltd 基板載置台および処理装置
JP2005149887A (ja) * 2003-11-14 2005-06-09 Mitsui Eng & Shipbuild Co Ltd プラズマ発生装置用アンテナの整合方法及びプラズマ発生装置
JP2005340358A (ja) * 2004-05-25 2005-12-08 Shimadzu Corp 太陽電池、その製造方法および反射防止膜成膜装置
JP2007048982A (ja) * 2005-08-10 2007-02-22 Tokyo Electron Ltd プラズマ処理装置の制御方法およびプラズマ処理装置
US20090238998A1 (en) * 2008-03-18 2009-09-24 Applied Materials, Inc. Coaxial microwave assisted deposition and etch systems

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6800571B2 (en) * 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
WO2001069673A1 (fr) * 2000-03-13 2001-09-20 Tadahiro Ohmi Dispositif de memoire flash et son procede de fabrication et procede de formation de pellicule dielectrique
US6955177B1 (en) 2001-12-07 2005-10-18 Novellus Systems, Inc. Methods for post polysilicon etch photoresist and polymer removal with minimal gate oxide loss
US20030194496A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
US7025895B2 (en) * 2002-08-15 2006-04-11 Hitachi High-Technologies Corporation Plasma processing apparatus and method
US7097782B2 (en) 2002-11-12 2006-08-29 Micron Technology, Inc. Method of exposing a substrate to a surface microwave plasma, etching method, deposition method, surface microwave plasma generating apparatus, semiconductor substrate etching apparatus, semiconductor substrate deposition apparatus, and microwave plasma generating antenna assembly
JP2004336019A (ja) * 2003-04-18 2004-11-25 Advanced Lcd Technologies Development Center Co Ltd 成膜方法、半導体素子の形成方法、半導体素子、表示装置の形成方法及び表示装置
US8536492B2 (en) * 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
JP4435666B2 (ja) * 2004-11-09 2010-03-24 東京エレクトロン株式会社 プラズマ処理方法、成膜方法
JP5068458B2 (ja) * 2006-01-18 2012-11-07 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US20110097517A1 (en) * 2008-01-30 2011-04-28 Applied Materials, Inc. Dynamic vertical microwave deposition of dielectric layers
US8316797B2 (en) * 2008-06-16 2012-11-27 Board of Trustees of Michigan State University Fraunhofer USA Microwave plasma reactors
DE102008036766B4 (de) * 2008-08-07 2013-08-01 Alexander Gschwandtner Vorrichtung und Verfahren zum Erzeugen dielektrischer Schichten im Mikrowellenplasma
US8962454B2 (en) * 2010-11-04 2015-02-24 Tokyo Electron Limited Method of depositing dielectric films using microwave plasma

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5093152A (en) * 1987-04-22 1992-03-03 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Process for protecting an optical substrate by plasma deposition
JPH07211488A (ja) * 1994-01-24 1995-08-11 Matsushita Electric Ind Co Ltd プラズマ処理装置及び処理方法
JP2001126899A (ja) * 1999-10-26 2001-05-11 Ulvac Japan Ltd アンテナ装置及びプラズマ処理装置
JP2005033221A (ja) * 2001-02-08 2005-02-03 Tokyo Electron Ltd 基板載置台および処理装置
JP2005149887A (ja) * 2003-11-14 2005-06-09 Mitsui Eng & Shipbuild Co Ltd プラズマ発生装置用アンテナの整合方法及びプラズマ発生装置
JP2005340358A (ja) * 2004-05-25 2005-12-08 Shimadzu Corp 太陽電池、その製造方法および反射防止膜成膜装置
JP2007048982A (ja) * 2005-08-10 2007-02-22 Tokyo Electron Ltd プラズマ処理装置の制御方法およびプラズマ処理装置
US20090238998A1 (en) * 2008-03-18 2009-09-24 Applied Materials, Inc. Coaxial microwave assisted deposition and etch systems

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160000438A (ko) * 2014-06-24 2016-01-04 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
JP2016027551A (ja) * 2014-06-24 2016-02-18 東京エレクトロン株式会社 成膜方法および成膜装置
KR102356225B1 (ko) 2014-06-24 2022-01-28 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
JP2018505304A (ja) * 2014-12-17 2018-02-22 ツーシックス、インコーポレイテッドIi−Vi Incorporated 自立型cvd多結晶ダイアモンド膜を製造する装置および方法
JP2017011058A (ja) * 2015-06-19 2017-01-12 東京エレクトロン株式会社 プラズマを用いた成膜方法

Also Published As

Publication number Publication date
TW201243094A (en) 2012-11-01
WO2012092020A2 (en) 2012-07-05
US8883269B2 (en) 2014-11-11
CN103270578B (zh) 2016-10-26
KR101563541B1 (ko) 2015-10-27
WO2012092020A3 (en) 2012-10-26
KR20140018861A (ko) 2014-02-13
JP6104817B2 (ja) 2017-03-29
US20120171391A1 (en) 2012-07-05
CN103270578A (zh) 2013-08-28
TWI553146B (zh) 2016-10-11

Similar Documents

Publication Publication Date Title
JP6104817B2 (ja) マイクロ波プラズマを用いた薄膜堆積
US10930472B2 (en) Methods for forming a metal silicide interconnection nanowire structure
JP3179605U (ja) 基板支持体の加熱及び冷却
US6497783B1 (en) Plasma processing apparatus provided with microwave applicator having annular waveguide and processing method
US8906813B2 (en) SiOx process chemistry development using microwave plasma CVD
US6870123B2 (en) Microwave applicator, plasma processing apparatus having same, and plasma processing method
US9613859B2 (en) Direct deposition of nickel silicide nanowire
TWI744229B (zh) 晶舟、晶圓處理裝置以及晶圓處理方法
TWI733838B (zh) 電漿成膜裝置及基板載置台
JPH1140397A (ja) 環状導波路を有するマイクロ波供給器及びそれを備えたプラズマ処理装置及び処理方法
Flewitt et al. Low-temperature deposition of hydrogenated amorphous silicon in an electron cyclotron resonance reactor for flexible displays
TWI686500B (zh) 矽化物奈米線之層疊與核殼形成
JP7005367B2 (ja) ボロン系膜の成膜方法および成膜装置
JPH06295866A (ja) プラズマ反応装置
JP2010135645A (ja) 膜形成方法および膜形成装置
JP2007258570A (ja) プラズマ処理装置
JPH11329792A (ja) マイクロ波供給器
TW201319301A (zh) 成膜方法及記憶媒體
JPH11167998A (ja) パラボラアンテナを用いたプラズマ処理装置および処理方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20141205

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20160121

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160126

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20160425

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20160624

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160712

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160809

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20161107

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170106

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170131

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20170301

R150 Certificate of patent or registration of utility model

Ref document number: 6104817

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250