CN103270578A - 使用微波等离子体的薄膜沉积 - Google Patents
使用微波等离子体的薄膜沉积 Download PDFInfo
- Publication number
- CN103270578A CN103270578A CN2011800620174A CN201180062017A CN103270578A CN 103270578 A CN103270578 A CN 103270578A CN 2011800620174 A CN2011800620174 A CN 2011800620174A CN 201180062017 A CN201180062017 A CN 201180062017A CN 103270578 A CN103270578 A CN 103270578A
- Authority
- CN
- China
- Prior art keywords
- source
- substrate
- gas
- microwave
- silicon
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000000427 thin-film deposition Methods 0.000 title description 3
- 239000000758 substrate Substances 0.000 claims abstract description 168
- 229910052710 silicon Inorganic materials 0.000 claims abstract description 41
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims abstract description 39
- 239000010703 silicon Substances 0.000 claims abstract description 39
- 238000000034 method Methods 0.000 claims abstract description 36
- 238000012545 processing Methods 0.000 claims abstract description 28
- 238000009826 distribution Methods 0.000 claims abstract description 8
- 239000007789 gas Substances 0.000 claims description 164
- 239000002243 precursor Substances 0.000 claims description 36
- 238000005516 engineering process Methods 0.000 claims description 30
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 20
- 238000005229 chemical vapour deposition Methods 0.000 claims description 20
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 20
- 239000012159 carrier gas Substances 0.000 claims description 14
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 13
- 239000000463 material Substances 0.000 claims description 9
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 claims description 7
- 229910052785 arsenic Inorganic materials 0.000 claims description 7
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 claims description 7
- 229910052698 phosphorus Inorganic materials 0.000 claims description 7
- 239000011574 phosphorus Substances 0.000 claims description 7
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 6
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 6
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 claims description 5
- 239000000377 silicon dioxide Substances 0.000 claims description 5
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 claims description 4
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 claims description 4
- 229910052786 argon Inorganic materials 0.000 claims description 4
- 229910052739 hydrogen Inorganic materials 0.000 claims description 4
- 229910010271 silicon carbide Inorganic materials 0.000 claims description 4
- 235000012239 silicon dioxide Nutrition 0.000 claims description 4
- ABTOQLMXBSRXSM-UHFFFAOYSA-N silicon tetrafluoride Chemical compound F[Si](F)(F)F ABTOQLMXBSRXSM-UHFFFAOYSA-N 0.000 claims description 4
- 239000001257 hydrogen Substances 0.000 claims description 3
- 125000004435 hydrogen atom Chemical class [H]* 0.000 claims description 3
- 239000000203 mixture Substances 0.000 claims description 3
- VXEGSRKPIUDPQT-UHFFFAOYSA-N 4-[4-(4-methoxyphenyl)piperazin-1-yl]aniline Chemical compound C1=CC(OC)=CC=C1N1CCN(C=2C=CC(N)=CC=2)CC1 VXEGSRKPIUDPQT-UHFFFAOYSA-N 0.000 claims description 2
- 229910003902 SiCl 4 Inorganic materials 0.000 claims description 2
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 claims description 2
- 229910021529 ammonia Inorganic materials 0.000 claims description 2
- BUMGIEFFCMBQDG-UHFFFAOYSA-N dichlorosilicon Chemical compound Cl[Si]Cl BUMGIEFFCMBQDG-UHFFFAOYSA-N 0.000 claims description 2
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 claims description 2
- 238000003672 processing method Methods 0.000 claims description 2
- 229910000077 silane Inorganic materials 0.000 claims description 2
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 2
- 239000005049 silicon tetrachloride Substances 0.000 claims description 2
- 150000002371 helium Chemical class 0.000 claims 1
- 238000005137 deposition process Methods 0.000 abstract description 2
- 238000000151 deposition Methods 0.000 description 33
- 239000010408 film Substances 0.000 description 28
- 230000008021 deposition Effects 0.000 description 27
- 230000008569 process Effects 0.000 description 15
- 230000000630 rising effect Effects 0.000 description 10
- 238000000259 microwave plasma-assisted chemical vapour deposition Methods 0.000 description 8
- 238000010438 heat treatment Methods 0.000 description 7
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 6
- 239000011521 glass Substances 0.000 description 5
- 230000006872 improvement Effects 0.000 description 5
- 150000002500 ions Chemical class 0.000 description 5
- 238000004519 manufacturing process Methods 0.000 description 5
- 230000008859 change Effects 0.000 description 4
- 238000013461 design Methods 0.000 description 4
- 229910052782 aluminium Inorganic materials 0.000 description 3
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 3
- 238000012423 maintenance Methods 0.000 description 3
- 230000007246 mechanism Effects 0.000 description 3
- 230000001681 protective effect Effects 0.000 description 3
- 239000012713 reactive precursor Substances 0.000 description 3
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 3
- 238000010521 absorption reaction Methods 0.000 description 2
- 239000004411 aluminium Substances 0.000 description 2
- 229910021417 amorphous silicon Inorganic materials 0.000 description 2
- 230000005540 biological transmission Effects 0.000 description 2
- 238000006243 chemical reaction Methods 0.000 description 2
- 150000001875 compounds Chemical class 0.000 description 2
- 238000001816 cooling Methods 0.000 description 2
- 239000012809 cooling fluid Substances 0.000 description 2
- 239000003989 dielectric material Substances 0.000 description 2
- 238000005530 etching Methods 0.000 description 2
- 239000001307 helium Substances 0.000 description 2
- 229910052734 helium Inorganic materials 0.000 description 2
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 2
- 230000014759 maintenance of location Effects 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- 239000004065 semiconductor Substances 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- 238000002230 thermal chemical vapour deposition Methods 0.000 description 2
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- 238000001505 atmospheric-pressure chemical vapour deposition Methods 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 230000018109 developmental process Effects 0.000 description 1
- 238000010494 dissociation reaction Methods 0.000 description 1
- 230000005593 dissociations Effects 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 230000002045 lasting effect Effects 0.000 description 1
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 1
- 239000013081 microcrystal Substances 0.000 description 1
- 229910021424 microcrystalline silicon Inorganic materials 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 238000000465 moulding Methods 0.000 description 1
- 230000007935 neutral effect Effects 0.000 description 1
- 238000002161 passivation Methods 0.000 description 1
- 238000009832 plasma treatment Methods 0.000 description 1
- 239000004033 plastic Substances 0.000 description 1
- 229920003023 plastic Polymers 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 238000010926 purge Methods 0.000 description 1
- 239000010453 quartz Substances 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 150000003254 radicals Chemical class 0.000 description 1
- 238000002310 reflectometry Methods 0.000 description 1
- 238000013341 scale-up Methods 0.000 description 1
- 238000004062 sedimentation Methods 0.000 description 1
- 238000007493 shaping process Methods 0.000 description 1
- 125000006850 spacer group Chemical group 0.000 description 1
- 238000004381 surface treatment Methods 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 230000007306 turnover Effects 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/0262—Reduction or decomposition of gaseous compounds, e.g. CVD
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/34—Nitrides
- C23C16/345—Silicon nitride
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45578—Elongated nozzles, tubes with holes
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/511—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/54—Apparatus specially adapted for continuous coating
- C23C16/545—Apparatus specially adapted for continuous coating for coating elongated substrates
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32192—Microwave generated discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32192—Microwave generated discharge
- H01J37/32211—Means for coupling power to the plasma
- H01J37/3222—Antennas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
Abstract
本发明的实施例通常使用改良的微波辅助CVD腔室来提供含硅介电层的沉积工艺。在一个实施例中,提供一种在处理腔室中的基板的处理方法。此方法大致包括:将微波功率施加给天线,此天线耦接至配置在处理腔室之内的微波源,其中微波源被相对地配置在气体馈入源之上,气体馈入源被设置成用以提供实质上覆盖基板的整体表面的气体分布覆盖范围;以及使基板暴露至由气体馈入源所提供的处理气体所产生的微波等离子体,用以在低于约200摄氏度的温度下使含硅层沉积在基板上,微波等离子体使用在约1GHz至约10GHz的频率下的约500毫瓦特/cm2至约5000毫瓦特/cm2的微波功率。
Description
发明背景
技术领域
本发明的实施例通常涉及藉由使用一种改良的微波辅助CVD腔室的含硅介电层的沉积工艺。
背景技术
在集成电路的制造中,化学气相沉积(CVD)工艺常用来沉积或蚀刻各种材料层。常见的CVD技术包括热化学气相沉积、低压化学沉积法(LPCVD)、等离子体增强化学气相沉积(PECVD)、微波等离子体辅助化学气相沉积(MPCVD)、大气压力化学气相沉积等等。常规的热化学气相沉积工艺将反应性化合物供应至基板表面,在此基板表面热感应化学反应发生,以产生期望层(desired layer)。等离子体增强化学气相沉积(PECVD)工艺采用耦接至沉积室的电源(例如,射频(RF)功率或微波功率)以增加反应性化合物的解离(dissociation)。因此,在比类似热工艺所需要的温度更低的基板温度(例如,大约75°C至650°C)下,PECVD工艺是一种多产且成本有效的方法,以供高质量的材料快速成长用。这有利于具有严苛热预算需求的工艺。
因为对于较大的平板显示器及太阳能板的需求持续增加,所以必须增加基板的尺寸,并且由此处理腔室的尺寸也要增加。关于薄膜沉积,通常期望具有高沉积速率以在大型基板上形成薄膜,以及具有挠性以控制薄膜特性。较高的沉积速率可以藉由增加等离子体密度或降低腔室压力来达成。微波等离子体辅助化学气相沉积(MPCVD)已被开发成达到较高等离子体密度(例如,1011离子/cm3)及较高的沉积速率,这是因为当与在13.56MHz运行的典型射频(RF)耦合等离子体源作比较时,改良功率耦合以及在2.45GHz下的吸收的结果。使用RF等离子体的一项缺点是大部分的输入功率在RF等离子体横越等离子体保护套(sheath)(暗空间)时下降。藉由使用微波等离子体,形成狭小等离子体保护套,且更多功率可被等离子体吸收,以供创造原子团物种(radical species)及离子物种(ion species)。这可藉由降低离子能量分布的碰撞扩大,并利用狭小能量分布来增加等离子体密度。
过去,与真空涂布工业中的微波源技术相关的主要缺点,是在从小晶圆处理到很大面积基板处理的扩大规模(scale up)期间能维持均匀性的困难度。在微波反应器设计中的最新进展已经将这些挑战放置在触手可及之处。等离子体线性源的阵列已被发展以在高沉积速率下沉积超大面积(大于1m2)的实质上均匀的薄膜,用以形成密集且厚的薄膜。然而,当基板的尺寸继续增加时,在本技术领域中存在有一种持续需求,用以改善等离子体均匀性及密度,以在较高沉积速率下使均匀薄膜沉积在大面积的基板上,同时使合理成本的大规模制造成为可能。
发明内容
本发明的实施例通常使用改良的微波辅助CVD腔室来提供多种供含硅介电层用的沉积工艺。在一个实施例中,提供一种使含硅层沉积在基板上的方法。此方法通常包括:将基板加载至一个具有微波源及气体馈入源的处理腔室中;使处理气体流入气体馈入源中;藉由将微波功率施加至一条耦接至微波源的天线而从处理气体中产生等离子体;以及藉由使用在大约1GHz至大约10GHz的频率下的大约500毫瓦特/cm2至大约5000毫瓦特/cm2的微波功率,使含硅层在等离子体存在时沉积在基板上,其中基板在沉积期间维持在低于大约200摄氏度的温度。
在另一实施例中,提供一种用以使含硅层沉积在基板上的微波等离子体辅助CVD工艺。此工艺通常包括:将基板加载至处理腔室中,处理腔室包括以一种平行于基板承载器(susceptor)的关系被安置的微波源及气体馈入源,微波源具有以一种彼此平行共面的关系被排列的一个或多个线性微波产生器,且气体馈入源具有以一种彼此平行共面的关系被排列的气体馈入线的阵列;使前驱物气体流入气体馈入源中;藉由将微波功率调整成微波源而从前驱物气体中产生等离子体;从气体馈入源朝向基板的整体表面均匀地分配前驱物气体,此基板实质上放置在基板承载器上;以及藉由使用在大约1GHz至大约10GHz的频率下的大约500毫瓦特/cm2至大约5000毫瓦特/cm2的微波功率,使含硅层在等离子体存在时沉积在基板上,其中基板在沉积期间维持在低于大约200摄氏度的温度。
在又一实施例中,提供一种在处理腔室中的基板的处理方法。此方法大致包括:将微波功率施加给天线,此天线耦接至配置在处理腔室之内的微波源,其中微波源被相对地配置在气体馈入源之上,气体馈入源被设置成用以提供实质上覆盖基板的整体表面的气体分布覆盖范围;以及使基板暴露至由气体馈入源所提供的处理气体所产生的微波等离子体,用以在低于大约200摄氏度的温度下使含硅层沉积在基板上,微波等离子体使用在大约1GHz至大约10GHz的频率下的大约500毫瓦特/cm2至大约5000毫瓦特/cm2的微波功率。
附图说明
为了可对本发明的上述特征有详细的了解,可参考实施例对本发明进行如上概括的描述,一些实施例在附图中示出。然而,应当注意,附图只示出本发明的典型实施例,并且因此不应被认为是限制本发明的范围,因为本发明可容许其他等效的实施例。
图1A为依据本发明的一个实施例的示意性同轴微波等离子体辅助CVD腔室的剖面图。
图1B与图1C为替代实施例的放大视图,这些放大视图显示基板与基板承载器的上表面隔开或者与基板承载器的上表面接触。
图2A为示意性同轴微波等离子体辅助CVD腔室的俯视图,该俯视图显示依据本发明的一个实施例的气体馈入源与同轴微波源的示范性配置。
图2B-2D为依据本发明的各种实施例的气体馈入源与微波源的可能配置。
图3为依据本发明一个实施例的同轴微波源的示意性剖面图。
图4为工艺顺序图,该工艺顺序图可被使用以藉由使用所创新的微波等离子体辅助CVD腔室而在基板上形成氮化硅(SiN)薄膜。
图6与图7显示图表,该图表示出沉积速率为X-轴距离与Y-轴距离(从被处理的基板的边缘起)的函数。
为了便于理解,在可能的情况下,已使用相同的参考数字来标示这些附图共用的相同元件。考虑到在一个实施例中公开的元件可能有利地被利用在其它实施例上而无须特别详述。
具体实施方式
本发明的实施例藉由使用一种改良的微波辅助CVD腔室来提供关于含硅介电层(例如,SiN)的沉积工艺。改良的微波辅助CVD腔室包括以一种与基板承载器平行的关系被安置的气体馈入源与同轴微波源,基板被放置在基板承载器之上。气体馈入源可以位于同轴微波源与基板承载器之间。气体馈入源可包括气体馈入线的阵列,所述气体馈入线的阵列平行且纵向地彼此隔开。同轴微波源可包括单一或多个线性微波产生器,该单一或多个线性微波产生器可以一种平行于气体馈入线的纵向的关系被排列。藉由使用具有微波等离子体源的创新设备,可在不需要使用昂贵的加热元件(昂贵的加热元件在典型的等离子体辅助化学气相沉积工艺中其它方面被需要)的基板承载器的情况下获得高质量的CVD薄膜,藉以降低制造成本以及与基板承载器相关的维修工作。此外,更多功率可被等离子体所吸收以供原子团物种与离子物种的创造,因而增加等离子体密度与沉积速率。因此,较低的基板温度(例如,低于200摄氏度)可能因为增加的等离子体密度的结果而达成。
示范性的沉积室
图1A为依据本发明一个实施例的示意性的同轴微波等离子体辅助CVD腔室100的剖面图。处理腔室100被设置成用以允许一个或多个薄膜被沉积至基板102之上,而无须从处理腔室100移除基板102。虽然以下的说明将参考微波等离子体辅助CVD腔室,特别是参考水平式(horizontal-type)腔室,其中微波源与气体馈入源配置用于水平沉积工艺的水平安置的基板承载器之上,但是应理解到可能将本发明应用至垂直式(vertical-type)沉积室,这些垂直式沉积室具有垂直装设至处理腔室的腔室壁的多个微波线路源、以及用以支撑在垂直配置中的基板的垂直安置的基板承载器。可能适合于从本发明中受益的垂直式沉积室的数个实施例,在譬如名称为“介电层的动态垂直微波沉积(DYNAMIC VERTICAL MICROWAVE DEPOSITION OFDIELECTRIC LAYERS)”的美国专利号12/833,571中进行说明,该专利在此通过引用并入。本发明同等适合于其它处理腔室(包括由其它制造商所制作的腔室),并且同样适合于其它等离子体辅助工艺(例如,蚀刻、离子植入、表面处理等等)。此外,应注意到,附图与相对应的说明仅为示范性的,且在单一实施例中说明的任何个别硬件特征可以与在说明书中说明的任何一个其它实施例结合。
基板102可能是金属、塑料、有机物、硅、玻璃、石英、或高分子材料等等的薄板。在一个实施例中,基板102为玻璃基板,在该玻璃基板上将沉积含硅介电质。在其它实施例中,基板102可能是掺杂的或以其它方式改性的玻璃基板。基板102可具有大于约1平方米的表面积,例如大于约2平方米。如后来将讨论的,本发明对于含硅层(例如,SiN)沉积在具有大约15600cm2的平面表面积、或者大于譬如大约90000cm2的平面表面积的大尺寸基板上特别有用。处理腔室100可被设置成用以使各种材料沉积在基板102上,包括但并未受限于介电材料(例如,SiO2、SiOXNy、上述化合物的衍生物、或上述化合物的组合)、半导体材料(例如,Si以及Si的掺杂质)、阻绝材料(例如,SiNx、SiOxNy、或上述化合物的衍生物),或者因含硅介电层而钝化的非晶硅或微晶硅薄膜晶体管(TFT)。藉由等离子体处理腔室100而形成或沉积至大面积基板之上的介电材料与半导体材料的特定例子,可包括但并未受限于外延硅、多晶硅、非晶硅、微晶硅、硅锗、锗、二氧化硅、氮氧化硅、氮化硅、上述化合物的掺杂质(例如,硼、磷或砷)、上述化合物的衍生物、或上述化合物的组合。处理腔室100还被设置成用以接收诸如氩气、氢气、氮气、氦气、或上述化合物的组合之类的气体,用以作为净化气体或载气(例如,Ar、H2、N2、He、上述化合物的衍生物、或上述化合物的组合)。
处理腔室100通常包括多个腔室壁104、腔室底部106、以及腔室密封盖108,这三者定义腔室中的处理容积199。处理容积耦接至真空系统109,并具有配置在处理容积中的基板承载器110。处理容积经由插板阀(slit valve)开口部112被接通,以使基板102可能被运送进出处理腔室100。腔室壁104、腔室底部106与腔室密封盖108可由等离子体处理兼容的单块的铝或其它材料所制造。腔室密封盖108被腔室壁104所支撑,并可被移除以维修处理腔室100。基板承载器110可耦接至致动器114以升降基板承载器110。在如图1B所示的本发明的某些实施例中,多种间隔物(spacer)190可以下述方式被排列在基板承载器110的表面上:使基板在沉积期间实质上维持水平,而没有碰触基板承载器110的表面。举例而言,三个间隔物190(只显示一个)可在基板承载器110上被平均隔开,以使基板102与基板承载器110的上表面隔开,从而在基板102和基板承载器110之间形成间隙92。间隔物190可由任何金属、陶瓷、高温材料所制造,并具有大约1mm至大约100mm之间的厚度,用以在基板承载器110上面与基板102隔开了相同的距离。或者,基板102可与基板承载器110的表面接触,如图1C所示。
基板承载器110可选择地包括加热和/或冷却元件,以将基板承载器110维持在期望温度。举例而言,基板承载器110可包括电阻式加热器198和/或多条冷却流体导管(conduit)196,这些冷却流体导管196在沉积期间被利用以控制配置在基板承载器110上的基板102的温度。
多个升高接脚116经由基板承载器110可移动地被配置,用以在配置至基板承载器110上之前以及在从基板承载器110移除之后可控制地支撑基板102。升高接脚116一般是由陶瓷或阳极氧化铝(anodizedaluminum)所组成。一般而言,升高接脚116具有第一端117,这些第一端117在升高接脚116位于正常位置(即,相对于基板承载器110被收回)时,实质上与基板承载器110的上表面齐平或略凹于基板承载器110的上表面。第一端117一般成喇叭状或以其它方式被扩大,用以避免升高接脚116经由开孔落下。升高接脚116与腔室底部106接触并从基板承载器110的上表面被移走,藉以以一种与基板承载器110隔开的关系放置基板102。在一个实施例中,利用变化长度的升高接脚116,以使升高接脚116与腔室底部106接触,并在不同时间被致动。具有升高接脚(这些升高接脚被设置成以一种从边缘至中心的方式从可适合于从本发明中受益的基板承载器起升高基板)的PECVD系统的实施例在美国专利号6,676,761中说明,该专利藉此通过引用并入。
依据本发明的处理腔室100的主部件可包括气体馈入源120与微波源126等等。如以下将更详细讨论的,微波源126可包括一个或多个同轴微波产生器128,该一个或多个同轴微波产生器128被设置成用以平行于气体馈入源120的纵向。气体馈入源120可位于微波源126与基板102之间,如图1A所示。可选择地,第二气体馈入源(未显示)可位于微波源126之上且靠近处理腔室100的顶端(例如,腔室密封盖108)以改善沉积速率。图2B-2D显示具有气体馈入源220的各种配置,气体馈入源220相对位于微波源226之上(例如,位于腔室密封盖108之内或紧邻腔室密封盖108,图2B)或者以平行隔开的关系与微波源226位于共同平面(图2C)。在任一情况下,可将前驱物气体(例如,N2或SiH4)与载气(Ar)提供给气体馈入源220。在气体馈入源220的位置与微波源126呈现平行隔开的关系的一个替代实施例中,可将载气(Ar)提供给位于腔室密封盖108中的第二气体馈入源221,其中前驱物气体(例如,N2或SiH4)单独地提供给气体馈入源220,如图2D所示。
现在参考图2A,图2A显示图1A所显示的微波源126与气体馈入源120的俯视图。气体馈入源120可包括气体馈入线121的阵列,这些气体馈入线121的阵列被设置成用以可控制地接收来自气体源122A和/或气体源122B的一种或多种前驱物气体与载气。气体馈入线121可以是平面配置且可移动地被譬如3个支撑元件123所支撑。支撑元件123可由任何适当的材料(例如,电绝缘材料)所制成。在一个例子中,每个支撑元件123可具有例如螺帽及螺栓组件的至少3个紧固机构(未显示)、或任何其它适当装置,这些紧固机构和装置沿着支撑元件123的长度被配置并被设置成用以固定气体馈入线121的一部分。支撑元件123的配置可依据所使用的气体馈入线121的应用或数目而改变。也可构想任何其它支撑机构,只要此配置并未大幅影响来自线性微波产生器128的薄膜均匀性和/或微波功率即可。在某些实施例中,气体馈入线121可经由腔室壁104而被支撑,而无须使用支撑元件123。
虽然在此未详细讨论,但考虑到支撑元件123可垂直地(或水平地)调整,因此可获得在同轴微波源126与气体馈入源120之间的较窄或较宽的空间。还可考虑到气体馈入源120的位置可依据处理参数而在垂直轴上被调整成更接近基板或远离基板以获得期望的薄膜特性。
气体馈入线121的阵列平行且纵向地彼此隔开(图2A),且多条气体馈入线121的每一条具有面向基板102的穿孔(未显示)。穿孔沿着气体馈入线121的长度而以实质上固定的间隔被配置,用以提供实质上覆盖基板102的上表面的实质上均匀的气体流量。依据基板的尺寸,气体馈入源120可包括大约3至大约20条气体馈入线121。已观察到单一气体馈入线121能以大约10%非均匀性地覆盖法线方向上的大约100mm的区域。对于具有730mm x920mm的尺寸的基板而言,气体馈入源120可具有10条气体馈入线121。在气体馈入线121之间(即,气体馈入线至紧邻的气体馈入线)的距离,可依照应用在大约50mm与大约200mm之间(例如在大约100mm与大约130mm之间,譬如大约110mm)改变。每条气体馈入线121的长度可依据基板102的尺寸改变。每一条气体馈入线121的长度可至多达大约2.5m(即,比基板102的直径长),用以获得被处理的基板的完全覆盖。
如以前所提及的,如图2A所示的气体馈入线121的阵列可接收来自气体源122A和/或气体源122B的一种或多种前驱物气体及载气。前驱物气体可依据应用改变。在期望含硅介电层的情况下,在进入气体馈入线121之前,前驱物气体(例如SiH4及NH3)及载气(譬如Ar)可一起或单独从气体供应源202被分别提供给气体源122A、122B。气体管道阀204、206可被放置在气体源122A、122B与气体馈入线121的末端之间,用以选择性地控制来自气体馈入线121的任一侧或两侧的气体的馈入。因为薄膜厚度完全独立于气体馈入位置(即,气体馈入侧由于在此侧的较高的气体流量总是导致较高的沉积速率),所以相信使从气体馈入线121的两侧馈入的气体会提高薄膜厚度的均匀性。
再次参见图1A,同轴微波源126可位于气体馈入源120与处理腔室100的顶端(例如,腔室密封盖108)之间。同轴微波源126通常包括多个线性微波产生器128以及连接至线性微波产生器128的天线130。同轴微波源126可耦接至地。在一个实施例中,同轴微波源126可以是平面配置,在该平面配置中线性微波产生器128平行且纵向地彼此隔开(参见图2A;应注意到为清楚起见,已从此图省略掉支撑元件123)。虽然只显示两个线性微波产生器128,但考虑到线性微波产生器128的数目可依据基板的尺寸而增加或减少。对具有730mm x920mm的尺寸的基板而言,微波源126可具有4个微波产生器128。在各种实施例中,在邻近两个线性微波产生器128之间的Y轴中的距离可为大约100mm至大约500mm,譬如在大约180mm与大约350mm之间,例如230mm。较宽间距可导致一种向下倾斜的外形(dip profile)以及在微波产生器之间的基板表面积上的非均匀的薄膜特性。两个线性微波产生器128的每一个可分别被配置在两个邻近的气体馈入线121之上以及在两个邻近的气体馈入线121之间。已观察到单一线性微波产生器128能以大约10%非均匀性地覆盖法线方向上的大约260mm的区域。
每个线性微波产生器128的长度可长于或等于气体馈入线121。举例而言,每个线性微波产生器128的长度可至多达大约3m。在本发明的某些实施例中,同轴微波源126可沿着垂直于基板102的X轴线的水平方向移动(图2A)。这可被完成以便处理大型基板。举例而言,如果基板具有16英尺长与3-4英尺宽的尺寸,则同轴微波源126可必须沿着基板的长度移动。然而,如果基板具有16英尺长与16英尺宽的尺寸,则同轴微波源126可能必须沿着基板的长度与宽度两者移动。
图3为依据本发明的一个实施例的同轴微波源的示意性剖面图。同轴微波源126一般包括天线130,该天线130具有介电管(dielectrictube)308以作为压力隔离阻绝。微波源132连接至同轴微波源126并可将微波输入至天线130(图1A)中。相信来自腔室的两侧的双微波RF输入会改善沿着微波源的覆盖,因为一侧微波RF输入无法覆盖沿着微波源的整个区域。举例而言,微波源132可以在横向电磁(TEM)波模式中调整微波功率并使微波功率辐射到腔室中。以大致圆形的横剖面局部围绕天线130的一种可选择的密封罩(containment shield)(未显示),还可用来容纳并经由在密封罩的底部附近所形成的孔径(未显示)导引等离子体,用以与来自气体馈入线121的反应性前驱物碰撞。空气或氮可被填充在介电管308与密封罩之间的空间中,用以冷却天线130。密封罩的细节在Michael Stowell的名称为“微波等离子体密封罩成型(Microwave Plasma Containment Shield Shaping)”的美国专利申请号12/238,664中进一步讨论,该专利申请的整体内容在此为所有目的通过引用并入。
如图3所示的同轴微波源126的剖面图显示导体(例如,天线302),以大约2.45GHz的频率辐射微波。径向线表示电场304,而圆形表示磁场306。微波经由空气并经由介电管308传输,介电管308作为在具有大气压的波导(未显示)与真空腔室之间的界面。通过介电管308的微波激发在处理腔室之内的气体,用以形成在介电管308的表面外部的等离子体310。在线性微波产生器128附近所维持的这种波是一种表面波。在操作中,如图1A所示,在工艺(例如沉积工艺)期间,微波藉由将电磁能量转换成点燃处理容积之内的等离子体的等离子体能量,而沿着线性微波产生器128运行并经历高衰减(attenuation)。由等离子体所产生的原子团物种一般以层流方式使来自气体馈入线121的反应性前驱物分离,以在被基板承载器110所固定的基板102上形成薄膜,气体馈入线121被导向基板102(如以箭头124表示)并均匀地被分配彻底地横越过基板表面。在沉积期间,在腔室之内的压力由真空系统109所控制。
示范性的沉积工艺
图4提供工艺顺序400的流程图,该流程图可被使用以藉由使用创新的微波等离子体辅助CVD腔室而使含硅薄膜形成在基板上面,如以上结合图1A及图2A-2D所述的。应注意到,此配置、在工艺顺序400中的处理步骤的数目、以及处理步骤的次序并非旨在受限于这里所说明的本发明的范围,因为在不脱离于在此所说明的本发明的基本范围的情况下,可增加、删除和/或再重新排序一个或多个步骤。此外,虽然以下的说明参考氮化硅(SiN)而做出,但本领域技术人员应该明白还可考虑其它含硅层,例如硅、二氧化硅、碳化硅、碳氧化硅、氢化硅、氟化硅、掺硼或磷或砷的硅、掺硼或磷或砷的碳化硅、掺硼或磷或砷的氧化硅等。如以下将说明的化学与处理参数,可依据待沉积的层而相应地改变/调整。然而,所创新的工艺已被证明能够以一种分批处理循环(batch processing cycle)来处理单一基板或多个基板。
此工艺在步骤402开始,藉由将基板加载至微波辅助CVD腔室中,该微波辅助CVD腔室包括基板承载器110、气体馈入源120、以及同轴微波源126,基板承载器110、气体馈入源120、以及同轴微波源126以一种平行于基板承载器110的关系安置,例如以上相关于图1A及图2A-2D所述的各种实施例。基板可以是任何基板,在该基板上可形成含硅介电层。基板可以是导电或不导电的,且可以是刚性或挠性的。在某些实施例中,基板可以是掺杂或未掺杂的玻璃基板。基板的温度可藉由加热和/或冷却基板承载器而被控制在大约150°C与大约250°C之间,例如大约200°C。
在步骤404,微波藉由天线而产生在腔室中,且例如藉由如上所述使用脉冲功率或连续功率的微波源而被调制。
在步骤406,前驱物气体与载气被提供至腔室中。对于氮化硅层的沉积而言,这些前驱物气体可包括含硅前驱物与含氮前驱物,含硅前驱物包括但并未受限于硅甲烷(SiH4)、乙硅烷(Si2H6)、四氟化硅(SiF4)、四氯化硅(SiCl4)、二氯硅烷(SiH2Cl2)、以及上述化合物的组合,而含氮前驱物包括但并未受限于氮气(N2)、氨(NH3)、联氨(N2H4)、或上述化合物的混合物。载气可包括氩气(Ar)、氢气(H2)、氦气(He)、上述化合物的衍生物、或上述化合物的组合。前驱物气体可流经单独的气体馈入线,用以避免前驱物气体在到达基板之前过早地反应。或者,反应性前驱物可被混合以流经相同的气体馈入线。
气体至腔室的流动速率取决于被处理的基板的尺寸。在测量730mm乘以920mm的基板被处理以沉积具有SiH4与NH3的SiN层的情况下,可以一流动速率提供SiH4气体的气体流量,此流动速率在大约150sccm/L与大约3000sccm/L之间,例如在大约250sccm/L与大约1500sccm/L之间,譬如在大约300sccm/L与大约900sccm/L之间。提供NH3气体的气体流量的流动速率可在大约1200sccm/L与大约5000sccm/L之间,例如在大约2000sccm/L与大约4000sccm/L之间,譬如大约3000sccm/L。提供Ar气体的气体流量的流动速率可在大约450sccm/L与大约5000sccm/L之间,例如在大约500sccm/L与大约3500sccm/L之间,譬如大约2500sccm/L。相信较高的Ar流量会改善微波等离子体的均匀性,藉以改善薄膜厚度。SiH4与NH3的气体流量比(SiH4:NH3)可在大约1:2与大约1:6之间,譬如大约1:3。SiH4与Ar的气体流量比(SiH4:Ar)在大约1:1与大约1:20之间,譬如在大约1:5与大约1:10之间。NH3与Ar的气体流量比(NH3:Ar)可在大约1:1与大约1:10之间,譬如在大约1:2与大约1:5之间。SiN层可在大约50毫托(mTorr)至大约250mTorr(譬如大约100mTorr)的腔室压力下被沉积。
在步骤408,藉由在范围从大约1GHz至大约10GHz的频率(譬如在2.45GHz)下的微波而由前驱物气体形成等离子体。当功率需求并不是关键时,可使用5.8GHz的较高频率。使用较高频率源的益处为较高频率具有2.45GHz的较低频率源的较小尺寸(大约一半尺寸)。大约500毫瓦特/cm2至大约5000毫瓦特/cm2(譬如大约1500毫瓦特/cm2至大约3000毫瓦特/cm2)的微波功率,经由天线被提供至腔室中以在步骤404产生电磁能量,用以在步骤408激发前驱物气体。相信较高微波射频(RF)功率,会提高沿着微波源126的等离子体均匀性。在大部分的实施例中,上述的状况导致一种具有超过1012离子/cm3的离子密度的高密度等离子体。虽然在某些实例中,沉积特征可受到将电气偏压施加给基板所影响,以导致等离子体的离子物种被吸引至基板,但在本发明的某些实施例中,无法在沉积期间要求电气偏压以使对基板的表面的等离子体损害最小化。考虑到在腔室之内的环境可藉由譬如控制腔室之内的压力、控制前驱物气体的流动速率和/或流量比率、或者控制在产生等离子体中使用的间距和/或功率而被调整。
在步骤410,SiN层沉积在基板上。依据薄膜特性与腔室条件,依期望可重复很多次如在步骤404至410所说明的工艺,直到获得期望的薄膜厚度为止。在使用四个线性微波产生器与十条气体馈入线的一个实施例中,上述所定义的处理条件允许SiN层以超过的高沉积速率被沉积具有少于14%的厚度非均匀性,如由示出图表的图6与图7所证明的,该图表显示沉积速率分别为X-轴距离与Y-轴距离(从基板的边缘起)的函数。
在步骤412,在完成沉积之后,消除等离子体并将基板运送离开处理腔室。
当相较于使用在13.56MHz下耦接的等离子体源的射频(RF)的常规的PECVD工艺时,因为气体馈入源与同轴微波源的改良配置的结果,依据本发明的微波等离子体辅助CVD腔室提供较高的等离子体密度与较高的沉积速率。藉由使用具有微波等离子体源的创新设备,形成了狭小等离子体保护套,且藉由等离子体可吸收更多功率以供产生原子团物种与离子物种,这藉由降低离子能量分布的碰撞扩大,因而增加具有狭小能量分布的等离子体密度。因此,因为以具有狭小能量分布的较低离子能量增加等离子体密度的结果,可达成一种较低沉积温度(例如,低于200°C,例如在130°C)。在SiN薄膜特性方面,在不同沉积温度下的常规PECVD工艺之间与创新的微波CVD工艺的比较在以下的表I中显示。如可看到的,低温微波CVD工艺提供比在大约280°C或130°C下被执行的常规PECVD工艺更高的沉积速率,其中低温微波CVD工艺具有类似或更好的薄膜特性。具有较低热预算的微波CVD工艺允许在动力受限的条件下更好的微晶生长,且因此适合于例如LCD工艺、OLED显示器工艺或挠性显示器工艺等的低温沉积工艺。
表I
此外,藉由使用具有微波等离子体源的创新设备,基板的温度可在工艺期间被有效加热并维持在期望温度。已证明基板可在暴露至微波等离子体1分钟之后被加热至大约176°C。以下表II显示关于氮化硅层的工艺参数与薄膜特性,该氮化硅层利用在大约130mTorr的腔室压力以及大约2.45GHz的频率下使用微波等离子体源的创新设备而沉积。如可看见的,当承载器设定温度从40°C增加至150°C时,例如RI(反射率)的薄膜特性未大幅地改变,可知承载器设定温度并不影响薄膜质量。因此,因为可剔除昂贵的加热元件(这些加热元件在典型的等离子体增强化学气相沉积工艺中,将以其它方式需要,用以加热并维持基板在期望温度),所以创新的微波辅助CVD工艺的使用允许较低的制造成本以及在承载器上的较低维修工作。
表II
再者,本案发明人惊讶地发现在多个间隔物(高大约1英寸)被排列在基板承载器110的表面上以实质上支撑基板102并使基板102维持平坦而不会碰触基板承载器110的某些实施例中,当利用使用微波等离子体源的创新设备执行沉积(如以上结合图1与图2所述的)时,氮化硅膜的厚度非均匀性被减少至小于8.1%。图5为图表,该图表显示沉积速率为在同轴微波源与基板之间的间距的函数,包括关于氮化硅薄膜的薄膜均匀性的比较,其中该基板以大约的实质上类似的沉积速率与基板承载器接触并且该基板与基板承载器隔开。
虽然上述内容涉及本发明的实施例,但是可设计其他以及进一步的实施例,而在不脱离本发明的基本范围,并且,本发明的范围由后附的权利要求界定。
Claims (20)
1.一种使含硅层沉积在基板上的方法,所述方法包括:
将基板加载至处理腔室的处理容积中,所述处理腔室具有配置在所述处理容积中的微波源及气体馈入源;
使处理气体从所述气体馈入源流入所述处理容积中;
藉由将微波功率施加至耦接至所述微波源的天线而在所述处理容积中从处理气体产生等离子体;以及
藉由使用在大约1GHz至大约10GHz的频率下的大约500毫瓦特/cm2至大约5000毫瓦特/cm2的微波功率,使含硅层在所述等离子体存在时沉积在所述基板上,其中所述基板在所述沉积期间维持在低于大约200摄氏度的温度。
2.如权利要求1所述的方法,其中使所述含硅层沉积在所述基板上包括:在大约50mTorr至大约250mTorr的腔室压力下沉积所述含硅层。
3.如权利要求1所述的方法,其中所述处理气体包括选自于由硅甲烷(SiH4)、乙硅烷(Si2H6)、四氟化硅(SiF4)、四氯化硅(SiCl4)、二氯硅烷(SiH2Cl2)与上述化合物的组合所组成的群组的含硅前驱物,以及选自于由氮气(N2)、氨(NH3)、联氨(N2H4)与上述化合物的混合物所组成的群组的含氮前驱物。
4.如权利要求3所述的方法,其中所述处理气体更包括选自于由氩气(Ar)、氢气(H2)、氦气(He)、上述化合物的衍生物、以及上述化合物的组合所组成的群组的载气。
5.如权利要求4所述的方法,其中使处理气体流入所述气体馈入源中包括:以在大约1:5与大约1:10之间的SiH4与Ar(SiH4:Ar)的气体流量比提供SiH4与Ar。
6.如权利要求3所述的方法,其中使处理气体流入所述气体馈入源中包括:以在大约1:1与大约1:10之间的SiH4与NH3(SiH4:NH3)的气体流量比提供SiH4与NH3。
7.如权利要求4所述的方法,其中使处理气体流入所述气体馈入源中包括:以在大约1:2与大约1:5之间的NH3与Ar(NH3:Ar)的气体流量比提供NH3与Ar。
8.如权利要求1所述的方法,其中所述微波源包括一个或多个线性微波产生器,所述一个或多个线性微波产生器平行且纵向地彼此隔开一段大约180mm与大约350mm之间的距离。
9.如权利要求8所述的方法,其中所述气体馈入源包括气体馈入线的阵列,所述气体馈入线的阵列平行且纵向地彼此隔开一段大约50mm与大约200mm之间的距离。
10.如权利要求9所述的方法,其中所述气体馈入源配置在所述微波源与所述基板之间,且所述一个或多个线性微波产生器以与所述气体馈入线平行的关系被排列。
11.一种用以使含硅层沉积在基板上的微波等离子体辅助化学气相沉积工艺,所述工艺包括:
将基板加载至处理腔室中,所述处理腔室包括以一种平行于基板承载器的关系被安置的微波源及气体馈入源,所述微波源具有以一种彼此平行共面的关系被排列的一个或多个线性微波产生器,且所述气体馈入源具有配置在所述处理腔室的处理容积之内且以一种彼此平行共面的关系被排列的气体馈入线的阵列;
使前驱物气体从所述气体馈入源流入所述处理容积中;
藉由将微波功率调整成所述微波源而从所述前驱物气体中产生等离子体;
从所述气体馈入源朝向实质上放置在所述基板承载器上的所述基板的整体表面均匀地分配所述前驱物气体;以及
藉由使用在大约1GHz至大约10GHz的频率下的大约500毫瓦特/cm2至大约5000毫瓦特/cm2的微波功率,使含硅层在所述等离子体存在时沉积在所述基板上,其中所述基板在所述沉积期间维持在低于大约200摄氏度的温度。
12.如权利要求11所述的工艺,其中所述含硅层包括一种选自于由硅、氮化硅、二氧化硅、碳化硅、碳氧化硅、氢化硅、氟化硅、掺硼或磷或砷的硅、掺硼或磷或砷的碳化硅、以及掺硼或磷或砷的氧化硅所组成的群组的材料。
13.如权利要求11所述的工艺,其中使含硅层沉积在所述基板上包括:在大约50mTorr至大约250mTorr的腔室压力下沉积所述含硅层。
14.如权利要求13所述的工艺,其中使前驱物气体流入所述气体馈入源中包括:以在大约1:5与大约1:10之间的含硅前驱物与载气的气体流量比使所述含硅前驱物与所述载气流动。
15.如权利要求13所述的工艺,其中使前驱物气体流入所述气体馈入源中包括:以在大约1:1与大约1:10之间的含硅前驱物与含氮前驱物的气体流量比使所述含硅前驱物与所述含氮前驱物流动。
16.如权利要求11所述的工艺,其中所述一个或多个线性微波产生器纵向地彼此隔开一段大约180mm与大约350mm之间的距离,且其中所述气体馈入线的阵列纵向地彼此隔开一段大约50mm与大约200mm之间的距离。
17.如权利要求11所述的工艺,其中所述气体馈入源配置在所述微波源与所述基板之间。
18.一种在处理腔室中的基板的处理方法,所述方法包括:
将微波功率施加给天线,所述天线耦接至配置在所述处理腔室之内的微波源,其中所述微波源被相对地配置在气体馈入源之上,所述气体馈入源被设置成用以提供实质上覆盖所述基板的整体表面的气体分布覆盖范围;以及
使所述基板暴露至由所述气体馈入源所提供的处理气体中所产生的微波等离子体,用以在低于大约200摄氏度的温度下使含硅层沉积在所述基板上。
19.如权利要求18所述的方法,其中所述微波等离子体使用在大约1GHz至大约10GHz的频率下的大约500毫瓦特/cm2至大约5000毫瓦特/cm2的微波功率。
20.如权利要求18所述的方法,其中所述处理气体包括含硅前驱物与载气,且所述含硅前驱物与所述载气以在大约1:5与大约1:10之间的所述含硅前驱物与所述载气的气体流量比被导入至所述气体馈入源中。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201061428837P | 2010-12-30 | 2010-12-30 | |
US61/428,837 | 2010-12-30 | ||
PCT/US2011/066124 WO2012092020A2 (en) | 2010-12-30 | 2011-12-20 | Thin film deposition using microwave plasma |
Publications (2)
Publication Number | Publication Date |
---|---|
CN103270578A true CN103270578A (zh) | 2013-08-28 |
CN103270578B CN103270578B (zh) | 2016-10-26 |
Family
ID=46380994
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201180062017.4A Expired - Fee Related CN103270578B (zh) | 2010-12-30 | 2011-12-20 | 使用微波等离子体的薄膜沉积 |
Country Status (6)
Country | Link |
---|---|
US (1) | US8883269B2 (zh) |
JP (1) | JP6104817B2 (zh) |
KR (1) | KR101563541B1 (zh) |
CN (1) | CN103270578B (zh) |
TW (1) | TWI553146B (zh) |
WO (1) | WO2012092020A2 (zh) |
Cited By (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN103935127A (zh) * | 2014-04-24 | 2014-07-23 | 珠海纳思达企业管理有限公司 | 液体喷头制造方法、液体喷头和打印装置 |
CN104328493A (zh) * | 2014-10-30 | 2015-02-04 | 上海科慧太阳能技术有限公司 | 一种多晶硅薄膜的制备方法 |
CN113169022A (zh) * | 2018-11-30 | 2021-07-23 | 应用材料公司 | 在图案化和未图案化的基板上的沉积膜的顺序沉积和高频等离子体处理 |
CN114686803A (zh) * | 2022-03-22 | 2022-07-01 | 武汉理工大学 | 一种微波等离子体化学气相沉积制备的三元氮化物涂层及其方法 |
CN115491655A (zh) * | 2022-10-05 | 2022-12-20 | 江苏筑磊电子科技有限公司 | 一种半导体技术中用于低温清洁和沉积的微波等离子辅助方法 |
CN113169022B (zh) * | 2018-11-30 | 2024-05-14 | 应用材料公司 | 在图案化和未图案化的基板上的沉积膜的顺序沉积和高频等离子体处理 |
Families Citing this family (341)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
FR2936970B1 (fr) * | 2008-10-09 | 2010-12-24 | Saint Gobain Ct Recherches | Grains fondus abrasifs |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9048518B2 (en) * | 2011-06-21 | 2015-06-02 | Applied Materials, Inc. | Transmission line RF applicator for plasma chamber |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
DE102012017453A1 (de) | 2012-09-04 | 2014-03-06 | Manz Ag | Plasmabehandlungseinrichtung und Verfahren zur Behandlung zumindest eines Substrats |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
WO2014133722A1 (en) * | 2013-03-01 | 2014-09-04 | Applied Materials, Inc. | Metal oxide tft stability improvement |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9245809B2 (en) | 2013-03-12 | 2016-01-26 | Applied Materials, Inc. | Pin hole evaluation method of dielectric films for metal oxide semiconductor TFT |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US9447498B2 (en) * | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
JP6494411B2 (ja) * | 2014-06-24 | 2019-04-03 | 東京エレクトロン株式会社 | 成膜方法および成膜装置 |
TWI519668B (zh) | 2014-07-17 | 2016-02-01 | 國立清華大學 | 具有結晶矽薄膜之基板及其製備方法 |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
DE112015005635T5 (de) * | 2014-12-17 | 2017-09-07 | Ii-Vi Incorporated | Vorrichtung und Verfahren zur Herstellung eines freistehenden polykristallinen CVD-Diamantfilms |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
JP6523071B2 (ja) * | 2015-06-19 | 2019-05-29 | 東京エレクトロン株式会社 | プラズマを用いた成膜方法 |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US10381226B2 (en) | 2016-07-27 | 2019-08-13 | Asm Ip Holding B.V. | Method of processing substrate |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
KR20180070971A (ko) | 2016-12-19 | 2018-06-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10312475B2 (en) | 2017-05-15 | 2019-06-04 | Applied Materials, Inc. | CVD thin film stress control method for display application |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
KR102597978B1 (ko) | 2017-11-27 | 2023-11-06 | 에이에스엠 아이피 홀딩 비.브이. | 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치 |
CN111344522B (zh) | 2017-11-27 | 2022-04-12 | 阿斯莫Ip控股公司 | 包括洁净迷你环境的装置 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
TW202325889A (zh) | 2018-01-19 | 2023-07-01 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
EP3737779A1 (en) | 2018-02-14 | 2020-11-18 | ASM IP Holding B.V. | A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
TWI826925B (zh) | 2018-03-01 | 2023-12-21 | 美商應用材料股份有限公司 | 電漿源組件和氣體分配組件 |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TW202344708A (zh) | 2018-05-08 | 2023-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
TWI816783B (zh) | 2018-05-11 | 2023-10-01 | 荷蘭商Asm 智慧財產控股公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US11492703B2 (en) | 2018-06-27 | 2022-11-08 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
KR20200002519A (ko) | 2018-06-29 | 2020-01-08 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR20200030162A (ko) | 2018-09-11 | 2020-03-20 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344A (zh) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
SG11202105295TA (en) * | 2018-12-13 | 2021-06-29 | Applied Materials Inc | Methods for depositing phosphorus-doped silicon nitride films |
JP2020096183A (ja) | 2018-12-14 | 2020-06-18 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
WO2020142307A1 (en) * | 2019-01-02 | 2020-07-09 | Applied Materials, Inc. | Methods for forming films containing silicon boron with low leakage current |
US10748759B2 (en) * | 2019-01-15 | 2020-08-18 | Applied Materials, Inc. | Methods for improved silicon nitride passivation films |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
TW202104632A (zh) | 2019-02-20 | 2021-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
TW202100794A (zh) | 2019-02-22 | 2021-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP2021015791A (ja) | 2019-07-09 | 2021-02-12 | エーエスエム アイピー ホールディング ビー.ブイ. | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
CN112242296A (zh) | 2019-07-19 | 2021-01-19 | Asm Ip私人控股有限公司 | 形成拓扑受控的无定形碳聚合物膜的方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TW202129060A (zh) | 2019-10-08 | 2021-08-01 | 荷蘭商Asm Ip控股公司 | 基板處理裝置、及基板處理方法 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
KR20210045930A (ko) | 2019-10-16 | 2021-04-27 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 산화물의 토폴로지-선택적 막의 형성 방법 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
JP2023500375A (ja) * | 2019-11-08 | 2023-01-05 | アプライド マテリアルズ インコーポレイテッド | 材料の表面粗さを減少させる方法 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP2021090042A (ja) | 2019-12-02 | 2021-06-10 | エーエスエム アイピー ホールディング ビー.ブイ. | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
FI129609B (en) | 2020-01-10 | 2022-05-31 | Picosun Oy | SUBSTRATE PROCESSING EQUIPMENT |
KR20210095050A (ko) | 2020-01-20 | 2021-07-30 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
US11898243B2 (en) | 2020-04-24 | 2024-02-13 | Asm Ip Holding B.V. | Method of forming vanadium nitride-containing layer |
KR20210132605A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
KR20220060069A (ko) * | 2020-11-03 | 2022-05-11 | 삼성디스플레이 주식회사 | 표시 장치 및 그 제조 방법 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20060099799A1 (en) * | 2004-11-09 | 2006-05-11 | Tokyo Electron Limited | Plasma processing method and film forming method |
US20060228891A1 (en) * | 2002-11-12 | 2006-10-12 | Blalock Guy T | Method of exposing a substrate to a surface microwave plasma, etching method, deposition method, surface microwave plasma generating apparatus, semiconductor substrate etching apparatus, semiconductor substrate deposition apparatus, and microwave plasma generating antenna assembly |
CN100530530C (zh) * | 2006-01-18 | 2009-08-19 | 东京毅力科创株式会社 | 等离子体处理装置和等离子体处理方法 |
Family Cites Families (20)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
FR2614317B1 (fr) * | 1987-04-22 | 1989-07-13 | Air Liquide | Procede de protection de substrat polymerique par depot par plasma de composes du type oxynitrure de silicium et dispositif pour sa mise en oeuvre. |
JPH07211488A (ja) * | 1994-01-24 | 1995-08-11 | Matsushita Electric Ind Co Ltd | プラズマ処理装置及び処理方法 |
US6800571B2 (en) * | 1998-09-29 | 2004-10-05 | Applied Materials Inc. | CVD plasma assisted low dielectric constant films |
JP4017796B2 (ja) * | 1999-10-26 | 2007-12-05 | 株式会社アルバック | プラズマ処理装置 |
US6348420B1 (en) | 1999-12-23 | 2002-02-19 | Asm America, Inc. | Situ dielectric stacks |
EP1912253A3 (en) * | 2000-03-13 | 2009-12-30 | OHMI, Tadahiro | Method of forming a dielectric film |
JP2005033221A (ja) * | 2001-02-08 | 2005-02-03 | Tokyo Electron Ltd | 基板載置台および処理装置 |
US6955177B1 (en) * | 2001-12-07 | 2005-10-18 | Novellus Systems, Inc. | Methods for post polysilicon etch photoresist and polymer removal with minimal gate oxide loss |
US20030194496A1 (en) * | 2002-04-11 | 2003-10-16 | Applied Materials, Inc. | Methods for depositing dielectric material |
US7025895B2 (en) * | 2002-08-15 | 2006-04-11 | Hitachi High-Technologies Corporation | Plasma processing apparatus and method |
JP2004336019A (ja) * | 2003-04-18 | 2004-11-25 | Advanced Lcd Technologies Development Center Co Ltd | 成膜方法、半導体素子の形成方法、半導体素子、表示装置の形成方法及び表示装置 |
US8536492B2 (en) * | 2003-10-27 | 2013-09-17 | Applied Materials, Inc. | Processing multilayer semiconductors with multiple heat sources |
JP4452061B2 (ja) * | 2003-11-14 | 2010-04-21 | 三井造船株式会社 | プラズマ発生装置用アンテナの整合方法及びプラズマ発生装置 |
JP4657630B2 (ja) * | 2004-05-25 | 2011-03-23 | 株式会社島津製作所 | 太陽電池、その製造方法および反射防止膜成膜装置 |
JP4777717B2 (ja) * | 2005-08-10 | 2011-09-21 | 東京エレクトロン株式会社 | 成膜方法、プラズマ処理装置および記録媒体 |
US20110076420A1 (en) * | 2008-01-30 | 2011-03-31 | Applied Materials, Inc. | High efficiency low energy microwave ion/electron source |
US20090238998A1 (en) * | 2008-03-18 | 2009-09-24 | Applied Materials, Inc. | Coaxial microwave assisted deposition and etch systems |
US8316797B2 (en) * | 2008-06-16 | 2012-11-27 | Board of Trustees of Michigan State University Fraunhofer USA | Microwave plasma reactors |
DE102008036766B4 (de) * | 2008-08-07 | 2013-08-01 | Alexander Gschwandtner | Vorrichtung und Verfahren zum Erzeugen dielektrischer Schichten im Mikrowellenplasma |
US8962454B2 (en) * | 2010-11-04 | 2015-02-24 | Tokyo Electron Limited | Method of depositing dielectric films using microwave plasma |
-
2011
- 2011-12-20 CN CN201180062017.4A patent/CN103270578B/zh not_active Expired - Fee Related
- 2011-12-20 US US13/331,021 patent/US8883269B2/en not_active Expired - Fee Related
- 2011-12-20 TW TW100147511A patent/TWI553146B/zh not_active IP Right Cessation
- 2011-12-20 WO PCT/US2011/066124 patent/WO2012092020A2/en active Application Filing
- 2011-12-20 KR KR1020137019348A patent/KR101563541B1/ko active IP Right Grant
- 2011-12-20 JP JP2013547542A patent/JP6104817B2/ja active Active
Patent Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20060228891A1 (en) * | 2002-11-12 | 2006-10-12 | Blalock Guy T | Method of exposing a substrate to a surface microwave plasma, etching method, deposition method, surface microwave plasma generating apparatus, semiconductor substrate etching apparatus, semiconductor substrate deposition apparatus, and microwave plasma generating antenna assembly |
US20060099799A1 (en) * | 2004-11-09 | 2006-05-11 | Tokyo Electron Limited | Plasma processing method and film forming method |
CN100530530C (zh) * | 2006-01-18 | 2009-08-19 | 东京毅力科创株式会社 | 等离子体处理装置和等离子体处理方法 |
Cited By (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN103935127A (zh) * | 2014-04-24 | 2014-07-23 | 珠海纳思达企业管理有限公司 | 液体喷头制造方法、液体喷头和打印装置 |
CN104328493A (zh) * | 2014-10-30 | 2015-02-04 | 上海科慧太阳能技术有限公司 | 一种多晶硅薄膜的制备方法 |
CN113169022A (zh) * | 2018-11-30 | 2021-07-23 | 应用材料公司 | 在图案化和未图案化的基板上的沉积膜的顺序沉积和高频等离子体处理 |
CN113169022B (zh) * | 2018-11-30 | 2024-05-14 | 应用材料公司 | 在图案化和未图案化的基板上的沉积膜的顺序沉积和高频等离子体处理 |
CN114686803A (zh) * | 2022-03-22 | 2022-07-01 | 武汉理工大学 | 一种微波等离子体化学气相沉积制备的三元氮化物涂层及其方法 |
CN115491655A (zh) * | 2022-10-05 | 2022-12-20 | 江苏筑磊电子科技有限公司 | 一种半导体技术中用于低温清洁和沉积的微波等离子辅助方法 |
Also Published As
Publication number | Publication date |
---|---|
KR20140018861A (ko) | 2014-02-13 |
TW201243094A (en) | 2012-11-01 |
US8883269B2 (en) | 2014-11-11 |
WO2012092020A3 (en) | 2012-10-26 |
US20120171391A1 (en) | 2012-07-05 |
KR101563541B1 (ko) | 2015-10-27 |
WO2012092020A2 (en) | 2012-07-05 |
JP2014505363A (ja) | 2014-02-27 |
TWI553146B (zh) | 2016-10-11 |
CN103270578B (zh) | 2016-10-26 |
JP6104817B2 (ja) | 2017-03-29 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN103270578A (zh) | 使用微波等离子体的薄膜沉积 | |
US8906813B2 (en) | SiOx process chemistry development using microwave plasma CVD | |
KR101938386B1 (ko) | 기판 상에 재료들을 증착하기 위한 장치 | |
US10930472B2 (en) | Methods for forming a metal silicide interconnection nanowire structure | |
US8381677B2 (en) | Prevention of film deposition on PECVD process chamber wall | |
KR101657341B1 (ko) | 성막 방법 | |
US20060160288A1 (en) | Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor | |
CN102376564A (zh) | 用于提高氮化硅批间均匀度的非晶硅陈化作用 | |
JP2008507130A (ja) | ケイ素−窒素−含有膜の低温プラズマ化学蒸着法 | |
WO2016111833A1 (en) | Direct deposition of nickel silicide nanowire | |
CN101310036A (zh) | 低温聚硅tft用的多层高质量栅介电层 | |
JP7029522B2 (ja) | 一体化されたエピタキシと予洗浄システム | |
US20130130513A1 (en) | Interlayer insulating layer forming method and semiconductor device | |
JP2019502262A (ja) | 非対称なチャンバ環境における均一なウエハ温度の実現 | |
EP1042532A1 (en) | Method for annealing an amorphous film using microwave energy | |
KR20180111548A (ko) | 오목부의 매립 방법 및 처리 장치 | |
WO2008079742A2 (en) | Prevention of film deposition on pecvd process chamber wall | |
EP2216804A1 (en) | Plasma processing apparatus | |
JP5105620B2 (ja) | 膜形成方法および膜形成装置 | |
JP2001003174A (ja) | 薄膜の形成方法及び誘導結合型プラズマcvd装置 | |
Flewitt et al. | Low-temperature deposition of hydrogenated amorphous silicon in an electron cyclotron resonance reactor for flexible displays | |
US20220165563A1 (en) | Method for providing doped silicon | |
TW202133251A (zh) | 蝕刻方法、基板處理裝置及基板處理系統 | |
TWI686500B (zh) | 矽化物奈米線之層疊與核殼形成 | |
TW201907518A (zh) | 半導體裝置之製造方法、基板處理裝置及記錄媒體 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant | ||
CF01 | Termination of patent right due to non-payment of annual fee | ||
CF01 | Termination of patent right due to non-payment of annual fee |
Granted publication date: 20161026 Termination date: 20191220 |