JP2013521651A - 浮遊ボディセル、浮遊ボディセルを含むデバイス、ならびに浮遊ボディセルの形成方法。 - Google Patents

浮遊ボディセル、浮遊ボディセルを含むデバイス、ならびに浮遊ボディセルの形成方法。 Download PDF

Info

Publication number
JP2013521651A
JP2013521651A JP2012556084A JP2012556084A JP2013521651A JP 2013521651 A JP2013521651 A JP 2013521651A JP 2012556084 A JP2012556084 A JP 2012556084A JP 2012556084 A JP2012556084 A JP 2012556084A JP 2013521651 A JP2013521651 A JP 2013521651A
Authority
JP
Japan
Prior art keywords
floating body
body cell
floating
gate
back gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
JP2012556084A
Other languages
English (en)
Inventor
ディー. タン,サン
ケー. ザフラク,ジョン
ジェングリン,ワーナー
Original Assignee
マイクロン テクノロジー, インク.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マイクロン テクノロジー, インク. filed Critical マイクロン テクノロジー, インク.
Publication of JP2013521651A publication Critical patent/JP2013521651A/ja
Ceased legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/20DRAM devices comprising floating-body transistors, e.g. floating-body cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7841Field effect transistors with field effect produced by an insulated gate with floating body, e.g. programmable transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • H10B12/056Making the transistor the transistor being a FinFET
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/36DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells the transistor being a FinFET

Abstract

バックゲート上に配置される浮遊ボディセルのアレイと、バックゲートから間隔の開いた浮遊ボディセルのソース領域およびドレイン領域と、を含む浮遊ボディセル構造。浮遊ボディセルは、ピラーの間に伸長するチャネル領域を有する大量の半導電性材料を各々含み、ピラーは、U形状トレンチなどの間隙によって分離されうる。アレイの浮遊ボディセルは、別のゲートに電気的に結合され、別のゲートは、大量の半導電性材料の側壁上に配置されてもよいし、大量の半導電性材料の間隙内に配置されてもよい。浮遊ボディセルデバイスを形成する方法も開示される。

Description

本発明の実施形態は、メモリ密度を増加させるための方法、構造およびデバイスに関し、より詳細には、マルチゲート浮遊ボディセル構造を含むデバイス、その構造を含むデバイス、およびそのデバイスを形成する方法に関する。
トランジスタおよびキャパシタを含むダイナミックランダムアクセスメモリ(DRAM)セルは、微小なセル寸法および高い動作速度を有する。しかしながら、キャパシタの集積化および規模拡張は、DRAMセル面積の減少を妨げる。各DRAMメモリ世代に対して、一定の容量値が標的とされ、さらなるプロセスステップの誘因となり、従来の相補型金属酸化物半導体(CMOS)構造との互換性を減少させる、複雑な積層もしくはディープトレンチキャパシタが必要とされる。
規模拡張問題を解決するために、従来のストレージキャパシタが、シリコンオンインシュレータ(SOI)金属酸化物半導体電界効果トランジスタ(MOSFET)の薄膜ボディによって置換される、別の解決法が提案されてきた。このような構造のメモリのストレージメカニズムは、浮遊ボディにおける多数キャリアの過多(蓄積)もしくは欠乏(喪失)によって生成される閾値電圧シフトに基づく。セルは、SOIトランジスタのチャネル下に電荷を格納するために、浮遊ボディ効果を利用し、それによって、ストレージ素子としてトランジスタの閾値電圧を変化させる。多数電荷キャリアの濃度を即座に調節するためのボディの接触は存在しないため、比較的“長い”期間の後、平衡状態が達成され、それによって、SOIメモリは保持およびリフレッシュ時間の観点から魅力的なものになる。
浮遊ボディセル寸法がより小さくなるにつれて、浮遊ボディの体積は減少し、ソースおよびドレインの間の面積はより近くなり、浮遊ボディに格納される電荷はより少なくなり、結果として、ショックレー読みとりホール(SRH)組み換えによって引き起こされる順方向バイアス効果によって押し出されることによる電荷損失をもたらす、このような電荷損失は、セルにおける電荷保持を減少させるか、または損失する結果となりうる。従来の構造においてこの現象を回避するために、セル寸法がより小さくなるにつれて、SOI基板の厚さが減少する。しかしながら、SOI基板の厚さが減少するにつれて、浮遊ボディに蓄積される電荷量は減少し、セルは、動作の間にノイズの影響をさらに受けやすくなる可能性がある。すなわち、浮遊ボディ効果が減少し、デバイスの動作マージンが減少する。
浮遊ボディトランジスタにおける密度および信頼性を増加させるための方法、構造ならびにデバイスの必要性が存在する。
本開示の実施形態に従う浮遊ボディセルデバイスの一部の透視図を示す。 本開示の実施形態に従う浮遊ボディセルデバイスの一部の透視図を示す。 本開示の実施形態に従う浮遊ボディセルデバイスの一部の透視図を示す。 図1−図3に示された本開示の実施形態に従う、種々の作製段階の間の、浮遊ボディセル構造の一部の断面図、透視図ならびに平面図を示す。 図1−図3に示された本開示の実施形態に従う、種々の作製段階の間の、浮遊ボディセル構造の一部の断面図、透視図ならびに平面図を示す。 図1−図3に示された本開示の実施形態に従う、種々の作製段階の間の、浮遊ボディセル構造の一部の断面図、透視図ならびに平面図を示す。 図1−図3に示された本開示の実施形態に従う、種々の作製段階の間の、浮遊ボディセル構造の一部の断面図、透視図ならびに平面図を示す。 図1−図3に示された本開示の実施形態に従う、種々の作製段階の間の、浮遊ボディセル構造の一部の断面図、透視図ならびに平面図を示す。 図1−図3に示された本開示の実施形態に従う、種々の作製段階の間の、浮遊ボディセル構造の一部の断面図、透視図ならびに平面図を示す。 図1−図3に示された本開示の実施形態に従う、種々の作製段階の間の、浮遊ボディセル構造の一部の断面図、透視図ならびに平面図を示す。 図1−図3に示された本開示の実施形態に従う、種々の作製段階の間の、浮遊ボディセル構造の一部の断面図、透視図ならびに平面図を示す。 図1−図3に示された本開示の実施形態に従う、種々の作製段階の間の、浮遊ボディセル構造の一部の断面図、透視図ならびに平面図を示す。 図1−図3に示された本開示の実施形態に従う、種々の作製段階の間の、浮遊ボディセル構造の一部の断面図、透視図ならびに平面図を示す。 図1−図3に示された本開示の実施形態に従う、種々の作製段階の間の、浮遊ボディセル構造の一部の断面図、透視図ならびに平面図を示す。 本開示の別の実施形態に従う浮遊ボディセルデバイスの一部の透視図を示す。 図15に示された本開示の実施形態に従う、種々の作製段階の間の、浮遊ボディセル構造の一部の断面図および透視図を示す。 図15に示された本開示の実施形態に従う、種々の作製段階の間の、浮遊ボディセル構造の一部の断面図および透視図を示す。 図15に示された本開示の実施形態に従う、種々の作製段階の間の、浮遊ボディセル構造の一部の断面図および透視図を示す。 図15に示された本開示の実施形態に従う、種々の作製段階の間の、浮遊ボディセル構造の一部の断面図および透視図を示す。 本開示の実施形態に従う浮遊ボディセルデバイスの一部を各々表す、電気回路図を示す。 本開示の実施形態に従う浮遊ボディセルデバイスの一部を各々表す、電気回路図を示す。 本開示の実施形態に従う浮遊ボディセルデバイスの一部を各々表す、電気回路図を示す。 本明細書で記述された一つ以上の実施形態に従って実施される、システムの簡略化されたブロック図を示す。
マルチゲート浮遊ボディセル構造、その構造を含むデバイスならびにその構造を形成するための方法が開示される。その構造は、例えば、バックゲート上に配置された少なくとも一つの浮遊ボディセルと、少なくとも一つの浮遊ボディセルと関連付けられた別のゲートと、を含む。別のゲートは、少なくとも一つの浮遊ボディ内に配置されてもよいし、その側壁上に配置されてもよい。構造およびデバイスは、ダイナミックランダムアクセスメモリ(DRAM)、ゼロキャパシタランダムアクセスメモリ(Z−RAM)および埋め込みダイナミックランダムアクセスメモリ(eDRAM)など、数多くの半導体デバイスで使用される可能性がある。構造およびデバイスは、中央処理装置(CPUs)、システムオンチップ(SOC)、センサ、イメージャ、マイクロエレクトロメカニカルシステム(MEMS)およびナノエレクトロメカニカルシステム(NEMS)などのシステムでさらに使用されてもよい。その構造を形成する方法は、半導電性材料、誘電体材料、ゲート材料およびウェーハを被覆する非晶質シリコン材料を含むベースを形成するステップと、ベース材料表面から突出する複数の浮遊ボディを形成するために、半導電性材料の一部を除去するステップと、複数の浮遊ボディの各々に間隙を形成するために半導電性材料の別の部分を除去するステップと、複数の浮遊ボディの各々のより上の領域に、ソース領域およびドレイン領域を形成するために、複数の浮遊ボディを少なくとも一つのドーパントに暴露するステップと、複数の浮遊ボディのうちの少なくとも一つに関連付けられたゲートを形成するステップと、を含む。
本開示の種々の実施形態に従って形成される構造は、複数の浮遊ボディセルを含み、複数の浮遊ボディセルの各々は、バックゲート上に配置され、別のゲートと関連付けられる。複数の浮遊ボディセルの各々は、大量の半導電性材料によって、バックゲートから間隔の空いたソース領域およびドレイン領域と、バックゲートによって結合されたチャネルと、を含みうる。バックゲート電極と、ソース領域およびドレイン領域の各々との間の大量の半導電性材料は、浮遊ボディセル内の電荷ストレージを実質的に増加させ、信号の変動を最小限化する。さらには、バックゲートは、デバイスにおけるキャパシタとして機能しうる。したがって、デバイスに必要とされる面積を減少させることによって、より長い保持期間およびメモリ密度の増加を提供する。バックゲートは、ローカルバックゲートとして形成されてもよく、その各々は、独立してバイアスされてもよいし、グローバルバックゲートとして形成されてもよい。例えば、ローカルバックゲートは、プログラミングおよびセル動作の目的で望ましい。構成されるように、電荷は、バックゲート近傍の浮遊ボディセルの底面に格納され、したがって、ソース領域およびドレイン領域からは、分離される。したがって、動作間の電荷損失は最小限化され、より長い保持期間、信頼性の改善、外乱の減少を提供する。
本開示の種々の実施形態に従って形成される構造およびデバイスは、相補型金属酸化物半導体(CMOS)デバイスなどの種々のメモリデバイスと積層されてもよい。本開示の種々の実施形態に従って形成される構造およびデバイスの統合は、セル寸法を減少させ、かつ、キャッシュメモリ密度を増加させる可能性がある。
以下の記述は、本開示の実施形態の完全な記述と、その実施を提供するために、材料の種類および処理条件などの具体的な詳細事項を提供する。しかしながら、本開示の実施形態は、これらの具体的な詳細事項を使用することなく、従来の作製技術と併せて実践されてもよいことを当業者には理解されたい。さらには、本明細書で提供される記述は、浮遊ボディセル構造を含む半導体デバイスを製造するための完全なプロセスフローを形成しない。本発明の実施形態を理解するために必要なプロセス動作および構造のみが、本明細書では詳細に記述される。本発明の一実施形態に従う浮遊ボディセル構造を含む完全な半導体デバイスを形成するために、さらなる動作が従来技術によって実施されてもよい。
本明細書で記述される材料は、スピンコーティング、ブランケットコーティング、化学蒸着(“CVD”)、プラズマ化学蒸着(“PECVD”)、原子層堆積(“ALD”)、プラズマALDもしくは物理蒸着(“PVD”)を含む任意の適切な技術によって形成される可能性があるが、そのいずれにも限定はされない。あるいは、材料は、in situで成長してもよい。特定の材料を堆積するもしくは成長させるための適切な技術は、当業者によって選択されてもよい。本明細書で記述され、示される材料は、層として形成される可能性があるが、その材料は、それに限定されることはなく、他の三次元構造で形成されてもよい。
以下の詳細な記述においては、本明細書の一部を形成する添付の図面に対して参照がなされ、それらの図面においては、例示の目的で、本発明が実施される可能性がある具体的な実施形態が示される。これらの実施形態は、当業者が本発明を実践できるのに十分なほど、詳細に記述される。しかしながら、他の実施形態が使用されてもよいし、構造的、論理的電気的な変更が、本発明の範囲から逸脱することなくなされてもよい。本明細書で提示される図面は、任意の具体的なシステム、論理デバイス、メモリセルもしくは浮遊ボディセル構造の実際の外観を意味するものではなく、本発明の実施形態を記述するために使用される単なる理想的な表現でしかない。本明細書で提示される図面は、必ずしも正確な縮尺で描かれているわけではない。さらには、図面間で共通の構成要素は、同一の数表示を保持する可能性がある。
図1−図3は、浮遊ボディセル104のアレイを含む浮遊ボディセル構造100、101および103の実施形態を示す透視図であり、浮遊ボディセルを形成する詳細は、以下に詳細に記述される。幾つかの実施形態においては、浮遊ボディセル構造100は、垂直マルチゲート浮遊ボディセルデバイスを形成するために使用されてもよい。アレイにおける各浮遊ボディセル104は、大量の半導電性材料102を含みうる。大量の半導体材料102は、u形状トレンチなど、間隙110として定義されるピラー108を含みうる。各大量の半導電性材料102のピラー108の上部は、ソース領域112およびドレイン領域114を形成するために、その残存部分とは異なるようにドープされうる。限定しない実施例として、ソース領域112およびドレイン領域114は、n型材料でドープされ、大量の半導電性材料102の残存部分は、p型材料でドープされてもよい。後に詳細に記述されるように、ソース領域112およびドレイン領域114は、其々、共通のソース線116などのアクセス線、およびビット線118などのデータ/センス線に電気的に結合されてもよい。限定しない実施例として、ソース領域112、ドレイン領域114、関連付けられる共通のソース線116もしくはビット線118のうちの少なくとも一つの間に、コンタクトプラグ119が配置されてもよい。コンタクトプラグ119は、図1においては、ドレイン領域114およびビット線118の間に配置されるものとして示されるが、コンタクトプラグ119は、さらに、もしくは、その代わりに、ソース領域112および共通のソース線116の間に配置されてもよい。
限定しない一実施例として、浮遊ボディセル104の各々は、第一の方向Xに伸長する複数の行および第二の方向Yに伸長する複数の列を含むアレイに、お互いに配列されてもよい。図1−図3に示される、浮遊ボディセル構造100、101および103は、2行および3列を各々含む。しかしながら、構成されるように、浮遊ボディセル構造100、101および103は、任意の数の行および列を含んでもよい。さらには、第一の方向Xに配列される浮遊ボディセル104の行は、第二の方向Yに配列される浮遊ボディセル104の列と実質的に直行してもよい。
浮遊ボディセル104は、例えば、誘電体材料126、導電性材料124および非晶質シリコン材料128を含みうる、バックゲート123上に配置されてもよい。記述を平易にするために、導電性材料124は、これ以降は、バックゲート電極124と称され、誘電体材料126は、これ以降は、バックゲート誘電体126と称される。浮遊ボディセル104は、バックゲート123に各々電気的に結合されてもよい。任意で、バックゲート電極124の各々は、金属127およびドープ領域125を含んでもよい。バックゲート電極124は、例えば、金属モード窒化チタン(MMTiN)、窒化タンタル材料、ケイ化タンタル材料などのチタンリッチ窒化チタン材料から形成されてもよい。バックゲート誘電体126および金属127は、浮遊ボディセル構造100、101および103におけるキャパシタとして機能しうる、金属絶縁体金属(MIM)構造を形成する。静電容量の増加は、金属127の仕事関数、バックゲート誘電体126の誘電率(k値)、バックゲート誘電体126および金属127の厚さのうちの少なくとも一つを最適化することによって得られる可能性がある。この方法で増加する静電容量は、浮遊ボディセル構造100、101および103における保持期間を増加させる可能性がある。
バックゲート電極124は、電気的に絶縁性の材料130上に配置される非晶質シリコン材料128を被覆してもよい。電気的に絶縁性の材料130は、例えば、(図示されていない)ウェーハ上に形成されてもよい。幾つかの実施形態においては、浮遊ボディセル104の各々のバックゲート123は、図1および図2に示されるように、連続的で、かつ浮遊ボディセル104の側壁に沿って配列される側壁を有する、ローカルバックゲートとして構成されてもよい。単一の浮遊ボディセル104は、バックゲート123上に配置されてもよいし、任意で、多数の浮遊ボディセル104がバックゲート123上に配置されてもよい。バックゲート123は、セルの動作間に独立してバイアスされうる、複数のローカルバックゲートとしてパターン化されてもよいし、グローバルバックゲートとしてパターン化されてもよい。例えば、図1に示されるように、バックゲート123は、行のうちの少なくとも一つに沿った第一の方向Xに伸長するローカルバックゲートであり、その行に配列される複数の浮遊ボディセル104はその上に配置されてもよい。他の実施形態においては、図2に示されるように、バックゲート123は、列のうちの少なくとも一つに沿った第二の方向Yに伸長するローカルバックゲートであり、その列に配列される複数の浮遊ボディセル104は、その上に配置されてもよい。限定しない一実施例として、バックゲート123の各々は、ビット線118のうちの被覆するものに対して、実質的に平行して配列されてもよい。さらなる実施形態においては、図3に示されるように、浮遊ボディセル構造100のバックゲート123は、第一の方向Xおよび第二の方向Yの双方に配列された複数の浮遊ボディセル104がその上に配置されうる、グローバルバックゲートであってもよい。
導電性素子132は、各浮遊ボディセル104の間隙110内に配置されてもよい。記述を平易にするために、導電性素子132は、これ以降は、埋め込みゲート電極132と称される。別の誘電体材料134は、埋め込みゲート電極132と、浮遊ボディセル104内の間隙110内に露出された半導電性材料との間に配置されてもよい。記述を平易にするため、誘電体材料134は、これ以降は、埋め込みゲート誘電体134と称される。埋め込みゲート誘電体134は、任意で、浮遊ボディセル104の各々のチャネル領域106と、ピラー108の上部におけるソース領域112およびドレイン領域114との間のそれぞれの界面下もしくは界面において終端しうる。埋め込みゲート電極132から発散する電磁界は、関連付けられる浮遊ボディセル104を介してチャネルを形成し、それによってソース領域112からドレイン領域114へと電流が流れることが可能になる。
浮遊ボディセル104の使用および動作間に、埋め込みゲート電極132、ソース領域112およびドレイン領域114の各々から分離された浮遊ボディセル104内の位置において、多数キャリアが格納される。結果として、電荷保持および信頼性は、従来の浮遊ボディセル構造と比較して高められる可能性がある。構成されるように、大量の半導電性材料102の厚さは、本明細書でより詳細に記述されるように、埋め込みゲート電極132、ソース領域112およびドレイン領域114から格納された電荷の位置からより遠い距離に変化しうる。さらに、構成されるように、大量の半導電性材料102は、従来の構造の浮遊ボディと比較して、より大きい記憶ボリュームを有するように形成されてもよい。これによって、浮遊ボディセル104内の電荷ストレージは増加し、したがって、電荷損失による信号の変動を最小限化する可能性がある。結果として、浮遊ボディセル104は、従来の構造と比較して、信号の増強、より長い保持、および信頼性の増加を提供する可能性がある。
幾つかの実施形態においては、浮遊ボディセル構造100、101および103は、マルチレベル半導体構造を形成するために、CMOSデバイスなどの(図示されていない)他のメモリ素子と重ねられるか、ならびに/または、統合されてもよい。浮遊ボディセル構造100、101および103は、中央処理装置(CPU)およびシステムオンチップ(SOC)などのシステムを形成するために、少なくとも一つのメモリデバイスに動作可能なように結合されてもよいし、浮遊ボディセル構造100、101および103の複数の層は、密度を増加させるためにお互いの上に垂直に積層されてもよい。
図4―図14を参照すると、図1−図3に示されたような複数の浮遊ボディセル104を含む浮遊ボディセル構造100、101および103を形成する方法が記述され、ここでは、類似する構成要素は、類似の参照番号によって示される。図4を参照すると、半導体構造140は、バックゲート誘電体126を被覆し、かつそれに接触する半導電性材料142、バックゲート接点124を被覆し、かつそれに接触するバックゲート誘電体126、非晶質シリコン材料128を被覆し、かつそれに接触するバックゲート電極124、および電気的に絶縁性の材料130を被覆し、かつそれに接触する非晶質シリコン材料128を含むものとして提供されうる。半導体構造140は、任意で、破線で示されるように、ドープ領域125および金属127を含んでもよい。幾つかの実施形態においては、電気的に絶縁性の材料130は、ハンドルウェーハ144上に形成されてもよい。本明細書で使用されるような、“ウェーハ”という語は、例えば、シリコン、ゲルマニウム、ヒ化ガリウム、リン化インジウム、および他のIII−VもしくはII−VI型半導電性材料を含む、半導体型材料を含むあらゆる構造を意味する。ウェーハは、例えば、従来のウェーハのみならず、限定しない実施例として、シリコンオンインシュレータ(SOI)型基板、シリコンオンサファイア(SOS)型基板、および別の材料で支持されるシリコンのエピタキシャル層など、他のバルク半導体基板をも含む。さらには、以下の記述において“ウェーハ“に対して言及がなされるとき、ウェーハ表面内もしくはウェーハ表面上の回路もしくはデバイスの、素子もしくはコンポーネントを少なくとも部分的に形成するために、それ以前のプロセスステップが使用されてもよい。幾つかの実施形態においては、電気的に絶縁性の材料130は、ハンドルウェーハ144内もしくはハンドルウェーハ144上に形成された、相補型金属酸化物半導体構造(CMOS)デバイスなどの(図示されていない)メモリ素子上に配置されてもよい。電気的に絶縁性の材料130は、例えば、埋め込み酸化物(BOX)材料を含んでもよい。
半導体構造140は、例えば、所謂SMART−CUT(登録商標)層転写技術の改変を使用する本明細書で記述されたプロセスによって、ハンドルウェーハ144を被覆する電気的に絶縁性の材料130に対して、非晶質シリコン材料128、バックゲート接点124、バックゲート誘電体126および半導電性材料142を転写することによって形成されてもよい。このプロセスは、例えば、Bruelによる米国特許整理番号RE39,484、Asparらによる米国特許整理番号6,303,468、Asparらによる米国特許整理番号6,335,258、Moriceauらによる米国特許整理番号6,756,286、Asparらによる米国特許整理番号6,809,044、Asparらによる米国特許整理番号6,946,365およびDupontによる米国特許出願整理番号2006/0099776に詳細に記述される。しかしながら、半導体基板140を製造するのに適切な他のプロセスもまた使用されてもよい。SMART−CUT層転写技術の従来の実施においては、ドナーウェーハおよびアクセプタウェーハは、高温アニーリングを使用して、接着される。ドナーウェーハおよびアクセプタウェーハを接着するために使用される温度は、約1000℃から約1300℃である。しかしながら、バックゲート接点123の存在によって、バックゲート接点123に対する熱的損傷を防止するために、低下した温度で半導体構造140を作製することが好都合である。したがって、本明細書で記述されるように、半導体構造140は、従来のSMART−CUT層転写技術によって必要とされる温度と比較して、実質的に低下した温度を使用して形成される可能性がある。
図5を参照すると、ワークピース146は、バックゲート誘電体126、バックゲート電極124および非晶質シリコン材料128を、半導電性材料142上に其々堆積することによって形成され、半導電性材料142は、例えば、ドナーウェーハの一部を含んでもよい。任意で、ワークピース146は、金属127およびドープ領域125を含んでもよい。ドープ領域125は、イオン注入プロセス、もしくは高温拡散プロセスなどの従来の方法を使用して形成され、約10nmから約50nmの間の厚さを有しうる。金属127は、もし存在する場合には、金属モード窒化チタン(MMTiN)、ケイ化チタン材料、窒化タンタル材料もしくはケイ化タングステン材料などの、窒化チタン材料を含みうる。金属127は、CVDプロセス、PVDプロセス、スパッタリングプロセス、もしくはプレーティングプロセスを使用して、ドープ領域125上に、ドープ領域125と接触するように形成され、約10nmから約30nmの間の厚さを有しうる。バックゲート誘電体126は、例えば、酸化物材料、高いkの誘電体材料もしくは窒化物材料を含み、CVDプロセスを使用して、もしくはテトラエチルオルトシリケート(TEOS)を分解することによって、半導電性材料142もしくは(存在する場合には)金属127上にそれらと接触するように形成されうる。限定しない一実施例として、バックゲート誘電体126は、約20Åから約70Åの厚さを有しうる。バックゲート電極124は、金属材料などの導電性材料を含みうる。導電性材料は、窒化チタン材料、ケイ化チタン材料、ケイ化タングステン材料もしくは窒化タンタル材料であり、CVDプロセス、PVDプロセス、スパッタリングプロセスもしくはプレーティングプロセスを使用して、バックゲート誘電体126上にバックゲート誘電体126と接触するように形成されうる。限定しない実施例として、バックゲート電極124は、約100Åから約600Åの厚さを有しうる。非晶質シリコン材料128は、例えば、PVDプロセス、もしくはCVDプロセスを利用して、バックゲート誘電体126上にバックゲート誘電体126と接触するように形成されうる。限定しない一実施例として、非晶質シリコン材料128は、約100Åから約400Åの厚さを有しうる。
原子種は、転写領域150を形成するために、半導電性材料142に注入されうる。原子種は、水素イオン、希ガス(不活性ガスもしくは貴ガスとも称される)のイオン、もしくはフッ素イオンでありうる。原子種は、注入区域152を形成するために、半導電性材料142へと注入され、注入区域152は、半導電性材料142内に破線で示される。原子種は、バックゲート誘電体126、バックゲート電極124および非晶質シリコン材料128のうちの一つもしくは全ての形成前に、または、バックゲート誘電体126、バックゲート電極124および非晶質シリコン材料128の形成後に、半導電性材料142に注入されうる。本技術分野で既知のように、イオンが半導電性材料142内に注入される深度は、少なくとも部分的には、イオンが半導電性材料142内に注入されるエネルギーの関数である。注入区域152は、半導電性材料142における所望の深度において形成され、その深度は、本技術分野で既知のように、注入ドースおよび原子種のエネルギーなどのパラメータに依存する。半導電性構造142内の注入区域152の深度Dは、図1−図3に示された浮遊ボディセル104の所望の厚さおよび/もしくは体積に対応しうる。浮遊ボディ102の体積およびそこに格納されうる電荷量は、深度D、したがって、半導電性材料142の厚さを増加させることによって増加しうる。さらには、浮遊ボディセル104の厚さを増加させることによって、そこに格納される電荷は、浮遊ボディセル構造100、101および103の導電性素子(すなわち、埋め込みゲート電極132、ソース領域112およびドレイン領域114)からさらに分離されうる。格納された電荷を導電性素子から分離することによって、電荷損失は減少し、したがって、浮遊ボディセル104は、より長い保持と信頼性の改善を提供する可能性がある。限定しない一実施例として、原子種は、約100nmから約350nm(約1000Åから約3500Å)の間の深度Dに注入区域152を形成するために選択されたエネルギーで、半導電性材料142に注入されうる。
注入区域152は、注入イオン種を含むマイクロバブルもしくはマイクロキャビティの層を含み、半導電性材料142内の脆弱な構造を提供する。半導電性材料142は、その後、ウェーハ内の結晶の再編成ならびにマイクロバブルもしくはマイクロキャビティの癒合に影響を与えるために、注入が影響される温度以上の温度で熱的に処理されうる。任意で、(図示されていない)接着表面は、半導電性材料142の非晶質シリコン材料128の主要表面を、プラズマ活性化材料を形成するため、不活性ガス(例えば、アルゴン、酸素もしくは窒素)を含む反応性イオンエッチング(RIE)プラズマに暴露することによって形成されうるか、または、表面を、希釈水酸化アンモニウムもしくはフッ化水素溶液に暴露することによって形成されうる。非晶質シリコン材料128上に接着表面を形成することによって、イオン種(例えば、水素)の可動性を増加させることによって、ハンドルウェーハ144を被覆する電気的に絶縁性の材料130とのその後の接着作用の反応速度を増加させる可能性がある。
図6に示されるように、ワークピース146は、ハンドルウェーハ144を被覆する電気的に絶縁性の材料130上に重ねられ、電気的に絶縁性の材料130は、ワークピース146の非晶質シリコン材料128と接触する。ワークピース146の非晶質シリコン材料128は、電気的に絶縁性の材料130に対して接着されてもよい。限定しない実施例として、非晶質シリコン材料126および電気的に絶縁性の材料130は、周辺温度(約20℃から約25℃)において、加熱することなく接着されてもよい。非晶質シリコン材料128を電気的に絶縁性の材料130に対して接着するために、圧力もまた、ワークピース146とハンドルウェーハ144のうちの少なくとも一つに対して適用されてもよい。別の限定しない実施例として、非晶質シリコン材料126は、半導体構造140を約600℃以下の温度(約300℃から約400℃)へと加熱することによって、絶縁体材料104に対して接着されてもよい。電気的に絶縁性の材料130が二酸化シリコンから形成される場合には、シリコン酸化物結合が、非晶質シリコン材料128と絶縁体材料130の間に形成しうる。バックゲート電極124は、金属もしくは他の熱に敏感な材料で形成されうるため、半導体構造140が暴露される温度は、バックゲート接点124の融点よりも低い可能性がある。
図4に示される半導体構造140を形成するため、転写領域150は、図6に示される注入区域に沿って、半導電性材料142から除去されうる。転写領域150は、注入区域152に対してせん断力を適用することによって、もしくは、注入区域152で熱もしくはジェットガス流を適用することによってなど、本技術分野で既知の技術によって除去されてもよい。注入区域152における原子種は、ワークピース146の半導電性材料142における脆弱領域を生成し、脆弱領域は切断されやすい。
バックゲート誘電体126、バックゲート接点124および非晶質シリコン材料128、注入区域152下の半導電性材料142の一部は、電気的に絶縁性の材料130に接着されたままであり、図4における半導体構造140を形成する。半導電性材料142の分離後、その露出表面154は、望ましくないほどに粗くなる可能性がある。この欠陥を改善するため、半導電性材料142の露出表面154は、例えば、研削、ウェットエッチングおよびCMPのうちの一つ以上など、本技術分野で既知の技術に従って、記述されるようなさらなる処理を容易にするために、所望の程度まで平滑化されうる。
図7は、マスク材料156が半導電性材料142上に堆積され、半導電性材料142の表面154がそこを通して露出される、アパーチャ(開口)を形成するためにパターン化された後の、図4の半導体構造140を示す。マスク材料156は、例えば、フォトレジスト材料、酸化物材料、透過性炭素もしくは非晶質炭素を含みうる。マスク材料156を形成しパターン化する方法は、本技術分野では既知である。したがって、本明細書では詳細には記述されない。簡略化のために、電気的に絶縁性の材料130の下層にあるハンドルウェーハ144は、残りの図面からは省略される。
図8Aに示されるように、マスク材料156のアパーチャを通して露出される半導電性材料142の一部は、半導電性材料142の残存部分の間にトレンチ158を形成するために除去されうる。マスク材料156の残存部分は、その後除去されうる。限定しない実施例として、トレンチ158は、第二の方向Yに半導電性材料142を通って伸長するように形成されうる。反応性イオンエッチング(RIE)プロセスは、マスク材料156およびバックゲート誘電体126に関して、半導電性材料142を選択的に除去する。幾つかの実施形態においては、図8Bに示されるように、半導電性材料142の一部を除去した後、バックゲート誘電体126、バックゲート電極124および非晶質シリコン材料128の各々の一部は、同一のマスク材料156を通して、in situで除去され、図2の浮遊ボディセル構造101で示されるような、個々の、もしくは単一のバックゲート123を形成する。図2は、下層にある電気的に絶縁性の材料130を露出するために、バックゲート誘電体126、バックゲート電極124、および非晶質シリコン材料128の連続的部分124、126、128が、例えば、異方性反応性イオン(すなわち、プラズマ)エッチングプロセスを利用して除去されうることを示す。例えば、バックゲート誘電体126が二酸化シリコンから形成される場合には、三フッ化窒素(NF)ベースガス、塩素(Cl)ベースガスもしくは臭化物(Br)ベースガスを利用する反応性イオンエッチング(RIE)プロセスが、マスク材料156に関して、二酸化シリコンからバックゲート123を選択的に除去するために実施されうる。バックゲート電極124が窒化チタンである場合には、テトラフルオロメタン(CF)ガス、臭素含有ガスおよびフッ素含有ガスの混合物、フッ素含有ガスおよび塩素含有ガスの混合物を利用する異方性エッチングプロセスが、マスク材料156に関して、窒化チタンを除去する。非晶質シリコン材料128が非晶質ポリシリコンである場合には、フッ素含有ガスおよび塩素含有ガスの混合物を利用する異方性エッチングプロセスが、マスク材料156に関して非晶質シリコン材料を除去するために使用されうる。他の実施形態においては、トレンチ158は、従来のピッチダブリングプロセスを利用して、本技術分野で既知の技術によって、形成されてもよい。
図9は、充填材料160をトレンチ158内に堆積した後の半導体構造140を示す。図9は、バックゲート誘電体126、バックゲート電極124および非晶質シリコン材料128を通って伸長するトレンチ158を示しているが、充填されるべきチャネル128は、図8Aに示されうる。限定しない実施例として、充填材料160は、酸化物材料もしくは窒化物材料などの誘電体材料を含み、化学蒸着プロセスもしくはスピンオン誘電体プロセスを利用して堆積されてもよい。
図10−図13は、犠牲マスク材料162がその上に堆積され、複数の開口164が形成された後の、断面線9−9に沿った、図9に示された半導体構造140の断面図である。犠牲マスク材料162は、半導電性材料142上に形成され、(図示されていない)充填材料および複数の開口164は、犠牲マスク材料162および半導電性材料142の一部を除去するステップによって形成されうる。複数の開口164の各々は、第二の方向Yに伸長するものとして形成されてもよい。限定しない一実施例として、犠牲マスク材料162は、CVDプロセスを利用して、非晶質シリコン材料もしくは誘電体材料から形成されうる。限定しない実施例として、開口164は、犠牲マスク材料162上に(図示されていない)フォトレジスト材料を提供するステップと、除去されるべき犠牲マスク材料162および半導電性材料142の領域を被覆するフォトレジスト材料の一部を除去するステップによって形成されうる。異方性エッチングプロセス(例えば、ドライ反応性イオンもしくはプラズマエッチングプロセス)は、その後、フォトレジスト材料を通して露出される、犠牲マスク材料162および半導電性材料142の領域をエッチングするために利用され、犠牲マスク材料162の領域を露出する開口164を形成する。例えば、犠牲マスク材料162および半導電性材料142がポリシリコンから形成される場合には、フッ素(Fl)ベースガスを利用する反応性イオンエッチングプロセスが実施され、非晶質シリコン材料を選択的に除去して、半導電性材料142の一部の間に開口164を形成する。限定しない一実施例として、開口164は、0.5Fの寸法D1を有するように形成され、半導電性材料142の残存部分は、1.5Fの寸法D2を有しうる。さらには、バックゲート123は、図1で示されるように、半導電性材料142を除去した後、バックゲート誘電体126、バックゲート電極124および非晶質シリコン材料130の各部分を除去することによって形成され、開口164は、これらの材料の各々を通って伸長し、バックゲート123をそのまま残す。開口164を形成した後、残存するフォトレジスト材料は、従来のアッシングプロセスを利用して除去されうる。開口164は、本技術分野で既知の技術によって、従来のピッチダブリングプロセスを利用して形成されてもよい。
図11に示されるように、酸化物材料もしくは窒化物材料などの別の充填材料166は、開口164内に堆積されうる。限定しない実施例として、充填材料166は、CVDプロセス、PECVDプロセスもしくはスピンオン誘電体プロセスを利用して堆積されてもよい。したがって、半導電性材料142は、周辺環境から物理的に完全に分離される。
図12に示されるように、犠牲材料162および半導電性材料142の各々の一部は、半導電性材料142内に間隙110を形成するために除去されうる。半導電性材料142が、結晶質シリコン材料から形成される場合には、サルファヘキサフルオリド(SF)ガス、酸素ガスおよびヘリウム(He)ガスの混合物、もしくはサルファヘキサフルオリドガスおよびトリフルオロメタン(CHF)ガスの混合物を含むプラズマが、間隙110を形成するために、半導体構造140に導入されうる。図11は、u形状の外形を有するものとして間隙110を示している。しかしながら、当業者には理解されるように、種々の他の外形を有する間隙が形成されてもよい。
図13は、埋め込みゲート電極132が間隙110の各々に形成された後の半導体構造140を示す。限定しない一実施例として、CMPプロセスは、充填材料166および犠牲材料162の一部を除去するために使用され、半導体構造140の上部表面168は実質的に平面である。埋め込みゲート電極132は、タングステン、窒化チタン、もしくは窒化タンタルなどの導電性材料から形成され、従来のCVDプロセス、PVDプロセスもしくはALDプロセスを使用して堆積されてもよい。限定しない実施例として、導電性材料は、半導体構造140上に形成され、埋め込みゲート電極132を形成するために、従来のCMPプロセス、RIEプロセスもしくはウェットエッチングプロセスを利用する堆積の後、除去されてもよい。埋め込みゲート誘電体134は、埋め込みゲート電極132を形成するステップの前に、間隙110の各々において露出される半導電性材料142の側壁上に堆積されてもよい。
図14は、間隙110内に埋め込みゲート電極132を、ならびに、その上に別の充填材料163を形成するステップの後の半導体構造140を示す。図14に示されるように、ソース領域112およびドレイン領域114は、大量の半導電性材料102のピラー108の露出領域に形成されうる。ソース領域112およびドレイン領域114は、亜リン酸もしくはヒ素(すなわち、n型シリコン材料)などのn型ドーパントでドープされたシリコン材料を含みうる。半導電性材料142の露出部分は、イオン注入プロセスもしくはプラズマイオンプロセス、もしくは高温拡散プロセスなどの従来の方法を使用してドープされてもよい。ソース領域112およびドレイン領域114は、半導電性材料142によってバックゲート電極124から間隔をあけられてもよい。別の実施例として、(図示されていない)n型材料の薄膜は、半導体構造140の表面上に堆積され、ソース領域112およびドレイン領域114用のn型シリコンを形成するために半導電性材料142へとn型ドーパントが注入される間、熱アニーリングが実施されてもよい。ソース領域112およびドレイン領域114は、任意で、埋め込みゲート電極132を形成するステップの前に形成されてもよい。
図1−図3を再度参照すると、ソース領域112およびドレイン領域114を形成するステップの後、共通のソース線116は、各行に配列されたソース領域112上に形成され、ビット線118は、各列に配列されたドレイン領域114上に形成されうる。幾つかの実施形態においては、共通のソース線116およびビット線118は、半導体構造100、100もしくは103上の導電性反応性材料を堆積するステップと、実質的に直線で、実質的に平行した導電性ラインを形成するために導電性材料をパターン化するステップとによって形成されうる。例えば、共通のソース線116は、X方向に形成され、共通のソース線116の各々は、埋め込みゲート電極132のうちの一つの上に実質的に平行して配置される。ビット線118は、Y方向に伸長するように形成されうる。任意で、コンタクトプラグ119は、ドレイン領域114およびソース領域112のうちの少なくとも一つの上に形成され、関連付けられる共通のソース線116もしくはビット線118を形成するステップの前にその接触を高める。例えば、コンタクトプラグ119は、ドープされた非晶質シリコン材料を堆積しパターン化するステップによって形成されうる。任意で、コンタクトプラグ119は、窒化チタン/タングステンなどの金属材料で置換されてもよいし、または、コンタクトプラグは、導電性ラインの形成の間に、導電性反応性材料で充填されてもよい。
図15は、複数の浮遊ボディセル104を含む浮遊ボディセル構造200の別の実施形態を示す透視図であり、それを形成するステップの詳細が、詳細に記述される。浮遊ボディセル104を示す目的で、ゲート170の一部は破線で示されるように除去される。幾つかの実施形態においては、浮遊ボディセル構造200は、垂直マルチゲート浮遊ボディセルデバイスを形成するために使用されてもよい。浮遊ボディセル構造200における各浮遊ボディセル104は、ピラー108の間に伸長するチャネル領域106を含む大量の半導電性材料から形成され、図1−図3に関連して示されるように、ピラー108はu形状トレンチなどの間隙110によって分離される。ソース領域112およびドレイン領域114は、ピラー108の上部領域に形成され、其々、共通のソース線116などのアクセス線、およびビット線118などのデータ/センス線に対して電気的に結合されうる。限定しない実施例として、共通のソース線116およびビット線118は、其々、図18に示されるように、ソース領域112およびドレイン領域114上に直接形成されてもよい。さらには、コンタクトプラグ119は、ソース領域112および関連付けられる共通のソース線116の間に配置され、ドレイン領域114および関連付けられるビット線118の間の接触を高める。
浮遊ボディセル104は、図1−図3に関連して記述されたように配列され、浮遊ボディセル104は、第一の方向Xにおける複数の行および、第一の方向Xとは実質的に直行する第二の方向Yにおける複数の列に配列される。図4は、バックゲート123を、浮遊ボディセル104の下の行に配置され、浮遊ボディセル104に対して平行な方向に伸長する、ローカルバックゲートとして示す。バックゲート123の側壁は、連続的、かつ、浮遊ボディセル104の側壁に沿って配列されるものとして示される。他の実施形態においては、バックゲート123は、図2および図3に関連して記述されてように構成されうる。
少なくとも一つの導電性素子170は、浮遊ボディセル104の各々の相対する垂直表面(すなわち側壁)上に配置されうる。記述を平易にするために、導電性素子170は、これ以降ゲート170と称される。別の誘電体材料172は、ゲート170と浮遊ボディセル104の側壁との間に配置されうる。記述を平易にするため、誘電体材料172は、これ以降、ゲート誘電体172と称される。浮遊ボディセル構造200の浮遊ボディセル104の各々は、浮遊ボディセル104は3つのゲートを含むように、浮遊ボディセル104の二つの側壁上に配置された、バックゲート123およびゲート170へと電気的に結合されうるか、または、浮遊ボディセル104は二つのゲートを含むように、浮遊ボディセル104の単一の側壁上に配置されたバックゲート123およびゲート170へと電気的に結合されうる。ゲート170から発生する電磁界は、関連付けられる浮遊ボディ104を通してチャネルを構築し、それによって、ソース領域112からドレイン領域114へと電流が流れるのを可能にする。
図16−図20は、図15に示された浮遊ボディセル構造200を形成する方法の実施形態を示す。図16を参照すると、浮遊ボディセル104のアレイを含み、浮遊ボディセル104の各々は、バックゲート123上に配置された大量の半導電性材料102を含む、半導体構造240は、図4−図12に関連して記述された方法を使用して形成されうる。バックゲート123は、バックゲート誘電体126、バックゲート電極124および非晶質シリコン材料128を含み、(図示されていない)ウェーハを被覆する電気的に絶縁性の材料130上に配置されうる。前述されたように、相補型金属酸化物半導体構造(CMOS)デバイスなどの(図示されていない)他の論理素子は、ウェーハ内もしくはウェーハ上に形成されてもよい。トレンチ158は、電気的に絶縁性の材料130内で終端するものとして示されているが、トレンチ158は、任意で、図8Aに関連して記述されたように、バックゲート誘電体126上で終端するように形成されてもよい。
図17A1−図17B2は、断面線16−16に沿った、図16に示された半導体構造140の断面図である。トレンチ158を形成するステップの後、ゲート170は、図17A1−図17B2に関連して記述されるように、浮遊ボディセル104の側壁上に形成されうる。図17A1に示されるように、ゲート誘電体材料172および導電性材料174は、半導体構造240上に形成されうる。限定しない実施例として、ゲート誘電体材料172は、例えば、化学蒸着プロセスもしくは熱酸化プロセスを使用して形成される、酸化物材料、窒化物材料もしくは高いkを有する誘電体材料でありうる。例えば、ゲート誘電体材料172が二酸化シリコンである場合には、半導体構造240は、浮遊ボディセル104の側壁上に二酸化シリコンを形成するために、約900℃から約1175℃の温度で、酸素ガスに対して暴露されうる。導電性材料174は、その後、ゲート誘電体材料172上に形成されうる。限定しない一実施例として、導電性材料174は、窒化チタン、窒化タンタルもしくはタングステンから形成され、化学蒸着プロセスを使用して堆積されてもよい。図17A2を参照すると、異方性ドライエッチングプロセスもしくはウェットエッチングプロセスが、ゲート170を形成するために、導電性材料174およびゲート誘電体材料172の一部を除去するために実施されてもよい。
他の実施形態においては、ゲート170は、図17B1および図17B2に関連して示されるように、浮遊ボディセル104の側壁上に形成されてもよい。図17B1を参照すると、半導電性材料142上にゲート誘電体材料172を形成するステップの後、半導電性材料142の領域の間のトレンチ158の残存部分は、導電性材料174で充填され、導電性材料174は、所望の厚さまで、凹部を設けられてもよい。限定しない実施例として、導電性材料174は、窒化チタン、窒化タンタルもしくはタングステンから形成され、化学蒸着プロセスを使用して堆積されうる。誘電体材料を含むスペーサ176は、従来のスペーサエッチングプロセスを使用して、導電性材料174上のゲート誘電体材料172の側壁上に形成されうる。図17B2を参照すると、異方性エッチングプロセスは、ゲート170を形成するために、導電性材料174の一部を除去するために実施されうる。ゲート170を形成するステップの後、スペーサ176は、例えば、従来の選択的エッチングプロセスを使用して除去されうる。
図18Aおよび図18Bは、ゲート170の構成を示す図17A2および図17B2に示された半導体構造240の俯瞰図である。ゲート170は、複数の浮遊ボディセル104をお互いに電気的に接続するために形成されうる。限定しない一実施例として、ゲート170は、Y方向における浮遊ボディセル104の列に沿って伸長しうる。図18Aに示されるように、ゲート170の各々は、列のうちの一つにおける複数の浮遊ボディセル104の周囲を実質的に包囲し、各浮遊ボディセル104の垂直表面(すなわち側壁)は、ゲート170によって接触される。図18Bを参照すると、別の実施形態が示され、ゲート170は、X方向における行のうちの少なくとも一つにそって伸長し、かつ、その終端で、もしくはその終端近傍で終端する櫛状構造で構成され、各ゲート170は、行における浮遊ボディセル104の相対する側壁上に配置される。接点178は、ゲート170が独立して接続されるように、例えば、その終端点において、各ゲート170に電気的に結合される。したがって、図18Aおよび図18Bに示されるように、ゲート170は、シングルゲート、デュアルゲート、トリプルゲート浮遊ボディセル104を形成するように構成されてもよい。
図19に示されるように、ゲート170を形成するステップの後、ソース領域112およびドレイン領域114は、図14に関連して記述されたように、n型ドーパントもしくはp型ドーパントに半導体構造240を暴露することによって、浮遊ボディセル104のピラー108の上部に形成されうる。図18に示される半導体構造240を形成するために、共通のソース線116は、その後、浮遊ボディセル104のソース領域112上に形成され、ビット線118は、浮遊ボディセル104のドレイン領域114上に形成されうる。共通のソース線116およびビット線118は、導電性材料を堆積するステップおよび導電性材料をパターン化するステップによって形成され、ゲート170と実質的に直行する第一の方向Xに伸長する導電性ラインを形成する。共通のソース線116およびビット線118は互いに平行なため、共通のソース線116およびビット線118は、従来のダマシンプロセスを使用して形成されうる。例えば、(図示されていない)犠牲誘電体材料が、半導体構造240上に堆積され、アパーチャのパターンは、共通のソース線116およびビット線118が形成されるべき位置に、従来のリソグラフィープロセスを使用して形成されうる。導電性材料は、アパーチャを充填するために半導体構造240上に堆積され、共通のソース線116およびビット線118を形成するために、化学機械研磨プロセスが、誘電体材料を被覆する導電性材料の一部を除去するために使用されうる。任意で、関連付けられる共通のソース線116もしくはビット線118を形成するステップの前に、(図示されていない)ドープ材料が、ソース領域112およびドレイン領域114のうちの少なくとも一つの上に形成されてもよい。
図20−図22は、電気回路図であり、その各々は、図1−図3および図15に関連して記述されたような、複数の浮遊ボディセルを含む浮遊ボディセル構造を示す。図20に示されるように、浮遊ボディセル構造300は、複数の浮遊ボディセル104を含み、浮遊ボディセル104の各々は、ゲート132、ビット線118ならびに図2に示されるバックゲート123などのグローバルバックゲート123に対して電気的に結合される。グローバルバックゲート123およびゲート132は、各々、任意でバイアス電圧に対して結合され、それによって、グローバルバックゲート123に関連付けられる浮遊ボディセル104を同時にバイアスすることが可能になる。
図21は、複数の浮遊ボディセル104を含む浮遊ボディセル構造400を示し、浮遊ボディセル104の各々は、ゲート132、ビット線118およびローカルバックゲート123に対して電気的に結合される。ローカルバックゲート123は、図18Bに示されたような櫛状構造を有し、ローカルバックゲート123の各々に対して二つの個別のアドレスを提供する。
図22は、複数の浮遊ボディセル104を含む浮遊ボディセル構造500を示し、浮遊ボディセル104の各々は、ゲート132、ビット線118ならびに、図2および図15に示されたバックゲート123などのローカルバックゲート123に対して電気的に結合される。ローカルバックゲート123の各々は、各バックゲート123を個々にバイアスするために使用されうるバイアス電圧に対して任意で結合されてもよい。
種々の作製段階の間に、其々図1から図3に示される浮遊ボディセル構造101、102および103は、類似の構造を有する他の半導体構造と、または、CMOSデバイスなどのメモリ素子もしくはデバイスと、統合されてもよいし、または、垂直に積層されてもよいことに留意されたい。例えば、CMOSデバイスは、浮遊ボディセル構造101、102もしくは103のうちの一つの上か、またはその下に形成され、マルチレベル半導体構造を形成し、実質的にダイス寸法を減少させる。浮遊ボディセル構造101、102および103は、別の浮遊ボディセル構造、メモリもしくは論理上に、例えば、図4−図6に関連して記述された所謂SMART−CUT層転写技術の改変を使用して、積層されてもよい。
図23は、本明細書で記述された一つ以上の実施形態に従って実施される電子システム2300の簡略化されたブロック図を示す。電子システム2300は、少なくとも一つの入力デバイス2302、少なくとも一つの出力デバイス2304、一つ以上のプロセッサ2306(システムオンチップ(SOC)、中央処理装置(CPU)、プロセッサなど)などのメモリアクセスデバイス、一つ以上のメモリデバイス2308を含む。メモリデバイス2308は、本明細書で記述されたデバイスもしくは方法のうちの少なくとも一つの実施形態を含む。電子システム2300は、多数のコンピューティング、プロセシングおよびコンシューマ製品のうちの一部であってもよい。限定しない実施例として、これらの製品のうちの幾つかは、パーソナルコンピュータ、ハンドヘルドデバイス、カメラ、電話、ワイヤレスデバイス、ディスプレイ、チップセット、セットトップボックス、ゲームおよび車両を含みうる。
[結論]
幾つかの実施形態においては、本発明は、浮遊ボディセル構造、浮遊ボディセル構造を含むデバイスおよび浮遊ボディセル構造を形成するための方法を含む。浮遊ボディセル構造は、バックゲート、少なくとも一つの浮遊ボディ、少なくとも一つの浮遊ボディに関連付けられる別のゲートを含みうる。少なくとも一つの浮遊ボディは、半導電性材料を含み、バックゲートから、ソース領域およびドレイン領域へと伸長しうる。ソース領域およびドレイン領域は、少なくとも一つの浮遊ボディによって、バックゲートから間隔を開けられうる。少なくとも一つの浮遊ボディは、半導電性材料における間隙を含み、ソース領域およびドレイン領域の各々は、逆のバックゲートに配置される。別のゲートは、少なくとも一つの浮遊ボディにおける間隙内に配置されて、ダブルゲート浮遊ボディセル構造を形成するか、または、少なくとも一つの浮遊ボディの少なくとも一つの表面上に配置されて、トリプルゲート浮遊ボディセル構造を形成する。ゲート誘電体は、バックゲート、少なくとも一つの浮遊ボディ、およびバックゲートの下層にあり、ウェーハ上に配置された非晶質シリコン材料の間に配置されうる。バックゲートは、少なくとも一つの浮遊ボディの側壁に沿って配列された連続的な側壁を含むローカルバックゲートであるか、または、複数の浮遊ボディセルがアレイ内に配置されたグローバルバックゲートでありうる。浮遊ボディセル構造は、バックゲートに電気的に結合され、バックゲートを独立してバイアスするためのバイアス電圧に任意で結合されるビット線をさらに含んでもよい。浮遊ボディセル構造は、少なくとも一つの浮遊ボディセルのソース領域を、少なくとも別の浮遊ボディのソース領域へと電気的に結合する共通のソース線と、少なくとも一つの浮遊ボディセルのドレイン領域を、少なくとも別の浮遊ボディのドレイン領域へと電気的に結合するビット線と、をさらに含んでもよい。
さらなる実施形態においては、本発明は、複数の浮遊ボディを含む浮遊ボディセルデバイスを含み、各浮遊ボディは、バックゲートからソース領域およびドレイン領域へと伸長する半導電性材料と、複数のボディに関連し、電圧源に任意で結合される別のゲートと、複数の浮遊ボディのソース領域を電気的に結合する共通のソース線と、複数の浮遊ボディのドレイン領域を電気的に結合するビット線とを含む。デバイスは、ダイナミックランダムアクセスメモリ、ゼロキャパシタランダムアクセスメモリ、中央処理装置、システムオンチップおよび統合された埋め込みダイナミックランダムアクセスメモリのうちの少なくとも一つに統合されてもよい。複数の浮遊ボディは、複数の行を形成するために第一の方向に配列され、複数の列を形成するために、第一の方向とは実質的に直行する第二の方向に配列されてもよい。
さらに別の実施形態においては、浮遊ボディセルデバイスは、複数の行を形成するために、第一の方向に配列され、かつ、複数の列を形成するために、第一の方向とは実質的に直行する第二の方向に配列された複数の浮遊ボディと、複数の浮遊ボディと関連付けられる少なくとも一つのバックゲートと、複数の浮遊ボディと関連し、任意で電圧源に結合される少なくとも別のゲートと、を含む。複数の浮遊ボディのうちの各浮遊ボディは、半導電性材料のベース部分から伸長し、u形状トレンチによって分離されるピラーを有する大量の半導電性材料を含み、各ピラーの上部はドープ領域を含む。少なくとも一つのバックゲートは、電気的に絶縁性の材料上の非晶質シリコン材料上に配置される導電性材料を含みうる。少なくとも別のゲートは、複数の浮遊ボディの各々の上に配置される導電性材料を含み、複数の浮遊ボディは、例えば、第一の方向に伸長し、複数の行のうちの少なくとも一つにおける複数の浮遊ボディを電気的に結合する。少なくとも別のゲートは、u形状トレンチ内に配置される導電性材料を含み、例えば、第二の方向に伸長し、複数の列の少なくとも一つにおける複数の浮遊ボディを電気的に結合する。少なくとも一つのバックゲートは、別のゲートとは独立してバイアスされるように構成されてもよい。浮遊ボディセル構造は、CMOSデバイスなどのメモリデバイス上に配置されてもよいし、メモリデバイスと統合されてもよいし、または、密度を増加させるために、多数の層に垂直に積層されてもよい。
さらに別の実施形態においては、本発明は、浮遊ボディセルデバイスを形成する方法を含み、本方法は、半導電性材料、誘電体材料、ゲート材料およびウェーハを被覆する非晶質シリコン材料を含むベースを形成するステップ、ベース表面から突出する複数の浮遊ボディを形成するために半導電性材料の一部を除去するステップ、複数の浮遊ボディの各々における間隙を形成するために半導電性材料の別の部分を除去するステップ、複数の浮遊ボディの各々の上部領域にソース領域およびドレイン領域を形成するために、少なくとも一つのドーパントに対して複数の浮遊ボディを暴露するステップ、ならびに複数の浮遊ボディの少なくとも一つに関連付けられるゲートを形成するステップを含む。ベースは、誘電体材料、ゲート材料および結晶質シリコンウェーハを被覆する非晶質シリコン材料を含むドナーウェーハを形成するステップ、結晶質シリコンウェーハにおける所望の深度にイオンを注入するステップ、ドナーウェーハの非晶質シリコン材料を、ハンドルウェーハを被覆する電気的に絶縁性の材料に接着するステップ、ならびに、誘電体材料、ゲート材料および非晶質シリコン材料が、ハンドルウェーハの電気的に絶縁性の材料表面を被覆するように、結晶質シリコンウェーハの一部を残すためにドナーウェーハの一部を分離するステップによって形成されうる。
さらに別の実施形態においては、本発明は、少なくとも一つのメモリデバイスと、少なくとも一つのメモリデバイスに任意で結合される少なくとも一つの浮遊ボディセルデバイスと、を含むシステムを含む。少なくとも一つの浮遊ボディセルデバイスは、浮遊ボディのアレイを含み、浮遊ボディのアレイの各々は、半導電性材料のベース部分から伸長し、u形状トレンチによって分離され、その各々の上部はドープ領域を含むピラーを有する大量の半導電性材料と、浮遊ボディのアレイと関連付けられる少なくとも一つのバックゲートと、複数の浮遊ボディに関連し、任意で電圧源に結合される少なくとも別のゲートと、を含む。システムは、中央処理装置およびシステムオンチップのうちの少なくとも一つを含んでもよい。少なくとも一つのメモリデバイスは、ダイナミックランダムアクセスメモリ、ゼロキャパシタランダムアクセスメモリ、埋め込みダイナミックランダムアクセスメモリのうちの少なくとも一つを含んでもよい。少なくとも一つのメモリデバイスと少なくとも一つの浮遊ボディセルデバイスは、システム内でお互いに重ねられてもよい。
本発明は種々の改変および置換形態が可能であるが、具体的な実施形態が、図面において例示の目的のために示され、本明細書において詳細に記述されてきた。しかしながら、本発明は開示された特定の形態に限定されることを意図するものではない。それよりもむしろ、本発明は、以下に添付される請求項およびその法的な均等物によって定義されるように、本発明の範囲内にある全ての改変、均等物、置換を包含するものである。
[関連する出願に対する相互参照]
本出願は、同一日に出願された同時係属中の米国特許出願整理番号12/XXX,XXX、”SEMICONDUCTOR-METAL-ON-INSULATOR STRUCTURES, METHODS OF FORMING SUCH STRUCTURES, AND SEMICONDUCTOR DEVICES INCLUDING SUCH STRUCTURES”(代理人整理番号2269-9742US)、同一日に出願された同時係属中の米国特許出願整理番号12/XXX,XXX、”SEMICONDUCTOR DEVICES INCLUDING A DIODE STRUCTURE OVER A CONDUCTIVE STRAP, AND METHODS OF FORMING SUCH SEMICONDUCTOR DEVICES” (代理人整理番号2269-9803US)、同一日に出願された同時係属中の米国特許出願整理番号12/XXX,XXX、”THYRISTOR-BASED MEMORY CELLS, DEVICES AND SYSTEMS INCLUDING THE SAME AND METHODS FOR FORMING THE SAME” (代理人整理番号2269-9804US)、同一日に出願された同時係属中の米国特許出願整理番号12/XXX,XXX、”SEMICONDUCTOR CELLS, ARRAYS DEVICES AND SYSTEMS HAVING A BURIED CONDUCTIVE LINE AND METHODS FOR FORMING THE SAME” (代理人整理番号2269-9819US)に関連し、各々の開示は、参照によってその全体が本明細書に組み込まれる。
半導体構造140は、例えば、所謂SMART−CUT(登録商標)層転写技術の改変を使用する本明細書で記述されたプロセスによって、ハンドルウェーハ144を被覆する電気的に絶縁性の材料130に対して、非晶質シリコン材料128、バックゲート接点124、バックゲート誘電体126および半導電性材料142を転写することによって形成されてもよい。このプロセスは、例えば、Bruelによる米国特許整理番号RE39,484、Asparらによる米国特許整理番号6,303,468、Asparらによる米国特許整理番号6,335,258、Moriceauらによる米国特許整理番号6,756,286、Asparらによる米国特許整理番号6,809,044、Asparらによる米国特許整理番号6,946,365およびDupontによる米国特許出願整理番号2006/0099776に詳細に記述される。しかしながら、半導体基板140を製造するのに適切な他のプロセスもまた使用されてもよい。SMART−CUT層転写技術の従来の実施においては、ドナーウェーハおよびアクセプタウェーハは、高温アニーリングを使用して、接着される。ドナーウェーハおよびアクセプタウェーハを接着するために使用される温度は、約1000℃から約1300℃である。しかしながら、バックゲート接点124の存在によって、バックゲート接点124に対する熱的損傷を防止するために、低下した温度で半導体構造140を作製することが好都合である。したがって、本明細書で記述されるように、半導体構造140は、従来のSMART−CUT層転写技術によって必要とされる温度と比較して、実質的に低下した温度を使用して形成される可能性がある。
図6に示されるように、ワークピース146は、ハンドルウェーハ144を被覆する電気的に絶縁性の材料130上に重ねられ、電気的に絶縁性の材料130は、ワークピース146の非晶質シリコン材料128と接触する。ワークピース146の非晶質シリコン材料128は、電気的に絶縁性の材料130に対して接着されてもよい。限定しない実施例として、非晶質シリコン材料128および電気的に絶縁性の材料130は、周辺温度(約20℃から約25℃)において、加熱することなく接着されてもよい。非晶質シリコン材料128を電気的に絶縁性の材料130に対して接着するために、圧力もまた、ワークピース146とハンドルウェーハ144のうちの少なくとも一つに対して適用されてもよい。別の限定しない実施例として、非晶質シリコン材料128は、半導体構造140を約600℃以下の温度(約300℃から約400℃)へと加熱することによって、絶縁体材料104に対して接着されてもよい。電気的に絶縁性の材料130が二酸化シリコンから形成される場合には、シリコン酸化物結合が、非晶質シリコン材料128と絶縁体材料130の間に形成しうる。バックゲート電極124は、金属もしくは他の熱に敏感な材料で形成されうるため、半導体構造140が暴露される温度は、バックゲート接点124の融点よりも低い可能性がある。
図10−図13は、犠牲マスク材料162がその上に堆積され、複数の開口164が形成された後の、断面線9−9に沿った、図9に示された半導体構造140の断面図である。犠牲マスク材料162は、半導電性材料142上に形成され、(図示されていない)充填材料および複数の開口164は、犠牲マスク材料162および半導電性材料142の一部を除去するステップによって形成されうる。複数の開口164の各々は、第二の方向Yに伸長するものとして形成されてもよい。限定しない一実施例として、犠牲マスク材料162は、CVDプロセスを利用して、非晶質シリコン材料もしくは誘電体材料から形成されうる。限定しない実施例として、開口164は、犠牲マスク材料162上に(図示されていない)フォトレジスト材料を提供するステップと、除去されるべき犠牲マスク材料162および半導電性材料142の領域を被覆するフォトレジスト材料の一部を除去するステップによって形成されうる。異方性エッチングプロセス(例えば、ドライ反応性イオンもしくはプラズマエッチングプロセス)は、その後、フォトレジスト材料を通して露出される、犠牲マスク材料162および半導電性材料142の領域をエッチングするために利用され、犠牲マスク材料162の領域を露出する開口164を形成する。例えば、犠牲マスク材料162および半導電性材料142がポリシリコンから形成される場合には、フッ素(Fl)ベースガスを利用する反応性イオンエッチングプロセスが実施され、非晶質シリコン材料を選択的に除去して、半導電性材料142の一部の間に開口164を形成する。限定しない一実施例として、開口164は、0.5Fの寸法D1を有するように形成され、半導電性材料142の残存部分は、1.5Fの寸法D2を有しうる。さらには、バックゲート123は、図1で示されるように、半導電性材料142を除去した後、バックゲート誘電体126、バックゲート電極124および非晶質シリコン材料128の各部分を除去することによって形成され、開口164は、これらの材料の各々を通って伸長し、バックゲート123をそのまま残す。開口164を形成した後、残存するフォトレジスト材料は、従来のアッシングプロセスを利用して除去されうる。開口164は、本技術分野で既知の技術によって、従来のピッチダブリングプロセスを利用して形成されてもよい。
図1−図3を再度参照すると、ソース領域112およびドレイン領域114を形成するステップの後、共通のソース線116は、各行に配列されたソース領域112上に形成され、ビット線118は、各列に配列されたドレイン領域114上に形成されうる。幾つかの実施形態においては、共通のソース線116およびビット線118は、半導体構造100、101もしくは103上の導電性反応性材料を堆積するステップと、実質的に直線で、実質的に平行した導電性ラインを形成するために導電性材料をパターン化するステップとによって形成されうる。例えば、共通のソース線116は、X方向に形成され、共通のソース線116の各々は、埋め込みゲート電極132のうちの一つの上に実質的に平行して配置される。ビット線118は、Y方向に伸長するように形成されうる。任意で、コンタクトプラグ119は、ドレイン領域114およびソース領域112のうちの少なくとも一つの上に形成され、関連付けられる共通のソース線116もしくはビット線118を形成するステップの前にその接触を高める。例えば、コンタクトプラグ119は、ドープされた非晶質シリコン材料を堆積しパターン化するステップによって形成されうる。任意で、コンタクトプラグ119は、窒化チタン/タングステンなどの金属材料で置換されてもよいし、または、コンタクトプラグは、導電性ラインの形成の間に、導電性反応性材料で充填されてもよい。
[関連する出願に対する相互参照]
本出願は、2010年3月2日に出願された同時係属中の米国特許出願整理番号12/715,704、”SEMICONDUCTOR-METAL-ON-INSULATOR STRUCTURES, METHODS OF FORMING SUCH STRUCTURES, AND SEMICONDUCTOR DEVICES INCLUDING SUCH STRUCTURES”、2010年3月2日に出願された同時係属中の米国特許出願整理番号12/715,743、”SEMICONDUCTOR DEVICES INCLUDING A DIODE STRUCTURE OVER A CONDUCTIVE STRAP, AND METHODS OF FORMING SUCH SEMICONDUCTOR DEVICES”、 2010年3月2日に出願された同時係属中の米国特許出願整理番号12/715,889、”THYRISTOR-BASED MEMORY CELLS, DEVICES AND SYSTEMS INCLUDING THE SAME AND METHODS FOR FORMING THE SAME”、2010年3月2日に出願された同時係属中の米国特許出願整理番号12/715,922、”SEMICONDUCTOR CELLS, ARRAYS DEVICES AND SYSTEMS HAVING A BURIED CONDUCTIVE LINE AND METHODS FOR FORMING THE SAME”に関連し、それらの開示は、参照によって本明細書に組み込まれる。

Claims (30)

  1. 浮遊ボディセル構造であって、
    バックゲートと、
    半導電性材料を含み、前記バックゲートからソース領域およびドレイン領域へと伸長する、少なくとも一つの浮遊ボディと、
    前記少なくとも一つの浮遊ボディに関連付けられる別のゲートと、
    を含む、
    ことを特徴とする浮遊ボディセル構造。
  2. 前記ソース領域および前記ドレイン領域は、前記少なくとも一つの浮遊ボディによって、前記バックゲートから間隔が空いている、
    ことを特徴とする請求項1に記載の浮遊ボディセル構造。
  3. 前記少なくとも一つの浮遊ボディは、前記半導電性材料内に間隙を含み、前記ソース領域および前記ドレイン領域の各々は、前記バックゲートとは逆に配置される、
    ことを特徴とする請求項1に記載の浮遊ボディセル構造。
  4. 前記別のゲートは、前記少なくとも一つの浮遊ボディにおける前記間隙内に配置される、
    ことを特徴とする請求項3に記載の浮遊ボディセル構造。
  5. 前記別のゲートは、トリプルゲート浮遊ボディセル構造を形成するために、前記少なくとも一つの浮遊ボディの少なくとも一つの表面上に配置される、
    ことを特徴とする請求項1に記載の浮遊ボディセル構造。
  6. 前記バックゲートは、連続的で、かつ、前記少なくとも一つの浮遊ボディの複数の側壁に沿って配列される複数の側壁を含むローカルバックゲートを含む、
    ことを特徴とする請求項1に記載の浮遊ボディセル構造。
  7. 前記少なくとも一つの浮遊ボディは、アレイ内の単一のバックゲート上に配置される複数の浮遊ボディを含む、
    ことを特徴とする請求項1に記載の浮遊ボディセル構造。
  8. 前記バックゲートを独立してバイアスするためのバイアス電圧に対して、動作可能なように結合されるビット線をさらに含む、
    ことを特徴とする請求項1に記載の浮遊ボディセル構造。
  9. 前記少なくとも一つの浮遊ボディセルの前記ソース領域を、少なくとも別の浮遊ボディのソース領域へと電気的に結合する共通のソース線と、前記少なくとも一つの浮遊ボディセルの前記ドレイン領域を、前記少なくとも別の浮遊ボディのドレイン領域へと電気的に結合するビット線と、をさらに含む、
    ことを特徴とする請求項1に記載の浮遊ボディセル構造。
  10. バックゲートと、
    各々が半導電性材料を含み、前記バックゲートからソース領域およびドレイン領域へと伸長する、複数の浮遊ボディと、
    前記複数の浮遊ボディに関連付けられ、電圧源に動作可能なように結合される別のゲートと、
    前記複数の浮遊ボディの前記複数のソース領域を電気的に結合する共通のソース線と、前記複数の浮遊ボディの前記複数のドレイン領域を電気的に結合するビット線と、
    を含む、
    ことを特徴とする浮遊ボディセルデバイス。
  11. ダイナミックランダムアクセスメモリ、ゼロキャパシタランダムアクセスメモリ、および、そこに統合された埋め込みダイナミックランダムアクセスメモリのうちの少なくとも一つをさらに含む、
    ことを特徴とする請求項10に記載の浮遊ボディセルデバイス。
  12. 前記複数の浮遊ボディは、複数の行を形成するための第一の方向と、複数の列を形成するための、前記第一の方向とは実質的に直行する第二の方向とに配列される、
    ことを特徴とする請求項10に記載の浮遊ボディセルデバイス。
  13. 複数の行を形成するために第一の方向に配列され、かつ、複数の列を形成するために、前記第一の方向とは実質的に直行する第二の方向に配列された複数の浮遊ボディを含み、各浮遊ボディは、
    そのベース部分から伸長し、u形状トレンチによって分離される複数のピラーを有し、前記複数のピラーの各々の上部はドープ領域を含む、大量の半導電性材料と、
    前記複数の浮遊ボディと関連付けられる少なくとも一つのバックゲートと、
    前記複数の浮遊ボディに関連し、動作可能なように電圧源に結合される少なくとも別のゲートと、
    を含む、
    ことを特徴とする浮遊ボディセルデバイス
  14. 前記少なくとも一つのバックゲートは、電気的に絶縁性の材料上の非晶質シリコン材料上に配置される、導電性材料を含む、
    ことを特徴とする請求項13に記載の浮遊ボディセルデバイス。
  15. 前記少なくとも別のゲートは、前記複数の浮遊ボディの各々の上に配置される導電性材料を含む、
    ことを特徴とする請求項13に記載の浮遊ボディセルデバイス。
  16. 前記導電性材料は、前記第一の方向に伸長し、前記複数の行のうちの少なくとも一つにおける前記複数の浮遊ボディを電気的に結合する、
    ことを特徴とする請求項15に記載の浮遊ボディセルデバイス。
  17. 前記少なくとも別のゲートは、前記u形状トレンチ内に配置された導電性材料を含む、
    ことを特徴とする請求項13に記載の浮遊ボディセルデバイス。
  18. 前記導電性材料は前記第二の方向に伸長し、前記複数の列のうちの少なくとも一つにおける前記複数の浮遊ボディを電気的に結合する、
    ことを特徴とする請求項17に記載の浮遊ボディセルデバイス。
  19. 前記少なくとも一つのバックゲートは、前記少なくとも別のゲートからは独立してバイアスされるように構成される、
    ことを特徴とする請求項13に記載の浮遊ボディセルデバイス。
  20. 前記浮遊ボディセル構造は、少なくとも一つの他の論理デバイスと垂直に積層される、
    ことを特徴とする請求項13に記載の浮遊ボディセルデバイス。
  21. 浮遊ボディセルデバイスを形成する方法であって、
    半導電性材料、誘電体材料、ゲート材料およびウェーハを被覆する非晶質シリコン材料を含むベースを形成するステップと、
    前記ベースの表面から突出する複数の浮遊ボディを形成するために、前記半導電性材料の一部を除去するステップと、
    前記複数の浮遊ボディにおける間隙を形成するために、前記半導電性材料の別の部分を除去するステップと、
    前記複数の浮遊ボディの各々の複数の上部領域に、ソース領域およびドレイン領域を形成するために、前記複数の浮遊ボディを少なくとも一つのドーパントに暴露するステップと、
    前記複数の浮遊ボディのうちの少なくとも一つに関連付けられるゲートを形成するステップと、
    を含む、
    ことを特徴とする方法。
  22. 半導電性材料、誘電体材料、ゲート材料および基板を被覆する非晶質シリコン材料を含むベースを形成するステップは、
    誘電体材料、ゲート材料、および結晶質シリコンウェーハを被覆する非晶質シリコン材料を含むドナーウェーハを形成するステップと、
    前記結晶質シリコンウェーハの所望の深度に複数のイオンを注入するステップと、
    ハンドルウェーハを被覆する電気的に絶縁性の材料へと、前記ドナーウェーハの前記非晶質シリコン材料を接着するステップと、
    前記結晶質シリコンウェーハ、前記誘電体材料、前記ゲート材料および、前記ハンドルウェーハの前記電気的に絶縁性の材料の表面を被覆する前記非晶質シリコン材料の一部を残すために、前記ドナーウェーハの一部を分離するステップと、
    を含む、
    ことを特徴とする請求項21に記載の方法。
  23. 前記ベースの表面から突出する前記複数の浮遊ボディを形成するために、前記半導電性材料の一部を除去するステップは、前記複数の浮遊ボディを形成するために、前記誘電体材料、前記ゲート材料および前記非晶質シリコン材料の一部を除去するステップをさらに含み、各浮遊ボディは、前記ウェーハを被覆する電気的に絶縁性の材料上に配置される、
    ことを特徴とする請求項21に記載の方法。
  24. 前記複数の浮遊ボディのうちの少なくとも一つに関連付けられるゲートを形成するステップは、前記複数の浮遊ボディの各々の前記間隙内に導電性材料を堆積するステップを含む、
    ことを特徴とする請求項21に記載の方法。
  25. 前記複数の浮遊ボディのうちの少なくとも一つに関連付けられるゲートを形成するステップは、前記複数の浮遊ボディの各々のうちの少なくとも一つの表面上に導電性構造を形成するステップを含む、
    ことを特徴とする請求項21に記載の方法。
  26. 少なくとも一つのメモリデバイスと、
    前記少なくとも一つのメモリデバイスに動作可能なように結合される、少なくとも一つの浮遊ボディセルデバイスであって、
    アレイの各浮遊ボディは、そのベース部分から伸長し、u形状トレンチによって分離される複数のピラーを有する大量の半導電性材料を含み、前記複数のピラーの各々の上部は、ドープ領域を含む、複数の浮遊ボディのアレイと、
    複数の浮遊ボディの前記アレイに関連付けられる少なくとも一つのバックゲートと、
    複数の浮遊ボディの前記アレイに関連付けられ、動作可能なように電圧源に結合される少なくとも別のゲートと、
    を含む、少なくとも一つの浮遊ボディデバイスと、
    を含む、
    ことを特徴とするシステム。
  27. 前記システムは、中央処理装置およびシステムオンチップのうちの少なくとも一つを含む、
    ことを特徴とする請求項26に記載のシステム。
  28. 前記少なくとも一つのメモリデバイスは、ダイナミックランダムアクセスメモリ、ゼロキャパシタランダムアクセスメモリ、および埋め込みダイナミックランダムアクセスメモリのうちの少なくとも一つを含む、
    ことを特徴とする請求項26に記載のシステム。
  29. 前記少なくとも一つのメモリデバイスおよび前記少なくとも一つの浮遊ボディセルデバイスは、お互いに重ねられる、
    ことを特徴とする請求項26に記載のシステム。
  30. 複数の浮遊ボディの前記アレイは、第一の方向に配列され、かつ、前記第一の方向と実質的に直行する第二の方向に配列された複数の浮遊ボディを含む、
    ことを特徴とする請求項26に記載のシステム。
JP2012556084A 2010-03-02 2011-02-10 浮遊ボディセル、浮遊ボディセルを含むデバイス、ならびに浮遊ボディセルの形成方法。 Ceased JP2013521651A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/715,843 2010-03-02
US12/715,843 US8513722B2 (en) 2010-03-02 2010-03-02 Floating body cell structures, devices including same, and methods for forming same
PCT/US2011/024387 WO2011109149A2 (en) 2010-03-02 2011-02-10 Floating body cell structures, devices including same, and methods for forming same

Publications (1)

Publication Number Publication Date
JP2013521651A true JP2013521651A (ja) 2013-06-10

Family

ID=44530577

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012556084A Ceased JP2013521651A (ja) 2010-03-02 2011-02-10 浮遊ボディセル、浮遊ボディセルを含むデバイス、ならびに浮遊ボディセルの形成方法。

Country Status (8)

Country Link
US (4) US8513722B2 (ja)
EP (1) EP2543068A4 (ja)
JP (1) JP2013521651A (ja)
KR (1) KR101471734B1 (ja)
CN (1) CN102822972B (ja)
SG (1) SG183450A1 (ja)
TW (1) TWI503874B (ja)
WO (1) WO2011109149A2 (ja)

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102006012738A1 (de) 2006-03-17 2007-09-20 Infineon Technologies Ag Nutzen aus einer Verbundplatte mit Halbleiterchips und Kunststoffgehäusemasse sowie Verfahren und Moldform zur Herstellung desselben
US8513722B2 (en) 2010-03-02 2013-08-20 Micron Technology, Inc. Floating body cell structures, devices including same, and methods for forming same
US8507966B2 (en) 2010-03-02 2013-08-13 Micron Technology, Inc. Semiconductor cells, arrays, devices and systems having a buried conductive line and methods for forming the same
US9608119B2 (en) 2010-03-02 2017-03-28 Micron Technology, Inc. Semiconductor-metal-on-insulator structures, methods of forming such structures, and semiconductor devices including such structures
US8288795B2 (en) 2010-03-02 2012-10-16 Micron Technology, Inc. Thyristor based memory cells, devices and systems including the same and methods for forming the same
US9646869B2 (en) * 2010-03-02 2017-05-09 Micron Technology, Inc. Semiconductor devices including a diode structure over a conductive strap and methods of forming such semiconductor devices
KR101150601B1 (ko) * 2010-06-03 2012-06-08 에스케이하이닉스 주식회사 반도체 소자 및 그 제조 방법
US8361856B2 (en) 2010-11-01 2013-01-29 Micron Technology, Inc. Memory cells, arrays of memory cells, and methods of forming memory cells
US8329567B2 (en) 2010-11-03 2012-12-11 Micron Technology, Inc. Methods of forming doped regions in semiconductor substrates
US8598621B2 (en) 2011-02-11 2013-12-03 Micron Technology, Inc. Memory cells, memory arrays, methods of forming memory cells, and methods of forming a shared doped semiconductor region of a vertically oriented thyristor and a vertically oriented access transistor
US8435859B2 (en) * 2011-02-16 2013-05-07 Micron Technology, Inc. Methods of forming electrical contacts
US8450175B2 (en) 2011-02-22 2013-05-28 Micron Technology, Inc. Methods of forming a vertical transistor and at least a conductive line electrically coupled therewith
US8952418B2 (en) 2011-03-01 2015-02-10 Micron Technology, Inc. Gated bipolar junction transistors
US8519431B2 (en) 2011-03-08 2013-08-27 Micron Technology, Inc. Thyristors
US8569831B2 (en) 2011-05-27 2013-10-29 Micron Technology, Inc. Integrated circuit arrays and semiconductor constructions
US8772848B2 (en) 2011-07-26 2014-07-08 Micron Technology, Inc. Circuit structures, memory circuitry, and methods
JP2013030557A (ja) * 2011-07-27 2013-02-07 Elpida Memory Inc 半導体装置の製造方法
US9036391B2 (en) 2012-03-06 2015-05-19 Micron Technology, Inc. Arrays of vertically-oriented transistors, memory arrays including vertically-oriented transistors, and memory cells
US9129896B2 (en) 2012-08-21 2015-09-08 Micron Technology, Inc. Arrays comprising vertically-oriented transistors, integrated circuitry comprising a conductive line buried in silicon-comprising semiconductor material, methods of forming a plurality of conductive lines buried in silicon-comprising semiconductor material, and methods of forming an array comprising vertically-oriented transistors
US9006060B2 (en) 2012-08-21 2015-04-14 Micron Technology, Inc. N-type field effect transistors, arrays comprising N-type vertically-oriented transistors, methods of forming an N-type field effect transistor, and methods of forming an array comprising vertically-oriented N-type transistors
US9478550B2 (en) 2012-08-27 2016-10-25 Micron Technology, Inc. Arrays of vertically-oriented transistors, and memory arrays including vertically-oriented transistors
GB2505467A (en) * 2012-08-31 2014-03-05 Ibm Dynamic logic gate comprising a nano-electro-mechanical switch
GB201215512D0 (en) 2012-08-31 2012-10-17 Ibm Four terminal nano-electromechanical switch with a single mechanical contact
US9111853B2 (en) 2013-03-15 2015-08-18 Micron Technology, Inc. Methods of forming doped elements of semiconductor device structures
WO2014157030A1 (ja) * 2013-03-27 2014-10-02 株式会社村田製作所 ワイヤレス給電装置
US9443763B2 (en) 2013-09-12 2016-09-13 Micron Technology, Inc. Methods for forming interconnections between top electrodes in memory cells by a two-step chemical-mechanical polishing (CMP) process
US9773888B2 (en) 2014-02-26 2017-09-26 Micron Technology, Inc. Vertical access devices, semiconductor device structures, and related methods
CN107564980B (zh) * 2016-07-01 2020-03-31 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
JP2018142654A (ja) * 2017-02-28 2018-09-13 東芝メモリ株式会社 半導体装置及びその製造方法
US10361218B2 (en) * 2017-02-28 2019-07-23 Toshiba Memory Corporation Semiconductor device and method for manufacturing same
US11024736B2 (en) 2019-08-09 2021-06-01 Micron Technology, Inc. Transistor and methods of forming integrated circuitry
US10964811B2 (en) 2019-08-09 2021-03-30 Micron Technology, Inc. Transistor and methods of forming transistors
WO2021030127A1 (en) 2019-08-09 2021-02-18 Micron Technology, Inc. Transistor and methods of forming transistors
US10923593B1 (en) * 2019-08-09 2021-02-16 Micron Technology, Inc. Transistor and methods of forming transistors
US11355554B2 (en) * 2020-05-08 2022-06-07 Micron Technology, Inc. Sense lines in three-dimensional memory arrays, and methods of forming the same
US11908932B2 (en) * 2020-07-23 2024-02-20 Micron Technology, Inc. Apparatuses comprising vertical transistors having gate electrodes at least partially recessed within channel regions, and related methods and systems
US11646372B2 (en) 2020-09-19 2023-05-09 International Business Machines Corporation Vertical transistor floating body one transistor DRAM memory cell
US11637175B2 (en) 2020-12-09 2023-04-25 Micron Technology, Inc. Vertical transistors

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003078033A (ja) * 2001-09-06 2003-03-14 Sony Corp 半導体装置およびその製造方法
JP2005136191A (ja) * 2003-10-30 2005-05-26 Toshiba Corp 半導体集積回路装置
JP2005327766A (ja) * 2004-05-12 2005-11-24 Fujitsu Ltd フィン型チャネルfetを用いたシステムlsi及びその製造方法
JP2008010503A (ja) * 2006-06-27 2008-01-17 Toshiba Corp 半導体記憶装置およびその製造方法
US20080128802A1 (en) * 2005-03-31 2008-06-05 Zong-Liang Huo Single transistor floating body dram cell having recess channel transistor structure
US20090010056A1 (en) * 2002-11-20 2009-01-08 The Regents Of The University Of California Method and apparatus for capacitorless double-gate storage
JP2009531860A (ja) * 2006-03-29 2009-09-03 マイクロン テクノロジー, インク. フローティングボディトランジスタ構造、半導体構造、および半導体構造の形成方法

Family Cites Families (167)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3988771A (en) 1974-05-28 1976-10-26 General Electric Company Spatial control of lifetime in semiconductor device
DE3507484C2 (de) 1985-03-02 1993-10-28 Teves Gmbh Alfred Hydraulische Bremsanlage
JPS6379605A (ja) 1986-09-24 1988-04-09 フランスベッド株式会社 ベツド装置
US5106776A (en) 1988-06-01 1992-04-21 Texas Instruments Incorporated Method of making high performance composed pillar dRAM cell
JPH0750772B2 (ja) 1989-01-24 1995-05-31 富士通株式会社 半導体装置およびその製造方法
DE69133311T2 (de) * 1990-10-15 2004-06-24 Aptix Corp., San Jose Verbindungssubstrat mit integrierter Schaltung zur programmierbaren Verbindung und Probenuntersuchung
JP3081967B2 (ja) 1990-11-21 2000-08-28 富士通株式会社 シリコンオンインシュレータ基板の製造方法
US5102821A (en) * 1990-12-20 1992-04-07 Texas Instruments Incorporated SOI/semiconductor heterostructure fabrication by wafer bonding of polysilicon to titanium
JPH04283914A (ja) 1991-03-12 1992-10-08 Fujitsu Ltd 貼り合わせ半導体基板とその製造方法
FR2681472B1 (fr) * 1991-09-18 1993-10-29 Commissariat Energie Atomique Procede de fabrication de films minces de materiau semiconducteur.
US5465249A (en) 1991-11-26 1995-11-07 Cree Research, Inc. Nonvolatile random access memory device having transistor and capacitor made in silicon carbide substrate
US5412598A (en) 1992-04-27 1995-05-02 The University Of British Columbia Bistable four layer device, memory cell, and method for storing and retrieving binary information
JPH06104446A (ja) 1992-09-22 1994-04-15 Toshiba Corp 半導体装置
JPH0798460A (ja) * 1992-10-21 1995-04-11 Seiko Instr Inc 半導体装置及び光弁装置
US5260233A (en) * 1992-11-06 1993-11-09 International Business Machines Corporation Semiconductor device and wafer structure having a planar buried interconnect by wafer bonding
US5510630A (en) 1993-10-18 1996-04-23 Westinghouse Electric Corporation Non-volatile random access memory cell constructed of silicon carbide
JPH0888153A (ja) 1994-09-19 1996-04-02 Toshiba Corp 積層構造ウェハおよびその形成方法
DE4433845A1 (de) * 1994-09-22 1996-03-28 Fraunhofer Ges Forschung Verfahren zur Herstellung einer dreidimensionalen integrierten Schaltung
FR2729008B1 (fr) 1994-12-30 1997-03-21 Sgs Thomson Microelectronics Circuit integre de puissance
US6750091B1 (en) * 1996-03-01 2004-06-15 Micron Technology Diode formation method
US7052941B2 (en) * 2003-06-24 2006-05-30 Sang-Yun Lee Method for making a three-dimensional integrated circuit structure
US8018058B2 (en) 2004-06-21 2011-09-13 Besang Inc. Semiconductor memory device
FR2755537B1 (fr) * 1996-11-05 1999-03-05 Commissariat Energie Atomique Procede de fabrication d'un film mince sur un support et structure ainsi obtenue
JPH10150176A (ja) 1996-11-15 1998-06-02 Tadahiro Omi 半導体基体とその作製方法
US5874760A (en) 1997-01-22 1999-02-23 International Business Machines Corporation 4F-square memory cell having vertical floating-gate transistors with self-aligned shallow trench isolation
US6225151B1 (en) 1997-06-09 2001-05-01 Advanced Micro Devices, Inc. Nitrogen liner beneath transistor source/drain regions to retard dopant diffusion
US5936274A (en) 1997-07-08 1999-08-10 Micron Technology, Inc. High density flash memory
US5909618A (en) * 1997-07-08 1999-06-01 Micron Technology, Inc. Method of making memory cell with vertical transistor and buried word and body lines
EP0895282A3 (en) * 1997-07-30 2000-01-26 Canon Kabushiki Kaisha Method of preparing a SOI substrate by using a bonding process, and SOI substrate produced by the same
JP4623451B2 (ja) 1997-07-30 2011-02-02 忠弘 大見 半導体基板及びその作製方法
FR2767416B1 (fr) * 1997-08-12 1999-10-01 Commissariat Energie Atomique Procede de fabrication d'un film mince de materiau solide
FR2773261B1 (fr) * 1997-12-30 2000-01-28 Commissariat Energie Atomique Procede pour le transfert d'un film mince comportant une etape de creation d'inclusions
US5904507A (en) * 1998-02-23 1999-05-18 National Semiconductor Corporation Programmable anti-fuses using laser writing
US5963469A (en) 1998-02-24 1999-10-05 Micron Technology, Inc. Vertical bipolar read access for low voltage memory cell
US6242775B1 (en) * 1998-02-24 2001-06-05 Micron Technology, Inc. Circuits and methods using vertical complementary transistors
US6365488B1 (en) * 1998-03-05 2002-04-02 Industrial Technology Research Institute Method of manufacturing SOI wafer with buried layer
EP0945901A1 (de) 1998-03-23 1999-09-29 Siemens Aktiengesellschaft DRAM-Zellenanordnung mit vertikalen Transistoren und Verfahren zu deren Herstellung
US6225165B1 (en) * 1998-05-13 2001-05-01 Micron Technology, Inc. High density SRAM cell with latched vertical transistors
US6545297B1 (en) * 1998-05-13 2003-04-08 Micron Technology, Inc. High density vertical SRAM cell using bipolar latchup induced by gated diode breakdown
US6229161B1 (en) * 1998-06-05 2001-05-08 Stanford University Semiconductor capacitively-coupled NDR device and its applications in high-density high-speed memories and in power switches
US6137128A (en) * 1998-06-09 2000-10-24 International Business Machines Corporation Self-isolated and self-aligned 4F-square vertical fet-trench dram cells
JP4476390B2 (ja) 1998-09-04 2010-06-09 株式会社半導体エネルギー研究所 半導体装置の作製方法
FR2795865B1 (fr) * 1999-06-30 2001-08-17 Commissariat Energie Atomique Procede de realisation d'un film mince utilisant une mise sous pression
US6355520B1 (en) * 1999-08-16 2002-03-12 Infineon Technologies Ag Method for fabricating 4F2 memory cells with improved gate conductor structure
US6797604B2 (en) * 2000-05-08 2004-09-28 International Business Machines Corporation Method for manufacturing device substrate with metal back-gate and structure formed thereby
CN100358147C (zh) 2000-08-14 2007-12-26 矩阵半导体公司 密集阵列和电荷存储器件及其制造方法
US6600173B2 (en) * 2000-08-30 2003-07-29 Cornell Research Foundation, Inc. Low temperature semiconductor layering and three-dimensional electronic circuits using the layering
US6355501B1 (en) * 2000-09-21 2002-03-12 International Business Machines Corporation Three-dimensional chip stacking assembly
US6583440B2 (en) * 2000-11-30 2003-06-24 Seiko Epson Corporation Soi substrate, element substrate, semiconductor device, electro-optical apparatus, electronic equipment, method of manufacturing the soi substrate, method of manufacturing the element substrate, and method of manufacturing the electro-optical apparatus
US6559471B2 (en) * 2000-12-08 2003-05-06 Motorola, Inc. Quantum well infrared photodetector and method for fabricating same
FR2818010B1 (fr) * 2000-12-08 2003-09-05 Commissariat Energie Atomique Procede de realisation d'une couche mince impliquant l'introduction d'especes gazeuses
US6713791B2 (en) * 2001-01-26 2004-03-30 Ibm Corporation T-RAM array having a planar cell structure and method for fabricating the same
US7456439B1 (en) 2001-03-22 2008-11-25 T-Ram Semiconductor, Inc. Vertical thyristor-based memory with trench isolation and its method of fabrication
US6891205B1 (en) 2001-03-22 2005-05-10 T-Ram, Inc. Stability in thyristor-based memory device
US6897514B2 (en) 2001-03-28 2005-05-24 Matrix Semiconductor, Inc. Two mask floating gate EEPROM and method of making
US6492662B2 (en) * 2001-04-16 2002-12-10 Ibm Corporation T-RAM structure having dual vertical devices and method for fabricating the same
US6627924B2 (en) 2001-04-30 2003-09-30 Ibm Corporation Memory system capable of operating at high temperatures and method for fabricating the same
US6906354B2 (en) * 2001-06-13 2005-06-14 International Business Machines Corporation T-RAM cell having a buried vertical thyristor and a pseudo-TFT transfer gate and method for fabricating the same
JP2003030980A (ja) 2001-07-13 2003-01-31 Toshiba Corp 半導体記憶装置
US6841813B2 (en) 2001-08-13 2005-01-11 Matrix Semiconductor, Inc. TFT mask ROM and method for making same
US6744094B2 (en) * 2001-08-24 2004-06-01 Micron Technology Inc. Floating gate transistor with horizontal gate layers stacked next to vertical body
US6815781B2 (en) 2001-09-25 2004-11-09 Matrix Semiconductor, Inc. Inverted staggered thin film transistor with salicided source/drain structures and method of making same
EP1453094A4 (en) 2001-11-07 2006-08-23 Shindengen Electric Mfg NOSE GUARD SEMICONDUCTOR COMPONENT
US7081663B2 (en) 2002-01-18 2006-07-25 National Semiconductor Corporation Gate-enhanced junction varactor with gradual capacitance variation
US6872645B2 (en) * 2002-04-02 2005-03-29 Nanosys, Inc. Methods of positioning and/or orienting nanostructures
US6940748B2 (en) 2002-05-16 2005-09-06 Micron Technology, Inc. Stacked 1T-nMTJ MRAM structure
US7042749B2 (en) 2002-05-16 2006-05-09 Micron Technology, Inc. Stacked 1T-nmemory cell structure
JP2004003398A (ja) 2002-05-31 2004-01-08 Shin Caterpillar Mitsubishi Ltd 建設機械
US6781907B2 (en) 2002-06-06 2004-08-24 Micron Technology, Inc. Temperature compensated T-RAM memory device and method
US7224024B2 (en) * 2002-08-29 2007-05-29 Micron Technology, Inc. Single transistor vertical memory gain cell
US6838723B2 (en) 2002-08-29 2005-01-04 Micron Technology, Inc. Merged MOS-bipolar capacitor memory cell
TWI320571B (en) 2002-09-12 2010-02-11 Qs Semiconductor Australia Pty Ltd Dynamic nonvolatile random access memory ne transistor cell and random access memory array
US6690039B1 (en) 2002-10-01 2004-02-10 T-Ram, Inc. Thyristor-based device that inhibits undesirable conductive channel formation
US6953953B1 (en) * 2002-10-01 2005-10-11 T-Ram, Inc. Deep trench isolation for thyristor-based semiconductor device
US6965129B1 (en) 2002-11-06 2005-11-15 T-Ram, Inc. Thyristor-based device having dual control ports
US6812504B2 (en) 2003-02-10 2004-11-02 Micron Technology, Inc. TFT-based random access memory cells comprising thyristors
JP2004247545A (ja) 2003-02-14 2004-09-02 Nissan Motor Co Ltd 半導体装置及びその製造方法
US6956256B2 (en) * 2003-03-04 2005-10-18 Micron Technology Inc. Vertical gain cell
CN100394603C (zh) * 2003-04-03 2008-06-11 株式会社东芝 相变存储装置
US20040228168A1 (en) * 2003-05-13 2004-11-18 Richard Ferrant Semiconductor memory device and method of operating same
US8125003B2 (en) * 2003-07-02 2012-02-28 Micron Technology, Inc. High-performance one-transistor memory cell
US7195959B1 (en) 2004-10-04 2007-03-27 T-Ram Semiconductor, Inc. Thyristor-based semiconductor device and method of fabrication
US7180135B1 (en) 2003-10-06 2007-02-20 George Mason Intellectual Properties, Inc. Double gate (DG) SOI ratioed logic with intrinsically on symmetric DG-MOSFET load
US6888199B2 (en) * 2003-10-07 2005-05-03 International Business Machines Corporation High-density split-gate FinFET
US7268373B1 (en) 2003-11-12 2007-09-11 T-Ram Semiconductor, Inc. Thyristor-based memory and its method of operation
US7304327B1 (en) * 2003-11-12 2007-12-04 T-Ram Semiconductor, Inc. Thyristor circuit and approach for temperature stability
US6878991B1 (en) * 2004-01-30 2005-04-12 Micron Technology, Inc. Vertical device 4F2 EEPROM memory
US7075146B2 (en) * 2004-02-24 2006-07-11 Micron Technology, Inc. 4F2 EEPROM NROM memory arrays with vertical devices
US6995456B2 (en) * 2004-03-12 2006-02-07 International Business Machines Corporation High-performance CMOS SOI devices on hybrid crystal-oriented substrates
US7049654B2 (en) * 2004-03-31 2006-05-23 Intel Corporation Memory with split gate devices and method of fabrication
US7112997B1 (en) * 2004-05-19 2006-09-26 Altera Corporation Apparatus and methods for multi-gate silicon-on-insulator transistors
US8399934B2 (en) 2004-12-20 2013-03-19 Infineon Technologies Ag Transistor device
US7268969B2 (en) * 2004-06-30 2007-09-11 Hitachi Global Storage Technologies Netherlands B.V. Repeatable timing mark position error correction in self-servowrite
JP2008505476A (ja) * 2004-06-30 2008-02-21 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ ナノワイヤによってコンタクトがとられる導電性材料の層を有する電子装置を製造する方法
US7518182B2 (en) * 2004-07-20 2009-04-14 Micron Technology, Inc. DRAM layout with vertical FETs and method of formation
US20060034116A1 (en) * 2004-08-13 2006-02-16 Lam Chung H Cross point array cell with series connected semiconductor diode and phase change storage media
US7145186B2 (en) * 2004-08-24 2006-12-05 Micron Technology, Inc. Memory cell with trenched gated thyristor
US7365385B2 (en) * 2004-08-30 2008-04-29 Micron Technology, Inc. DRAM layout with vertical FETs and method of formation
US7271052B1 (en) * 2004-09-02 2007-09-18 Micron Technology, Inc. Long retention time single transistor vertical memory gain cell
US7259415B1 (en) 2004-09-02 2007-08-21 Micron Technology, Inc. Long retention time single transistor vertical memory gain cell
US7566974B2 (en) * 2004-09-29 2009-07-28 Sandisk 3D, Llc Doped polysilicon via connecting polysilicon layers
US7476939B2 (en) * 2004-11-04 2009-01-13 Innovative Silicon Isi Sa Memory cell having an electrically floating body transistor and programming technique therefor
EP1667223B1 (en) * 2004-11-09 2009-01-07 S.O.I. Tec Silicon on Insulator Technologies S.A. Method for manufacturing compound material wafers
US7326969B1 (en) 2004-12-02 2008-02-05 T-Ram Semiconductor, Inc. Semiconductor device incorporating thyristor-based memory and strained silicon
US7173312B2 (en) 2004-12-15 2007-02-06 International Business Machines Corporation Structure and method to generate local mechanical gate stress for MOSFET channel mobility modification
KR100702014B1 (ko) * 2005-05-03 2007-03-30 삼성전자주식회사 수직 채널 트랜지스터 구조를 갖는 단일 트랜지스터 플로팅바디 디램 소자들 및 그 제조방법들
US7279740B2 (en) * 2005-05-12 2007-10-09 Micron Technology, Inc. Band-engineered multi-gated non-volatile memory device with enhanced attributes
US7120046B1 (en) 2005-05-13 2006-10-10 Micron Technology, Inc. Memory array with surrounding gate access transistors and capacitors with global and staggered local bit lines
JP4696964B2 (ja) 2005-07-15 2011-06-08 ソニー株式会社 メモリ用の半導体装置
US7776715B2 (en) * 2005-07-26 2010-08-17 Micron Technology, Inc. Reverse construction memory cell
US7538000B2 (en) * 2005-07-28 2009-05-26 Freescale Semiconductor, Inc. Method of forming double gate transistors having varying gate dielectric thicknesses
US7511332B2 (en) * 2005-08-29 2009-03-31 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical flash memory
US20070047364A1 (en) * 2005-08-31 2007-03-01 International Business Machines Corporation Methods and apparatus for varying a supply voltage or reference voltage using independent control of diode voltage in asymmetrical double-gate devices
US7416943B2 (en) 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US7227233B2 (en) * 2005-09-12 2007-06-05 International Business Machines Corporation Silicon-on-insulator (SOI) Read Only Memory (ROM) array and method of making a SOI ROM
KR100675285B1 (ko) 2005-10-10 2007-01-29 삼성전자주식회사 수직 트랜지스터를 갖는 반도체소자 및 그 제조방법
KR100660881B1 (ko) * 2005-10-12 2006-12-26 삼성전자주식회사 수직 채널 트랜지스터를 구비한 반도체 소자 및 그 제조방법
KR100663368B1 (ko) 2005-12-07 2007-01-02 삼성전자주식회사 반도체 메모리 장치 및 이 장치의 데이터 라이트 및 리드방법
US7786505B1 (en) 2005-12-16 2010-08-31 T-Ram Semiconductor, Inc. Reduction of charge leakage from a thyristor-based memory cell
JP5011748B2 (ja) 2006-02-24 2012-08-29 株式会社デンソー 半導体装置
US8501561B2 (en) 2006-03-07 2013-08-06 Infineon Technologies Ag Method for producing a semiconductor component arrangement comprising a trench transistor
US7439594B2 (en) * 2006-03-16 2008-10-21 Micron Technology, Inc. Stacked non-volatile memory with silicon carbide-based amorphous silicon thin film transistors
US8008144B2 (en) * 2006-05-11 2011-08-30 Micron Technology, Inc. Dual work function recessed access device and methods of forming
US7589995B2 (en) 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
US7410856B2 (en) * 2006-09-14 2008-08-12 Micron Technology, Inc. Methods of forming vertical transistors
US7851859B2 (en) * 2006-11-01 2010-12-14 Samsung Electronics Co., Ltd. Single transistor memory device having source and drain insulating regions and method of fabricating the same
US7592209B2 (en) * 2006-11-13 2009-09-22 Intel Corporation Integration of a floating body memory on SOI with logic transistors on bulk substrate
US7619917B2 (en) * 2006-11-28 2009-11-17 Qimonda North America Corp. Memory cell with trigger element
US8217435B2 (en) * 2006-12-22 2012-07-10 Intel Corporation Floating body memory cell having gates favoring different conductivity type regions
JP2008177273A (ja) * 2007-01-17 2008-07-31 Toshiba Corp 半導体記憶装置及び半導体記憶装置の製造方法
US8368137B2 (en) * 2007-06-26 2013-02-05 Sandisk Technologies Inc. Dual bit line metal layers for non-volatile memory
US7816216B2 (en) * 2007-07-09 2010-10-19 Micron Technology, Inc. Semiconductor device comprising transistor structures and methods for forming same
US8159035B2 (en) 2007-07-09 2012-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gates of PMOS devices having high work functions
US7969808B2 (en) * 2007-07-20 2011-06-28 Samsung Electronics Co., Ltd. Memory cell structures, memory arrays, memory devices, memory controllers, and memory systems, and methods of manufacturing and operating the same
KR100881825B1 (ko) 2007-07-27 2009-02-03 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US9129845B2 (en) * 2007-09-19 2015-09-08 Micron Technology, Inc. Buried low-resistance metal word lines for cross-point variable-resistance material memories
US7439149B1 (en) * 2007-09-26 2008-10-21 International Business Machines Corporation Structure and method for forming SOI trench memory with single-sided strap
US20090108351A1 (en) * 2007-10-26 2009-04-30 International Business Machines Corporation Finfet memory device with dual separate gates and method of operation
US7719869B2 (en) * 2007-11-19 2010-05-18 Qimonda Ag Memory cell array comprising floating body memory cells
KR20090054245A (ko) 2007-11-26 2009-05-29 삼성전자주식회사 플로팅 바디 디램 소자 및 그 제조 방법
DE102007057728B4 (de) 2007-11-30 2014-04-30 Infineon Technologies Ag Verfahren zur Herstellung eines Halbleiterbauelements mit einer Kurzschlusstruktur
US7940558B2 (en) * 2007-12-21 2011-05-10 Qimonda Ag Integrated circuit comprising a thyristor and method of controlling a memory cell comprising a thyristor
KR100950472B1 (ko) * 2007-12-28 2010-03-31 주식회사 하이닉스반도체 4f2 트랜지스터를 갖는 반도체 소자의 제조방법
US8558220B2 (en) 2007-12-31 2013-10-15 Sandisk 3D Llc Memory cell that employs a selectively fabricated carbon nano-tube reversible resistance-switching element formed over a bottom conductor and methods of forming the same
US20090173984A1 (en) * 2008-01-08 2009-07-09 Qimonda Ag Integrated circuit and method of manufacturing an integrated circuit
US7795691B2 (en) 2008-01-25 2010-09-14 Cree, Inc. Semiconductor transistor with P type re-grown channel layer
US8014195B2 (en) * 2008-02-06 2011-09-06 Micron Technology, Inc. Single transistor memory cell
US7742324B2 (en) * 2008-02-19 2010-06-22 Micron Technology, Inc. Systems and devices including local data lines and methods of using, making, and operating the same
US8030634B2 (en) * 2008-03-31 2011-10-04 Macronix International Co., Ltd. Memory array with diode driver and method for fabricating the same
CN101621036B (zh) * 2008-07-02 2011-08-17 中芯国际集成电路制造(上海)有限公司 具有非晶硅mas存储单元结构的半导体器件及其制造方法
KR101498873B1 (ko) 2008-07-08 2015-03-04 삼성전자주식회사 디램 및 비휘발성 메모리 특성을 갖는 메모리 소자의 구동방법
KR101159879B1 (ko) 2008-07-14 2012-06-25 에스케이하이닉스 주식회사 고집적 반도체 기억 장치
US8130537B2 (en) 2008-09-09 2012-03-06 Qimonda Ag Phase change memory cell with MOSFET driven bipolar access device
KR20100070835A (ko) 2008-12-18 2010-06-28 삼성전자주식회사 사이리스터를 갖는 메모리 셀 및 그것을 포함한 메모리 장치
US8405121B2 (en) 2009-02-12 2013-03-26 Infineon Technologies Ag Semiconductor devices
KR101073643B1 (ko) 2009-02-19 2011-10-14 서울대학교산학협력단 고성능 단일 트랜지스터 플로팅 바디 dram 소자 및 그 제조 방법
US8148780B2 (en) 2009-03-24 2012-04-03 Micron Technology, Inc. Devices and systems relating to a memory cell having a floating body
US7929343B2 (en) 2009-04-07 2011-04-19 Micron Technology, Inc. Methods, devices, and systems relating to memory cells having a floating body
US8508994B2 (en) 2009-04-30 2013-08-13 Micron Technology, Inc. Semiconductor device with floating gate and electrically floating body
US10566462B2 (en) 2009-07-30 2020-02-18 Infineon Technologies Austria Ag Bipolar semiconductor device and manufacturing method
US8513722B2 (en) 2010-03-02 2013-08-20 Micron Technology, Inc. Floating body cell structures, devices including same, and methods for forming same
US8507966B2 (en) 2010-03-02 2013-08-13 Micron Technology, Inc. Semiconductor cells, arrays, devices and systems having a buried conductive line and methods for forming the same
US9608119B2 (en) 2010-03-02 2017-03-28 Micron Technology, Inc. Semiconductor-metal-on-insulator structures, methods of forming such structures, and semiconductor devices including such structures
US9646869B2 (en) 2010-03-02 2017-05-09 Micron Technology, Inc. Semiconductor devices including a diode structure over a conductive strap and methods of forming such semiconductor devices
US8288795B2 (en) 2010-03-02 2012-10-16 Micron Technology, Inc. Thyristor based memory cells, devices and systems including the same and methods for forming the same
US8598621B2 (en) 2011-02-11 2013-12-03 Micron Technology, Inc. Memory cells, memory arrays, methods of forming memory cells, and methods of forming a shared doped semiconductor region of a vertically oriented thyristor and a vertically oriented access transistor
US8952418B2 (en) 2011-03-01 2015-02-10 Micron Technology, Inc. Gated bipolar junction transistors

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003078033A (ja) * 2001-09-06 2003-03-14 Sony Corp 半導体装置およびその製造方法
US20090010056A1 (en) * 2002-11-20 2009-01-08 The Regents Of The University Of California Method and apparatus for capacitorless double-gate storage
JP2005136191A (ja) * 2003-10-30 2005-05-26 Toshiba Corp 半導体集積回路装置
JP2005327766A (ja) * 2004-05-12 2005-11-24 Fujitsu Ltd フィン型チャネルfetを用いたシステムlsi及びその製造方法
US20080128802A1 (en) * 2005-03-31 2008-06-05 Zong-Liang Huo Single transistor floating body dram cell having recess channel transistor structure
JP2009531860A (ja) * 2006-03-29 2009-09-03 マイクロン テクノロジー, インク. フローティングボディトランジスタ構造、半導体構造、および半導体構造の形成方法
JP2008010503A (ja) * 2006-06-27 2008-01-17 Toshiba Corp 半導体記憶装置およびその製造方法

Also Published As

Publication number Publication date
KR101471734B1 (ko) 2014-12-10
CN102822972A (zh) 2012-12-12
US8841715B2 (en) 2014-09-23
KR20120123588A (ko) 2012-11-08
US8530295B2 (en) 2013-09-10
US8513722B2 (en) 2013-08-20
US20130309820A1 (en) 2013-11-21
US8859359B2 (en) 2014-10-14
WO2011109149A2 (en) 2011-09-09
TW201145364A (en) 2011-12-16
US20110215408A1 (en) 2011-09-08
WO2011109149A3 (en) 2011-11-17
EP2543068A2 (en) 2013-01-09
US20130011977A1 (en) 2013-01-10
TWI503874B (zh) 2015-10-11
CN102822972B (zh) 2016-06-08
EP2543068A4 (en) 2014-07-09
SG183450A1 (en) 2012-09-27
US20130307042A1 (en) 2013-11-21

Similar Documents

Publication Publication Date Title
US8841715B2 (en) Floating body cell structures, devices including same, and methods for forming same
US8866209B2 (en) Semiconductor cells, arrays, devices and systems having a buried conductive line and methods for forming the same
US8624350B2 (en) Semiconductor device and method of fabricating the same
KR101480211B1 (ko) 사이리스터-기반의 메모리 셀들, 이를 포함하는 장치들 및 시스템들 및 이를 형성하는 방법들
JP5610264B2 (ja) 半導体装置、半導体部品および半導体構造、ならびに半導体装置、半導体部品および半導体構造を形成する方法
CN101677088B (zh) 半导体装置的制造方法
JP2004530300A (ja) 窪み付きgatdramトランジスタおよび方法
JPH11330422A (ja) 半導体デバイス、半導体デバイスアレイ、半導体生成物及び縦形半導体デバイスの作製方法並びにdram生成物の作製方法
JP2004071935A (ja) 半導体装置および半導体装置の製造方法
US8227301B2 (en) Semiconductor device structures with floating body charge storage and methods for forming such semiconductor device structures
US20110263089A1 (en) Method for fabricating semiconductor device
US7714388B2 (en) Semiconductor memory device and manufacturing method thereof
CN111788686A (zh) 三维存储器件及用于形成其的方法
KR100944342B1 (ko) 플로팅 바디 트랜지스터를 갖는 반도체 소자 및 그 제조방법
US20230120017A1 (en) Semiconductor structure and method for fabricating same
KR20220042665A (ko) 반도체 장치 및 그의 제조 방법

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131203

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20140225

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140225

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140513

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20140805

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140805

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20140819

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140924

A045 Written measure of dismissal of application [lapsed due to lack of payment]

Free format text: JAPANESE INTERMEDIATE CODE: A045

Effective date: 20150127