KR20120123588A - 플로팅 바디 셀 구조, 이를 포함하는 장치, 및 이를 형성하는 방법 - Google Patents

플로팅 바디 셀 구조, 이를 포함하는 장치, 및 이를 형성하는 방법 Download PDF

Info

Publication number
KR20120123588A
KR20120123588A KR1020127025450A KR20127025450A KR20120123588A KR 20120123588 A KR20120123588 A KR 20120123588A KR 1020127025450 A KR1020127025450 A KR 1020127025450A KR 20127025450 A KR20127025450 A KR 20127025450A KR 20120123588 A KR20120123588 A KR 20120123588A
Authority
KR
South Korea
Prior art keywords
floating body
floating
body cell
gate
back gate
Prior art date
Application number
KR1020127025450A
Other languages
English (en)
Other versions
KR101471734B1 (ko
Inventor
산 디. 탕
존 케이. 자후락
워너 주엔글링
Original Assignee
마이크론 테크놀로지, 인크
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마이크론 테크놀로지, 인크 filed Critical 마이크론 테크놀로지, 인크
Publication of KR20120123588A publication Critical patent/KR20120123588A/ko
Application granted granted Critical
Publication of KR101471734B1 publication Critical patent/KR101471734B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/20DRAM devices comprising floating-body transistors, e.g. floating-body cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7841Field effect transistors with field effect produced by an insulated gate with floating body, e.g. programmable transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • H10B12/056Making the transistor the transistor being a FinFET
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/36DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells the transistor being a FinFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Thin Film Transistor (AREA)
  • Non-Volatile Memory (AREA)

Abstract

백 게이트 상에 배치된 플로팅 바디 셀들의 어레이 및 백 게이트로부터 이격된 플로팅 바디 셀들의 소스 영역들과 드레인 영역들을 포함하는 플로팅 바디 셀 구조들. 플로팅 바디 셀들은 각각이 u-형상 트렌치와 같은 보이드에 의해 분리될 수 있는 필라들 사이에서 확장하는 채널 영역을 갖는 다량의 반도전성 물질을 포함할 수 있다. 어레이의 플로팅 바디 셀들은 다량의 반도전성 물질의 측벽들 상에 혹은 이 안에 보이드 내에 배치될 수 있는 또 다른 게이트에 전기적으로 결합될 수 있다. 플로팅 바디 셀 장치들을 형성하는 방법들 또한 개시된다.

Description

플로팅 바디 셀 구조, 이를 포함하는 장치, 및 이를 형성하는 방법{FLOATING BODY CELL STRUCTURES, DEVICES INCLUDING SAME, AND METHODS FOR FORMING SAME}
관계된 출원들에 대한 상호참조
이 출원은 "SEEMICONDUCTOR-METAL-ON-INSULATOR STRUCTURES, METHODS OF FORMING SUCH STRUCTURES, AND SEMICONDUCTOR DEVICES INCLUDING SUCH STRUCTURES"(attorney docket number 2269-9742US) 명칭의 본원과 동일자로 출원된 동시 계류 중인 미국특허출원번호 12/XXX,XXX; "SEMICONDUCTOR DEVICES INCLUDING A DIODE STRUCTURE OVER A CONDUCTIVE STRAP, AND METHODS OF FORMING SUCH SEMICONDUCTOR DEVICES"(attorney docket number 2269-9803US) 명칭으로 본원과 동일자로 출원된 동시 계류 중인 미국특허출원번호 12/XXX,XXX; "THYRISTOR-BASED MEMORY CELLS, DEVICES AND SYSTEMS INCLUDING THE SAME AND METHODS FOR FORMING THE SAME"(attorney docket number 2269-9804US) 명칭으로 본원과 동일자로 출원된 동시 계류 중인 미국특허출원번호 12/XXX,XXX; 및 "SEMICONDUCTOR CELLS, ARRAYS, DEVICES AND SYSTEMS HAVING A BURIED CONDUCTIVE LINE AND METHODS FOR FORMING THE SAME"(attorney docket number 2269-9819US) 명칭으로 본원과 동일자로 출원된 동시 계류 중인 미국특허출원번호 12/XXX,XXX에 관계된 것이며, 이들 각각의 개시된 전체를 참조로서 본원에 포함시킨다.
기술분야
본 발명의 실시예들은 메모리 밀도를 증가시키기 위한 방법들, 구조들, 및 장치들에 관한 것으로, 특히 다중-게이트(multi-gate) 플로팅 바디 셀 구조들을 포함하는 장치들, 이러한 구조들을 포함하는 장치들, 및 이러한 장치들을 형성하기 위한 방법들에 관한 것이다.
트랜지스터 및 캐패시터를 포함하는 동적 랜덤 액세스 메모리(DRAM) 셀은 작은 셀 크기 및 높은 동작속도를 갖는다. 그러나, 캐패시터 집적도(integration) 및 스케일링(scaling)은 DRAM 셀 면적의 감소를 방해한다. 각 DRAM 메모리 세대마다 일정한 정전용량(capacitance) 값이 목표가 되고 복잡한 스택 또는 깊은-트렌치 캐패시터를 요구하여 이는 추가의 공정 단계들을 유발하고 통상의 상보 금속 산화물 반도체(CMOS : Complementary metal oxide semiconductor) 구조들과의 호환성을 줄인다.
스케일링 문제들을 해결하기 위해서, 통상의 저장 캐패시터를 실리콘-온-절연체(SOI : silicon-on-insulator) 금속-산화물-반도체 전계-효과-트랜지스터(MOSFET : metal-oxide-semiconductor field-effect-transistor)의 박막 바디로 대체하는 대안적 해결책이 제안되었다. 이러한 구조에 대한 메모리 저장 메커니즘은 다수 캐리어 과잉(축적) 또는 플로팅-바디 내 결손(공핍)에 의해 야기되는 임계전압 이동에 기초한다. 셀은 저장 요소로서, 트랜지스터의 임계 전압을 변경시키는, SOI 트랜지스터의 채널 밑에 전하를 저장하는 플로팅-바디 효과를 이용한다. 다수 전하 캐리어 농도를 즉시 조절하기 위한 바디 콘택이 없기 때문에 비교적 "긴" 시간 주기 후에만 평형이 확립되므로, 이것은 보유(retention) 및 리프레시(refresh) 시간 면에서 SOI 메모리들을 주목 받게 하게 한다.
플로팅 바디 셀 크기가 더 작아짐에 따라, 플로팅 바디의 용적은 감소하고 소스 영역과 드레인 영역 사이는 더 가까워져, 플로팅 바디엔 전하가 덜 저장되어, 쇼클레이-리드 홀(SRH : Shockley-Read Hall) 재결합에 의해 야기된 순방향 바이어스 효과에 의해 일소되는 전하 유실을 초래한다. 이러한 전하 유실은 셀 내 전하 보유를 감소시키거나 유실되게 할 수 있다. 통상의 구조에서 이 현상을 방지하기 위해서, 셀 크기가 작아짐에 따라 SOI 기판의 두께가 감소된다. 그러나, SOI 기판의 두께가 감소됨에 따라, 플로팅 바디 내 축적되는 전하량이 감소되고 셀은 동작 동안 노이즈를 받기 쉬울 수 있다. 즉, 플로팅 바디 효과는 감소되어 장치의 동작 마진을 감소시킨다.
플로팅 바디 트랜지스터들에서 밀도 및 신뢰성을 증가시키는 방법들, 구조들 및 장치들에 대한 필요성이 있다.
도 1 내지 도 3은 본 발명의 실시예들에 따른 플로팅 바디 셀 장치의 부분의 사시도들이다.
도 4 내지 도 14는 도 1 내지 도 3에 도시된 본 발명의 실시예들에 따른 제조의 여러 단계들 동안에 플로팅 바디 셀 구조의 부분의 단면도, 사시도 및 평면도이다.
도 15는 본 발명의 또 다른 실시예들에 따른 플로팅 바디 셀 장치의 부분의 사시도이다.
도 16 내지 도 19는 도 15에 도시된 본 발명의 실시예들에 따른 제조의 여러 단계들 동안에 플로팅 바디 셀 구조의 부분의 단면도 및 사시도이다.
도 20 내지 도 22는 본 발명의 실시예들에 따른 플로팅 바디 셀 장치의 부분을 각각 나타내는 전기회로도이다.
도 23은 본원에 기술된 하나 이상의 실시예들에 따라 구현된 시스템의 간략화된 블록도이다.
다중-게이트 플로팅 바디 셀 구조, 이러한 구조를 포함하는 장치 및 이러한 구조를 형성하기 위한 방법들이 개시된다. 이러한 구조들은 예를 들면, 백 게이트(back gate) 상에 배치된 적어도 한 플로팅 바디 셀 및 적어도 한 플로팅 바디 셀에 연관된 또 다른 게이트를 포함한다. 또 다른 게이트는 적어도 한 플로팅 바디 내에 혹은 이의 측벽들 상에 배치될 수 있다. 구조들 및 장치들은 동적 랜덤 액세스 메모리(DRAM : dynamic random access memory), 제로 캐패시터 랜덤 액세스 메모리(Z-RAM : zero capacitor random access memory), 및 내장형 동적 랜덤 액세스 메모리(eDRAM : embedded dynamic random access memory)와 같은 수많은 반도체 장치들에서 사용될 수 있다. 구조들 및 장치들은 중앙처리유닛들(CPUs : central processing units), 시스템-온-칩(SOC : system-on-chip), 센서들, 이미저들, 마이크로 전기-기계 시스템들(MEMS : micro electro-mechanical systems) 및 나노 전기-기계 시스템들(NEMS : nano electro-mechanical systems)과 같은 시스템에서도 사용될 수 있다. 이러한 구조들을 형성하는 방법들은 웨이퍼 위에 놓이는 반도전성(semiconductive) 물질, 유전체 물질, 게이트 물질, 및 비정질 실리콘 물질을 포함하는 베이스(base)를 형성하는 단계, 베이스(base) 물질의 표면으로부터 돌출하는 복수의 플로팅 바디들을 형성하기 위해 반도전성 물질의 부분을 제거하는 단계, 복수의 플로팅 바디들의 각각 내에 보이드(void)를 형성하기 위해 반도전성 물질의 또 다른 부분을 제거하는 단계, 복수의 플로팅 바디들의 각각의 상측 영역들에 소스 영역 및 드레인 영역을 형성하기 위해 복수의 플로팅 바디들을 적어도 한 도펀트에 노출시키는 단계, 및 복수의 플로팅 바디들 중 적어도 하나에 연관된 게이트를 형성하는 단계를 포함한다.
본 발명의 여러 실시예들에 따라 형성된 구조들은 복수의 플로팅 바디 셀들을 포함하며, 그 각각은 백 게이트 상에 배치되고 또 다른 게이트에 연관된다. 복수의 플로팅 바디 셀들의 각각은 일 분량의(a volume of) 반도체(semiconductor) 물질에 의해 백 게이트로부터 이격된 소스 영역과 드레인 영역 및 백 게이트에 의해 결합된 채널을 포함할 수 있다. 백 게이트 전극과 소스 영역 및 드레인 영역의 각각 사이에 다량의 반도전성 물질은 플로팅 바디 셀들 내에 전하 저장을 실질적으로 증가시켜, 신호 변동을 최소화할 수 있다. 또한, 백 게이트는 장치에서 캐패시터로서 기능할 수 있고, 이에 따라, 장치에 의해 요구되는 면적을 감소시킴으로써 더 긴 보유 시간 및 증가된 메모리 밀도를 제공한다. 백 게이트는 각각이 독립적으로 바이어스 될 수 있는 국부적인 백 게이트로서, 혹은 전역 백 게이트로서 형성될 수 있다. 예를 들면, 국부적인 백 게이트는 프로그래밍 및 셀 동작 목적들을 위해 요망될 수 있다. 구성된 바와 같이, 전하는 백 게이트 근처에 플로팅 바디 셀의 바닥에 저장되고, 이에 따라, 소스 영역 및 드레인 영역으로부터 분리된다. 따라서, 동작 동안 전하 유실은 최소화되어 더 긴 보유, 개선된 신뢰성 및 감소된 교란들을 제공한다.
본 발명의 여러 실시예들에 따라 형성된 구조들 및 장치들은 상보 금속 산화물 반도체(CMOS) 장치와 같은 다양한 메모리 장치들에 적층될 수 있다. 본 발명의 여러 실시예들에 따라 형성된 구조들 및 장치들을 집적하는 것은 셀 크기를 감소시키고 증가된 캐시 메모리 밀도를 제공할 수 있다.
다음 설명은 본 발명의 실시예들 및 이의 구현의 완전한 설명을 제공하기 위해서, 물질 유형들 및 가공 조건들과 같은 구체적 상세들을 제공한다. 그러나, 당업자는 본 발명의 실시예들이 이들 구체적 상세들을 채용함이 없이 그리고 통상의 제조 기술들에 관련하여 실시될 수 있음을 이해할 것이다. 또한, 본원에 제공되는 설명은 플로팅 바디 셀 구조를 포함하는 반도체 장치를 제조하기 위한 완전한 공정 흐름을 형성하지 않는다. 본 발명의 실시예들을 이해하는데 필요한 공정 단계들 및 구조들만이 본원에서 상세히 기술된다. 발명의 실시예에 따라 플로팅 바디 셀 구조를 포함하는 완전한 반도체 장치를 형성하기 위한 추가의 단계들은 통상적인 기술들에 의해 수행될 수 있다.
본원에 기술된 물질들은 스핀 코팅(spin coating), 블랭킷 코팅(blanket coating), 화학기상증착("CVD" : chemical vapor deposition), 플라즈마 증강 화학기상증착("PECVD" : plasma enhanced chemical vapor deposition), 원자층 증착("ALD" : atomic layer deposition), 플라즈마 인핸스드 ALD ( plasma enhanced ALD), 또는 물리기상증착("PVD" : physical vapor deposition)을 포함하는 임의의 적합한 기술에 의해 형성될 수 있지만 이들로 제한되는 것은 아니다. 대안적으로, 물질들은 인 시튜(in situ)로 성장될 수 있다. 특정한 물질을 증착 또는 성장시키는데 적합한 기술은 당업자에 의해 선택될 수 있다. 본원에 기술되고 예시된 물질들이 층들로서 형성될 수 있으나, 물질들은 이들로 제한되지 않으며 다른 3차원 구성들로 형성될 수도 있다.
다음 상세한 설명에서, 본원의 일부를 이루며 예시에 의해 발명이 실시될 수 있는 구체적 실시예들이 도시된 동반된 도면들을 참조한다. 이들 실시예들은 당업자가 발명을 실시할 수 있게 충분한 상세로 기술된다. 그러나, 다른 실시예들이 이용될 수도 있으며, 발명의 범위 내에서 구조적, 논리적, 및 전기적 변경들이 행해질 수 있다. 본원에 제시되는 예시들은 임의의 특별한 시스템, 논리 장치, 메모리 셀, 또는 플로팅 바디 셀 구조를 사실적으로 나타내려는 것이 아니라 본 발명의 실시예들을 기술하기 위해 채용된 것으로 단순히 이상적으로 나타낸 것이다. 본원에 제시되는 도면들은 반드시 축척에 맞게 도시된 것은 아니다. 또한, 도면들 간에 공통되는 구성요소들은 동일한 참조부호를 가질 수 있다.
도 1 내지 도 3은 플로팅 바디 셀들(104)의 어레이를 포함하는 플로팅 바디 셀 구조들(100, 101, 103)의 실시예들을 도시한 사시도들이며, 이러한 것을 형성하는 상세들이 이하 상세히 기술된다. 일부 실시예들에서, 플로팅 바디 셀 구조(100)는 수직 다중-게이트 플로팅 바디 셀 장치를 형성하기 위해 사용될 수 있다. 어레이 내 각 플로팅 바디 셀(104)은 다량의 반도전성 물질(102)을 포함할 수 있다. 다량의 반도전성 물질(102)은 u-형상 트렌치와 같은 보이드(110)가 정의된 필라들(108)을 포함할 수 있다. 각 다량의 반도전성 물질(102)의 필라들(108)의 상측 부분은 이들의 나머지 부분들과는 다르게 도핑되어 소스 영역(112) 및 드레인 영역(114)을 형성할 수 있다. 비제한적 예로서, 소스 영역(112) 및 드레인 영역(114)은 n형 물질로 도핑 될 수 있고, 다량의 반도전성 물질(102)의 나머지 부분들은 p형 물질로 도핑 될 수 있다. 소스 영역(112) 및 드레인 영역(114)은 각각 이하 상세히 기술되는 바와 같이, 공통 소스 라인(116)과 같은 액세스 라인, 및 비트라인(118)과 같은 데이터/감지 라인에 전기적으로 결합될 수 있다. 비제한적 예로서, 콘택 플러그(119)는 소스 영역(112) 및 드레인 영역(114) 중 적어도 하나와 연관된 공통 소스 라인(116) 또는 비트라인(118) 사이에 배치될 수 있다. 콘택 플러그(119)가 도 1에서 드레인 영역들(114)과 비트라인들(118) 사이에 배치된 것으로서 도시되었을지라도, 콘택 플러그(119)는, 추가로 또는 대안적으로, 소스 영역들(112)과 공통 소스 라인들(116) 사이에 배치될 수도 있다.
비제한적 예로서, 플로팅 바디 셀들(104)의 각각은 제 1 방향(X)으로 확장하는 복수의 행(row)들 및 제 2 방향(Y)으로 확장하는 복수의 컬럼(column)들을 포함하는 어레이로 서로 정렬될 수 있다. 도 1 내지 도 3에 도시된 플로팅 바디 셀 구조들(100, 101, 103) 각각은 2개의 행들 및 3개의 컬럼들을 포함한다. 그러나, 구성된 바와 같이, 플로팅 바디 셀 구조들(100, 101, 103)은 임의의 수의 행들 및 컬럼들을 포함할 수 있다. 또한, 제 1 방향(X)으로 정렬된 플로팅 바디 셀들(104)의 행들은 제 2 방향(Y)으로 정렬된 플로팅 바디 셀들(104)의 컬럼들에 실질적으로 수직할 수 있다.
플로팅 바디 셀들(104)은 예를 들면, 유전체 물질(126), 도전성 물질(124) 및 비정질 실리콘 물질(128)을 포함할 수 있는 백 게이트(123) 상에 배치될 수 있다. 설명을 쉽게 하기 위해, 도전성 물질(124)을 이하 백 게이트 전극(124)이라 하고 유전체 물질(126)을 이하 백 게이트 유전체(126)라 할 것이다. 플로팅 바디 셀들(104)은 각각이 백 게이트(123)에 전기적으로 결합될 수 있다. 선택적으로, 백 게이트 전극들(124) 각각은 금속(127) 및 도핑된 영역(125)을 포함할 수 있다. 백 게이트 전극들(124)은 예를 들면, 금속 모드 질화티탄(MMTiN : metal mode titanium nitride)과 같은 티탄-농후 질화티탄 물질(titanium-rich titanium nitride material), 질화탄탈(tantalum nitride) 물질 또는 탄탈 실리사이드 물질(tantalum silicide material)로부터 형성될 수 있다. 백 게이트 유전체(126) 및 금속(127)은 플로팅 바디 셀 구조들(100, 101, 103)에서 캐패시터로서 기능할 수 있는 금속-절연체-금속(MIM : metal-insulator-metal) 구조를 형성한다. 증가된 정전용량은 금속(127)의 일함수(work function)와 백 게이트 유전체(126)의 유전상수(k-값), 및 백 게이트 유전체(126) 및 금속(127)의 두께들 중 적어도 하나를 최적화함으로써 얻어질 수 있다. 이러한 식으로 증가한 정전용량은 플로팅 바디 구조들(100, 101, 103)에서 증가된 보유 시간들을 제공할 수 있다.
백 게이트 전극(124)은 예를 들면, 웨이퍼(도시되지 않음) 상에 형성될 수 있는, 전기적 절연 물질(130) 상에 배치되는 비정질 실리콘 물질(128) 위에 놓여질 수 있다. 일부 실시예들에서, 플로팅 바디 셀들(104)의 각각의 백 게이트(123)는 도 1 및 도 2에 도시된 바와 같이, 연속되고 플로팅 바디 셀들(104)의 측벽들에 정렬된 측벽들을 갖는 국부적인 백 게이트로서 구성될 수 있다. 단일의 플로팅 바디 셀(104)이 백 게이트(123) 상에 배치될 수도 있고, 혹은 선택적으로, 복수의 플로팅 바디 셀들(104)이 백 게이트(123) 상에 배치될 수도 있다. 백 게이트(123)는 셀의 동작 동안 독립적으로 바이어스 될 수 있는 복수의 국부적인 백 게이트들로서 패터닝되거나, 전역 백 게이트로서 패터닝 될 수 있다. 예를 들면, 도 1에 도시된 바와 같이, 백 게이트(123)는 행들 중 적어도 하나를 따라 제 1 방향(X)으로 확장하는 국부적인 백 게이트 일 수 있고, 이 행에 정렬된 복수의 플로팅 바디 셀들(104)이 위에 배치될 수 있다. 다른 실시예들에서, 도 2에 도시된 바와 같이, 백 게이트(123)는 컬럼들 중 적어도 하나를 따라 제 2 방향(Y)으로 확장하는 국부적인 백 게이트 일 수 있고, 이 컬럼에 배열된 복수의 플로팅 바디 셀들(104)은 그 위에 배치될 수 있다. 비제한적 예로서, 백 게이트들(123) 각각은 비트라인들(118) 위에 놓이는 것에 실질적으로 평행하게 정렬될 수 있다. 다른 실시예들에서, 도 3에 도시된 바와 같이, 플로팅 바디 셀 구조(100)의 백 게이트(123)는 제 1 방향(X) 및 제 2 방향(Y)으로 정렬된 복수의 플로팅 바디 셀들(104)이 위에 배치될 수 있는 전역 백 게이트 일 수 있다.
도전성 요소(132)는 각각 플로팅 바디 셀들(104)의 보이드(110) 내에 배치될 수 있다. 설명을 쉽게 하기 위해서, 도전성 요소(132)를 이하 매립 게이트 전극(132)(buried gate electrode)이라 한다. 매립 게이트 전극(132)과 플로팅 바디 셀(104) 내 보이드(110) 내에 노출된 반도전성 물질 사이에 또 다른 유전체 물질(134)이 배치될 수 있다. 설명을 쉽게 하기 위해서, 유전체 물질(134)을 이하 매립 게이트 유전체(134)(buried gate dielectric)라 한다. 매립 게이트 유전체(134)은 선택적으로, 플로팅 바디 셀들(104)의 각각의 채널 영역(106)과 필라들(108)의 상측 부분들 내 소스 영역(112)과 드레인 영역(114) 사이에 각각의 계면(interface)들 밑에서 혹은 이들에서 종지(terminate)할 수 있다. 매립 게이트 전극(132)로부터 나오는 전자기장들은 연관된 플로팅 바디 셀(104)을 통하는 채널을 형성할 수 있고, 이것은 전류가 소스 영역(112)에서 드레인 영역(114)으로 흐를 수 있게 한다.
플로팅 바디 셀(104)의 사용 및 동작 동안, 다수 캐리어는 매립 게이트 전극(132), 소스 영역(112) 및 드레인 영역(114) 각각으로부터 분리된 플로팅 바디 셀들(104) 내에 위치에 저장된다. 결국, 통상의 플로팅 바디 셀 구조들에 비해 전하 보유 및 신뢰도가 향상될 수 있다. 구성된 바와 같이, 다량의 반도전성 물질(102)의 두께는 본원에 더 상세히 기술된 바와 같이, 매립 게이트 전극(132), 소스 영역(112) 및 드레인 영역(114)로부터 저장된 전하의 위치를 더 멀리 떨어지게 하기 위해 가변 될 수 있다. 또한, 구성된 바와 같이, 통상의 구조들의 플로팅 바디와 비교하여 더 큰 저장 용적(storage volume)을 갖게 다량의 반도전성 물질(102)이 형성될 수 있다. 이것은 플로팅 바디 셀(104) 내에 증가된 전하 저장을 할 수 있게 하며, 따라서, 유실된 전하에 기인한 신호 변동을 최소화 할 수 있다. 결국, 플로팅 바디 셀(104)은 통상적인 구조들과 비교하여 향상된 신호, 더 긴 보유 및 증가된 신뢰도를 제공할 수 있다.
일부 실시예들에서, 플로팅 바디 셀 구조들(100, 101, 103)은 다중-레벨(multi-level) 반도체 구조를 형성하기 위해, CMOS 장치와 같은 다른 메모리 요소들(도시되지 않음)에 중첩되고 및/또는 이들과 집적될 수 있다. 플로팅 바디 셀 구조들(100, 101, 103)은 중앙처리유닛(CPU : central process unit) 및 시스템-온-칩(SOC : system-on-a-chip)과 같은 시스템을 형성하기 위해 적어도 한 메모리 장치에 동작 가능하게 결합될 수 있고, 혹은 밀도를 증가시키기 위해 플로팅 바디 셀 구조들(100, 101, 103)의 복수의 단들(tiers)이 서로 수직으로 적층 될 수도 있다.
도 4 내지 도 14를 참조하여, 동일 구성요소들에 동일 참조부호가 지정된 도 1 내지 도 3에 도시된 것들과 같은, 복수의 플로팅 바디 셀들(104)을 포함하는 플로팅 바디 셀 구조들(100, 101, 103)을 형성하는 방법이 이제 기술될 것이다. 도 4를 참조하면, 백 게이트 유전체(126) 위에 놓이고 이와 접촉하는 반도전성 물질(142), 백 게이트 콘택(124) 위에 놓여 이와 접촉하는 백 게이트 유전체(126), 비정질 실리콘 물질(128) 위에 놓여 이에 접촉하는 백 게이트 전극(124), 및 전기적 절연 물질(130) 위에 놓여 이에 접촉하는 비정질 실리콘 물질(128)을 포함하는 반도체 구조(140)가 제공될 수 있다. 반도체 구조(140)는 점선들로 도시된 바와 같이, 선택적으로, 도핑된 영역(125) 및 금속(127)을 포함할 수 있다. 일부 실시예들에서, 전기적 절연 물질(130)이 핸들 웨이퍼(handle wafer)(144) 상에 형성될 수 있다. 본원에서 사용되는 바와 같이, "웨이퍼"라는 용어는 예를 들면, 실리콘, 게르마늄, 갈륨비소, 인듐인화물, 및 그외 III-V 또는 II-VI형 반도전성 물질들을 포함하는 반도체 유형 물질을 포함하는 임의의 구조를 의미한다. 웨이퍼들은 예를 들면, 통상의 웨이퍼들만이 아니라, 비제한적 예로서, 실리콘-온-절연체(SOI) 유형 기판들, 실리콘-온-사파이어(SOS : silicon-on-sapphire) 유형 기판들, 및 또 다른 물질에 의해 지지되는 에피택셜 층들과 같은 다른 벌크 반도체 기판들을 포함한다. 또한, 다음 설명에서 "웨이퍼"를 언급할 때, 웨이퍼의 표면 내에 혹은 이 위에 회로 또는 장치의 요소들 또는 성분들을 적어도 부분적으로 형성하기 위해 이전의 공정 단계들이 이용되어 있을 수도 있다. 일부 실시예들에서, 전기적 절연 물질(130)은 핸들 웨이퍼(144) 내에 혹은 이 위에 형성된 상보 금속 산화물 반도체(CMOS) 장치와 같은 메모리 요소들(도시되지 않음) 상에 배치될 수 있다. 전기적 절연 물질(130)은 예를 들면, 매립 산화물(BOX) 물질을 포함할 수 있다.
반도체 구조(140)는 예를 들면, 소위 SMART-CUT® 층 이전(transfer) 기술의 수정을 사용하여 본원에 기술된 공정에 의해 핸들 웨이퍼(144) 위에 놓이는 전기적 절연 물질(130)에 비정질 실리콘 물질(128), 백 게이트 콘택(124), 백 게이트 유전체(126) 및 반도전성 물질(142)을 이전시킴으로써 형성될 수 있다. 이러한 공정들은 예를 들면, Bruel의 미국특허 번호 RE 39,484, Aspar 등의 미국특허 번호 6,303,468, Aspar 등의 미국특허 번호 6,335,258, Moriceau 등의 미국특허 번호 6,756,286, Aspar 등의 미국특허 번호 6,809,044, Aspar 등의 미국특허 번호 6,946,365, 및 Dupont의 미국특허출원 공개번호 2006/0099776에 상세히 기술되어 있다. 그러나, 반도체 기판(140)을 제조하는데 적합한 다른 공정들이 사용될 수도 있다. SMART-CUT® 층 이전 기술의 통상적 구현에서, 고온 어닐링을 사용하여 도너 웨이퍼들 및 어셉터 웨이퍼들이 함께 본딩(bond)된다. 도너 및 어셉터 웨이퍼들을 본딩하기 위해 사용되는 온도는 약 1000℃ 내지 약 1300℃이다. 그러나, 백 게이트 콘택(123)의 존재에 기인하여, 백 게이트 콘택(123)에의 열 손상을 방지하기 위해서 감소된 온도들에서 반도체 구조(140)를 제조하는 것이 이점이 있을 수 있다. 따라서, 본원에 기술된 바와 같이, 반도체 구조(140)는 통상의 SMART-CUT® 층 이전 기술에 의해 요구되는 것들에 비해 실질적으로 감소된 온도들을 사용하여 형성될 수 있다.
도 5를 참조하면, 워크피이스(workpiece)(146)은 예를 들면, 도너 웨이퍼의 부분을 포함할 수 있는 반도전성 물질(142) 상에 백 게이트 유전체(126), 백 게이트 전극(124) 및 비정질 실리콘 물질(128)을 각각 증착함으로써 형성될 수 있다. 선택적으로, 워크피이스(146)은 금속(127) 및 도핑된 영역(125)을 포함할 수 있다. 도핑된 영역(125)은 이온 주입 공정 또는 고온 확산 공정과 같은 통상의 방법들을 사용하여 형성될 수 있고, 약 10 nm 내지 약 50 nm 사이의 두께를 가질 수 있다. 금속(127)은, 있다면, 금속 모드 질화티탄(MMTiN)와 같은 질화티탄 물질, 티탄 실리사이드 물질, 질화탄탈 물질 또는 텅스텐 실리사이드 물질을 포함할 수 있다. 금속(127)은 CVD 공정, PVD 공정, 스퍼터링 공정 또는 도금 공정(plating process)을 사용하여, 도핑된 영역(125) 상에 이들과 접촉하여 형성될 수 있고, 약 10 nm 내지 약 30 nm 사이의 두께를 가질 수 있다. 백 게이트 유전체(126)는 예를 들면, 산화물 물질, 고 k 유전체 물질 또는 질화물 물질을 포함할 수 있고, CVD 공정을 사용하여 혹은 테트라에칠 오소실리케이트(TEOS : tetraethyl orthosilicate)를 분해함으로써 반도전성 물질(142) 또는 금속(127),만약 존재한다면,상에 이들과 접촉하여 형성될 수 있다. 비제한적 예로서, 백 게이트 유전체(126)은 약 20Å 내지 약 70Å의 두께를 가질 수 있다. 백 게이트 전극(124)은 금속 물질과 같은 도전성 물질을 포함할 수 있다. 도전성 물질은 질화티탄 물질, 티탄 실리사이드 물질, 텅스텐 실리사이드 물질 또는 질화탄탈 물질일 수 있고, CVD 공정, PVD 공정, 스퍼터링 공정 또는 도금 공정을 사용하여 백 게이트 유전체(126) 상에 이들과 접촉하여 형성될 수 있다. 비제한적 예로서, 백 게이트 전극(124)은 약 100Å 내지 약 600Å의 두께를 가질 수 있다. 비정질 실리콘 물질(128)은 예를 들면, PVD 공정 또는 CVD 공정을 사용하여 백 게이트 유전체(126) 상에 이들과 접촉하여 형성될 수 있다. 비제한적 예로서, 비정질 실리콘 물질(128)은 약 100Å 내지 약 400Å의 두께를 가질 수 있다.
이전 영역(150)을 형성하기 위해 반도전성 물질(142)에 원자 종들이 주입될 수 있다. 원자 종들은 수소 이온들, 불활성(inert) 또는 비활성(noble) 기체들이라고도 하는 희가스(rare gas)들의 이온들, 혹은 불소 이온들일 수 있다. 원자 종들은 반도전성 물질(142) 내에 점선들로 도시된, 주입 구역(152)을 형성하기 위해 반도전성 물질(142)에 주입될 수 있다. 원자 종들은 위에 백 게이트 유전체(126), 백 게이트 전극(124) 및 비정질 실리콘 물질(128) 중 하나 혹은 전부의 형성 전에 혹은 위에 백 게이트 유전체(126), 백 게이트 전극(124) 및 비정질 실리콘 물질(128)의 형성 후에 반도전성 물질(142)에 주입될 수 있다. 이 기술에 공지된 바와 같이, 반도전성 물질(142)에 이온들이 주입되는 깊이는 이온들이 반도전성 물질(142)에 주입되는 적어도 부분적으로 에너지의 함수이다. 주입 구역(152)은 반도전성 물질(142) 내 요망되는 깊이에 형성될 수 있고, 이것은 이 기술에 공지된 바와 같이, 원자 종들의 주입 도우즈(dose) 및 에너지와 같은 파라미터들에 좌우된다. 반도체 구조(142) 내에 주입 구역(152)의 깊이(D)는 도 1 내지 도 3에 도시된 플로팅 바디 셀들(104)의 요망되는 두께 및/또는 용적에 대응할 수 있다. 플로팅 바디들(102)의 용적 및 이에 저장될 수 있는 전하량은 깊이(D) 즉, 반도전성 물질(142)의 두께를 증가시킴으로써 증가될 수 있다. 또한, 플로팅 바디 셀들(104)의 두께를 증가시킴으로써, 이에 저장된 전하는 플로팅 바디 셀 구조들(100, 101, 103)(즉, 매립 게이트 전극(132), 소스 영역들(112) 및 드레인 영역들(114))의 도전성 요소들로부터 더욱 분리될 수 있다. 저장된 전하를 도전성 요소들로부터 분리함으로써, 전하 유실이 감소될 수 있고, 따라서 플로팅 바디 셀들(104)은 증가된 보유 및 개선된 신뢰도를 제공할 수 있다. 비제한적 예로서, 원자 종들은 약 100 nm 및 약 350 nm(약 1000Å 내지 약 3500Å) 사이의 깊이(D)에 주입 구역(152)을 형성하기 위해 선택된 에너지로 반도전성 물질(142)에 주입될 수 있다.
주입 구역(152)은 주입된 이온 종들을 포함하는 마이크로버블들(microbubbles) 또는 마이크로 공동(microcavity)들의 층을 포함하며, 반도전성 물질(142) 내에 약화된 구조를 제공한다. 이어서, 반도전성 물질(142)은 웨이퍼 내에 결정질 재배열 및 마이크로버블들 혹은 마이크로 공동들의 유착을 달성하기 위해 주입이 행해지는 온도 이상의 온도에서 열적으로 처리될 수 있다. 선택적으로, 부착 표면(도시되지 않음)은 플라즈마-활성화 물질을 형성하기 위해 반도전성 물질(142)의 비정질 실리콘 물질(128)의 주 표면을 불활성 기체(예를 들면, 아르곤, 산소, 혹은 질소)을 포함하는 반응성 이온 에칭(RIE) 플라즈마에 노출시킴으로써, 혹은 표면을 희석 암모니아 수산화물 혹은 불화수소 용역에 노출시킴으로써 형성될 수 있다. 비정질 실리콘 물질(128) 상에 부착 표면을 형성하는 것은 이온 종들(예를 들면, 수소)의 증가된 이동도에 기인하여, 핸들 웨이퍼(144) 위에 놓이는 전기적 절연 물질(130)로 후속 본딩 작용의 동력학을 증가시킬 수 있다.
도 6에 도시된 바와 같이, 워크피이스(146)은 전기적 절연 물질(130)이 워크피이스(146)의 비정질 실리콘 물질(128)과 접촉하도록 핸들 웨이퍼(144) 위에 놓이는 전기적 절연 물질(130) 상에 중첩될 수 있다. 워크피이스(146)의 비정질 실리콘 물질(128)은 전기적 절연 물질(130)에 본딩 될 수 있다. 비제한적 예로서, 비정질 실리콘 물질(126) 및 전기적 절연 물질(130)은 주변 온도(약 20℃ 내지 약 25℃)에서 열 없이 본딩 될 수 있다. 또한, 압력은 비정질 실리콘 물질(128)을 전기적 절연 물질(130)에 본딩하기 위해 워크피이스(146) 및 핸들 웨이퍼(144) 중 적어도 하나에 가해질 수 있다. 또 다른 비제한적 예로서, 비정질 실리콘 물질(126)은 약 300℃ 내지 약 400℃와 같은 약 600℃ 미만의 온도로 반도체 구조(140)를 가열함으로써 절연체 물질(104)에 본딩 될 수 있다. 전기적 절연 물질(130)이 이산화실리콘으로부터 형성된다면, 실리콘-산화물 본드들은 비정질 실리콘 물질(128)과 절연체 물질(130) 사이에 형성할 수 있다. 백 게이트 전극(124)이 금속 또는 다른 열 감응 물질로 형성될 수 있기 때문에, 반도체 구조(140)가 노출되는 온도는 백 게이트 콘택(124)의 융점(melting point) 미만일 수 있다.
도 4에 도시된 반도체 구조(140)를 형성하기 위해서, 이전 영역(150)은 도 6에 도시된 주입 구역을 따라 반도전성 물질(142)로부터 제거될 수 있다. 이전 영역(150)은 이를테면 전단력(shear force)을 주입 구역(152)에 가함으로써 혹은 주입 구역(152)에 열 또는 제트 가스 스트림(jet gas stream)을 가함으로써 이 기술에 공지된 기술들에 의해 제거될 수 있다. 주입 구역(152) 내 원자 종들은 쉽게 갈라질 수 있는, 워크피이스(146)의 반도전성 물질(142) 내에 약화된 영역을 생성한다.
백 게이트 유전체(126), 백 게이트 콘택(124), 및 비정질 실리콘 물질(128) 및 주입 구역(152) 밑에 반도전성 물질(142)의 부분은 도 4에 도시된 반도체 구조(140)를 형성하기 위해 전기적 절연 물질(130)에 본딩 된 상태에 있을 수 있다. 반도전성 물질(142)의 분리 후에, 이의 노출된 표면(154)은 바람직하지 못하게 거칠 수 있다. 이 결점을 제거하기 위해서, 반도전성 물질(142)의 노출된 표면(154)은 이 기술에 공지된 기술들, 예를 들면, 연삭(grinding), 습식 에칭, 및 CMP 중 하나 이상에 따라, 기술된 바와 같은 후속 가공을 용이하게 하기 위해서, 요망되는 정도까지 평탄화될 수 있다.
도 7은 반도전성 물질(142)의 표면들(154)이 노출되는 개구(aperture)들을 형성하기 위해 마스크 물질(156)이 반도전성 물질(142) 상에 증착되고 패터닝 된 후에 도 4의 반도체 구조(140)를 도시한 것이다. 마스크 물질(156)은, 예를 들면, 포토레지스트 물질, 산화물 물질, 투명한 탄소 또는 비정질 탄소를 포함할 수 있다. 마스크 물질(156)을 형성하고 패터닝하는 방법들은 이 기술에 공지되어 있고, 따라서, 여기에서는 상세히 기술되지 않는다. 간단하게 하기 위해서, 전기적 절연 물질(130) 밑에 있는 핸들 웨이퍼(144)는 나머지 도면들에서 생략되었다.
도 8a에 도시된 바와 같이, 마스크 물질(156) 내 개구들을 통해 노출된 반도전성 물질(142)의 부분들은 반도전성 물질(142)의 나머지 부분들 사이에 트렌치(158)들을 형성하기 위해 제거될 수 있다. 이어서, 마스크 물질(156)의 나머지 부분들이 제거될 수 있다. 비제한적 예로서, 트렌치들(158)은 제 2 방향(Y)으로 반도전성 물질(142)을 통해 확장하여 형성될 수 있다. 마스크 물질(156) 및 백 게이트 유전체(126)에 관하여 반도전성 물질(142)을 선택적으로 제거하기 위한 반응성 이온 에칭(RIE) 공정이 수행될 수 있다. 일부 실시예들에서, 도 8b에 도시된 바와 같이, 반도전성 물질(142)의 부분들을 제거한 후에, 백 게이트 유전체(126), 백 게이트 전극(124) 및 비정질 실리콘 물질(128) 각각의 부분들은 동일한 마스크 물질(156)을 통해 인 시튜(in situ)로 제거되어 도 2의 플로팅 바디 셀 구조(101)에 도시된 것과 같은 개개의 혹은 단일의 백 게이트(123)을 형성할 수 있다. 도 2는 백 게이트 유전체(126), 백 게이트 전극(124) 및 비정질 실리콘 물질(128)의 연속한 부분들(124, 126, 128)이 밑에 있는 전기적 절연 물질(130)을 노출시키기 위해 예를 들면, 이방성(anisotropic) 반응 이온(즉, 플라즈마) 에칭 공정을 사용하여 제거될 수 있음을 도시한다. 예를 들면, 백 게이트 유전체(126)가 이산화실리콘으로부터 형성된다면, 마스크 물질(156)에 관하여 이산화실리콘으로부터 백 게이트(123)를 선택적으로 제거하기 위해 삼불화질소(NF3:nitrogentrifluoride)-기반 기체, 염소(Cl : chlorine)-기반 기체 또는 브롬화물(Br : bromide)-기반 기체를 사용한 반응성 이온 에칭(RIE : reactive ion etching) 공정이 수행될 수 있다. 백 게이트 전극(124)이 질화티탄이라면, 마스크 물질(156)에 관하여 질화티탄을 제거하기 위해 테트라플루오로메탄(CF4:tetrafluoromethane)기체, 브롬화물-함유 기체 및 불소-함유 기체의 혼합물 또는 불소-함유 기체 및 염소-함유 기체의 혼합물을 사용한 이방성(anisotropic) 에칭 공정이 수행될 수 있다. 비정질 실리콘 물질(128)이 비정질 폴리실리콘이라면, 마스크 물질(156)에 관하여 비정질 실리콘 물질을 제거하기 위해 불소-함유 기체 및 염소-함유 기체의 혼합물을 사용한 이방성 에칭 공정이 사용될 수 있다. 다른 실시예들에서, 이 기술에 공지된 기술들에 의해 통상의 피치-더블링(pitch-doubling) 공정을 사용하여 트렌치들(158)이 형성될 수 있다.
도 9는 트렌치들(158) 내에 충진 물질(fill material)(160)을 증착한 후에 반도체 구조(140)를 도시한 것이다. 도 9가 백 게이트 유전체(126), 백 게이트 콘택(124), 및 비정질 실리콘 물질(128)을 통하여 확장하는 트렌치들(158)을 도시하고 있으나, 충진될 채널들(128)은 도 8a에 도시된 바와 같을 수 있다. 비제한적 예로서, 충진 물질(160)은 산화물 물질 또는 질화물 물질과 같은 유전체 물질을 포함할 수 있고, 화학 기상 증착 공정 또는 스핀-온 유전체 공정을 사용하여 증착 될 수 있다.
도 10 내지 도 13은 희생 마스크 물질(sacrificial mask material)(162)이 위에 증착되고 복수의 간극들(opening)(164)이 형성된 후에, 단면선 9-9을 따라 취해진, 도 9에 도시된 반도체 구조(140)의 단면도들이다. 희생 마스크 물질(162)은 반도전성 물질(142) 및 충진 물질(도시되지 않음) 상에 형성될 수 있고, 복수의 r간극들(164)은 희생 마스크 물질(162) 및 반도전성 물질(142)의 부분들을 제거함으로써 형성될 수 있다. 복수의 간극들(164) 각각은 제 2 방향(Y)으로 확장하여 형성될 수 있다. 비제한적 예로서, 희생 마스크 물질(162)은 CVD 공정을 사용하여 비정질 실리콘 물질 또는 유전체 물질로부터 형성될 수 있다. 비제한적 예로서, 희생 마스크 물질(162) 상에 포토레지스트 물질(도시되지 않음)을 제공하고, 제거될 희생 마스크 물질(162) 및 반도전성 물질(142)의 영역들 위에 놓인 포토레지스트 물질의 부분들을 제거함으로써, 간극들(164)이 형성될 수 있다. 이어서, 희생 마스크 물질(162)의 영역들을 노출시키는 간극들(164)을 형성하기 위해 포토레지스트 물질을 통해 노출된 희생 마스크 물질(162) 및 반도전성 물질(142)의 영역들을 에칭하기 위해 이방성 에칭 공정(예를 들면, 건식 반응성 이온 또는 플라즈마 에칭 공정)이 사용될 수 있다. 예를 들면, 희생 마스크 물질(162) 및 반도전성 물질(142)이 폴리실리콘으로부터 형성된다면, 불소(Fl)-기반 기체를 사용한 반응성 이온 에칭 공정이 비정질 실리콘 물질을 선택적으로 제거하기 위해 수행되어, 반도전성 물질(142)의 부분들 사이에 간극들(164)을 형성한다. 비제한적 예로서, 0.5F의 치수 D1을 갖게 간극들(164)이 형성되고, 반도전성 물질(142)의 나머지 부분들은 1.5F의 치수 D2을 가질 수 있다. 또한, 도 1에 도시된 바와 같이, 백 게이트들(123)은 간극(164)이 이들 물질들의 각각을 통해 확장하여 백 게이트(123)를 온전한 상태로 놔두고, 반도전성 물질(142)을 제거한 후에 백 게이트 유전체(126), 백 게이트 전극(124) 및 비정질 실리콘 물질(130) 각각의 부분을 제거함으로써 형성될 수 있다. 간극들(164)을 형성한 후에, 남은 포토레지스트 물질은 통상의 애싱 공정(ashing process)을 사용하여 제거될 수 있다. 또한, 간극들(164)은 이 기술에 공지된 기술들에 의해, 통상의 피치-더블링 공정을 사용하여 형성될 수 있다.
도 11에 도시된 바와 같이, 산화물 물질 또는 질화물 물질과 같은 또 다른 충진 물질(166)이 간극들(164) 내에 증착 될 수 있다. 비제한적 예로서, 충진 물질(166)은 CVD 공정, PECVD 공정 또는 스핀-온 유전체 공정을 사용하여 증착 될 수 있다. 이에 따라, 반도전성 물질(142)은 주위 환경으로부터 완전히 물리적으로 분리된다.
도 12에 도시된 바와 같이, 희생 물질(162) 및 반도전성 물질(142) 각각의 부분은 반도전성 물질(142) 내에 보이드들(110)을 형성하기 위해 제거될 수 있다. 반도전성 물질(142)이 결정질 실리콘 물질로부터 형성된다면, 보이드들(110)을 형성하기 위해, 황 헥사플루오라이드(SF6:sulfurhexafluoride)기체, 산소 기체 및 헬륨(He) 기체의 혼합물, 혹은 황 헥사플루오라이드 기체와 트리플루오로메탄(CHF3:trifluoromethane)기체의 혼합물을 포함하는 플라즈마가 반도체 구조(140)에 도입될 수 있다. 도 11은 보이드들(110)을 u-형상 프로파일을 갖는 것으로서 도시하고 있으나, 당업자가 인식하는 바와 같이 이외 다양한 다른 프로파일들을 갖는 보이드들이 형성될 수 있다.
도 13은 보이드들(110) 각각 내에 매립 게이트 전극들(132)이 형성된 후에 반도체 구조(140)를 도시한 것이다. 비제한적 예로서, CMP 공정은 반도체 구조(140)의 상측 표면(168)이 실질적으로 평면이 되도록 충진 물질(166) 및 희생 물질(162)의 부분들을 제거하기 위해 사용될 수 있다. 매립 게이트 전극들(132)은 텅스텐, 질화티탄 또는 질화탄탈과 같은 도전성 물질로부터 형성될 수 있고, 통상의 CVD 공정, PVD 공정 또는 ALD 공정을 사용하여 증착 될 수 있다. 비제한적 예로서, 도전성 물질은 매립 게이트 전극들(132)을 형성하기 위해 통상의 CMP 공정, RIE 공정 또는 습식 에칭 공정을 사용하여, 반도체 구조(140) 상에 형성되고 증착 후에 제거될 수 있다. 매립 게이트 유전체(134)는 매립 게이트 전극들(132)을 형성하기 전에 보이드들(110) 각각에 반도전성 물질(142)의 측벽들 상에 증착 될 수 있다.
도 14는 보이드들(110) 내에 매립 게이트 전극들(132) 및 이 위에 또 다른 충진 물질(163)을 형성한 후에 반도체 구조(140)를 도시한 것이다. 도 14에 도시된 바와 같이, 소스 영역들(112) 및 드레인 영역들(114)은 다량의 반도전성 물질(102)의 필라들(108)의 노출된 영역들 내에 형성될 수 있다. 소스 영역들(112) 및 드레인 영역들(114)은 인 또는 비소(즉, n형 실리콘 물질)와 같은 n형 도펀트로 도핑된 실리콘 물질을 포함할 수 있다. 반도전성 물질(142)의 노출된 부분들은 이온 주입 공정 또는 플라즈마 이온 공정 또는 고온 확산 공정과 같은 통상의 방법들을 사용하여 도핑 될 수 있다. 소스 영역들(112) 및 드레인 영역들(114)은 반도전성 물질(142)에 의해 백 게이트 전극(124)으로부터 이격될 수 있다. 또 다른 예로서, n형 물질(도시되지 않음)의 박막은 반도체 구조(140)의 표면들 상에 증착 될 수 있고, 열 어닐링은 소스 영역들(112) 및 드레인 영역들(114)을 위한 n형 실리콘을 형성하기 위해 n형 도펀트들이 반도전성 물질(142)에 이주하는 동안 수행될 수 있다. 소스 영역들(112) 및 드레인 영역들(114)은, 선택적으로, 매립 게이트 전극들(132)을 형성하기 전에 형성될 수 있다.
도 1 내지 도 3을 참조하면, 소스 영역들(112) 및 드레인 영역들(114)을 형성한 후에, 공통 소스 라인들(116)은 행들의 각각에 정렬된 소스 영역들(112) 상에 형성될 수 있고, 비트라인들(118)은 컬럼들의 각각에 정렬된 드레인 영역들(114) 상에 형성될 수 있다. 일부 실시예들에서, 공통 소스 라인들(116) 및 비트라인들(118)은 반도체 구조(100, 100 또는 103) 상에 도전성 반응성 물질을 증착하고, 도전성 물질을 패터닝하여 실질적으로 곧 바르고 실질적으로 평행한 도전성 라인들을 형성함으로써 형성될 수 있다. 예를 들면, 공통 소스 라인들(116)은 방향(X)으로 형성될 수 있고, 공통 소스 라인들(116) 각각은 매립 게이트 전극들(132) 중 하나 위에 이에 실질적으로 평행하게 배치된다. 비트라인들(118)은 방향(Y)으로 확장하여 형성될 수 있다. 선택적으로, 콘택 플러그(119)는 드레인 영역들(114) 및 소스 영역들(112) 중 적어도 하나 위에 형성되어 연관된 공통 소스 라인(116) 또는 비트라인(118)을 형성하기 전에 이 콘택을 높일 수 있다. 예를 들면, 콘택 플러그(119)는 도핑된 비정질 실리콘 물질을 증착하고 패터닝함으로써 형성될 수 있다. 선택적으로, 콘택 플러그(119)는 질화티탄/텅스텐과 같은 금속 물질에 의해 대체될 수 있고, 혹은 콘택 플러그는 도전성 라인들의 형성 동안 도전성 반응성 물질로 충진될 수 있다.
도 15는 복수의 플로팅 바디 셀들(104)을 포함하는 플로팅 바디 셀 구조(200)의 또 다른 실시예를 도시한 사시도이며, 이를 형성하는 상세가 상세히 기술될 것이다. 플로팅 바디 셀들(104)을 예시할 목적으로 게이트(170)의 부분은 점선들로 도시된 바와 같이 제거되었다. 일부 실시예들에서, 플로팅 바디 셀 구조(200)는 수직 다중-게이트 플로팅 바디 셀 장치를 형성하기 위해 사용될 수 있다. 플로팅 바디 셀 구조(200) 내 각 플로팅 바디 셀(104)은 도 1 내지 도 3에 관련하여 기술된 바와 같이, u-형상 트렌치와 같은 보이드(110)에 의해 분리된 필라들(108) 사이에서 확장하는 채널 영역(106)을 포함하는 다량의 반도전성 물질로부터 형성된 플로팅 바디 셀(104)을 포함할 수 있다. 소스 영역들(112) 및 드레인 영역들(114)은 필라들(108)의 상측 영역들 내 형성될 수 있고, 각각, 공통 소스 라인(116) 및 데이터/감지 라인, 비트라인(118)과 같은 액세스 라인에 전기적으로 결합될 수 있다. 비제한적 예로서, 공통 소스 라인(116) 및 비트라인(118)은 각각 도 18에 도시된 바와 같이, 소스 영역들(112) 및 드레인 영역들(114) 상에 직접 형성될 수 있다. 또한, 콘택 플러그(119)는 콘택을 높이기 위해 소스 영역들(112)과 이의 연관된 공통 소스 라인(116) 사이에 배치되거나, 혹은 드레인 영역들(114)과 이의 연관된 비트라인(118) 사이에 배치될 수 있다.
플로팅 바디 셀들(104)이 제 1 방향(X)으로 복수의 행들에 그리고 제 1 방향(X)에 실질적으로 수직한 제 2 방향(Y)으로 복수의 행들에 정렬된 플로팅 바디 셀들(104)이 도 1 내지 도 3에 관련하여 기술된 바와 같이 배열될 수 있다. 도 4는 플로팅 바디 셀들(104)의 행들 밑에 배치되어 플로팅 바디 셀들(104)에 평행한 방향으로 확장하는 국부적인 백 게이트로서 백 게이트(123)을 도시한다. 백 게이트(123)의 측벽들은 연속되고 플로팅 바디 셀들(104)의 측벽들에 정렬된 것으로서 도시되었다. 다른 실시예들에서, 백 게이트(123)는 도 2 및 도 3에 관련하여 기술된 것으로서 구성될 수 있다.
적어도 한 도전성 요소(170)는 플로팅 바디 셀들(104)의 각각의 대향한 수직한 표면들(즉, 측벽들) 상에 배치될 수 있다. 설명을 쉽게 하기 위해서, 도전성 요소(170)를 이하 게이트(170)라고 한다. 또 다른 유전체 물질(172)는 게이트(170)와 플로팅 바디 셀들(104)의 측벽들 사이에 배치될 수 있다. 설명을 쉽게 하기 위해서, 유전체 물질(172)를 이하 게이트 유전체(172)라 한다. 플로팅 바디 셀 구조(200)의 플로팅 바디 셀들(104)의 각각은 플로팅 바디 셀(104)이 3개의 게이트들을 포함하도록 플로팅 바디 셀들(104)의 두 측벽들 상에 배치된 백 게이트(123) 및 게이트들(170)에 전기적으로 결합될 수 있고, 혹은 플로팅 바디 셀(104)이 2개의 게이트들을 포함하도록 플로팅 바디 셀들(104)의 단일의 측벽 상에 백 게이트(123) 및 게이트(170)에 전기적으로 결합될 수 있다. 게이트들(170)로부터 나오는 전자기장들은 전류가 소스 영역(112)에서 드레인 영역(114)으로 흐를 수 있게 하는, 연관된 플로팅 바디(104)를 통하는 채널을 형성할 수 있다.
도 16 내지 도 20은 도 15에 도시된 플로팅 바디 셀 구조(200)를 형성하는 방법의 실시예들을 도시한 것이다. 도 16을 참조하면, 각 백 게이트(123) 상에 배치된 다량의 반도전성 물질(102)을 포함하는, 플로팅 바디 셀들(104)의 어레이를 포함하는 반도체 구조(240)는 도 4 내지 도 12에 관련하여 기술된 것들과 같은 방법들을 사용하여 형성될 수 있다. 백 게이트(123)는 백 게이트 유전체(126), 백 게이트 전극(124) 및 비정질 실리콘 물질(128)을 포함할 수 있고, 웨이퍼(도시되지 않음) 위에 놓이는 전기적 절연 물질(130) 상에 배치될 수 있다. 앞에서 기술된 바와 같이, 상보 금속 산화물 반도체(CMOS)구조 장치와 같은 다른 논리 요소들(도시되지 않음)이 웨이퍼 내에 혹은 이 위에 형성될 수 있다. 트렌치들(158)이 전기적 절연 물질(130) 내에서 종지된 것으로 예시되었을지라도, 트렌치들(158)은 선택적으로, 도 8a에 관련하여 기술된 것들과 같은 백 게이트 유전체(126) 상에서 종지되게 형성될 수도 있다.
도 17a1 및 도 17b2은 단면선 16-16을 따라 취해진, 도 16에 도시된 반도체 구조(140)의 단면도이다. 트렌치들(158)를 형성한 후에, 게이트들(170)는 도 17a1 및 도 17b2에 관련하여 기술된 바와 같이, 플로팅 바디 셀들(104)의 측벽들 상에 형성될 수 있다. 도 17a1에 도시된 바와 같이, 게이트 유전체 물질(172) 및 도전성 물질(174)은 반도체 구조(240) 상에 형성될 수 있다. 비제한적 예로서, 게이트 유전체 물질(172)은 예를 들면, 화학기상증착 공정 또는 열산화 공정을 사용하여 형성된 산화물 물질, 질화물 물질 혹은 고 k 유전체 물질일 수 있다. 예를 들면, 게이트 유전체 물질(172)이 이산화실리콘이라면, 반도체 구조(240)는 플로팅 바디 셀들(104)의 측벽들 상에 이산화실리콘을 형성하기 위해서 약 900℃ 내지 약 1175℃의 온도에서 산소 기체에 노출될 수 있다. 이어서, 도전성 물질(174)이 게이트 유전체 물질(172) 상에 형성될 수 있다. 비제한적 예로서, 도전성 물질(174)이 질화티탄, 질화탄탈 또는 텅스텐으로부터 형성될 수 있고, 화학기상증착 공정을 사용하여 증착 될 수 있다. 도 17a2를 참조하면, 이방성 건식 에칭 공정 혹은 습식 에칭 공정은 도전성 물질(174) 및 게이트 유전체 물질(172)의 부분들을 제거하여 게이트들(170)을 형성하기 위해 수행될 수 있다.
다른 실시예들에서, 게이트들(170)은 도 17b1 및 도 17b2에 관련하여 도시된 바와 같이 플로팅 바디 셀들(104)의 측벽들 상에 형성될 수 있다. 도 17b1을 참조하면, 반도전성 물질(142) 상에 게이트 유전체 물질(172)을 형성한 후에, 반도전성 물질(142)의 영역들 사이에 트렌치들(158)의 나머지 부분들은 도전성 물질(174)로 충진될 수 있고, 도전성 물질(174)이 요망되는 두께까지 우묵하게 될 수 있다. 비제한적 예로서, 도전성 물질(174)은 질화티탄, 질화탄탈 또는 텅스텐으로부터 형성되고 화학기상증착 공정을 사용하여 증착 될 수 있다. 유전체 물질을 포함하는 스페이서들(176)은 통상의 스페이서 에칭 공정을 사용하여 도전성 물질(174) 위에 게이트 유전체 물질(172)의 측벽들 상에 형성될 수 있다. 도 17b2를 참조하면, 도전성 물질(174)의 부분을 제거하여 게이트들(170)을 형성하기 위해 이방성 에칭 공정이 수행될 수 있다. 게이트들(170)을 형성한 후에, 스페이서들(176)은 예를 들면, 통상의 선택적 에칭 공정을 사용하여 제거될 수 있다.
도 18a 및 도 18b는 게이트들(170)의 구성을 예시한 도 17a2 및 도 17b2에 도시된 반도체 구조(240)의 평면도들이다. 게이트들(170)은 복수의 플로팅 바디 셀들(104)을 서로 전기적으로 연결하기 위해 형성될 수 있다. 비제한적 예로서, 게이트들(170)은 방향(Y)으로 플로팅 바디 셀들(104)의 컬럼들을 따라 확장할 수 있다. 도 18a에 도시된 바와 같이, 게이트들(170) 각각은 컬럼들의 단일의 컬럼에 복수의 플로팅 바디 셀들(104)을 실질적으로 구획할 수 있고, 플로팅 바디 셀들(104)의 각각의 수직한 표면들(즉, 측벽들)은 게이트(170)에 의해 접촉된다. 도 18b를 참조하면, 게이트들(170)이 방향(X)으로 행들 중 적어도 하나를 따라 확장하여 이의 단부에 혹은 근방에서 종지된 콤(comb)-형상 구조로 구성되고, 게이트들(170) 각각이 행에 플로팅 바디 셀들(104)의 대향한 측벽들 상에 배치되는 또 다른 실시예가 도시되었다. 콘택들(178)은 게이트들(170)의 각각, 예를 들면, 이의 종단 부분에 전기적으로 결합될 수 있고, 따라서 게이트들(170)은 독립적으로 연결된다. 따라서, 도 18a 및 도 18b에 도시된 바와 같이, 게이트들(170)은 단일-게이트, 이중-게이트 및 3중-게이트 플로팅 바디 셀들(104)을 형성하게 구성될 수 있다.
도 19에 도시된 바와 같이, 게이트들(170)을 형성한 후에, 도 14에 관련하여 기술된 바와 같이, 반도체 구조(240)를 n형 도펀트 또는 p형 도펀트에 노출시킴으로써 플로팅 바디 셀들(104)의 필라들(108)의 상측 부분 내에 소스 영역들(112) 및 드레인 영역들(114)이 형성될 수 있다. 이어서, 플로팅 바디 셀들(104)의 소스 영역들(112) 상에 공통 소스 라인들(116)이 형성될 수 있고, 비트라인들(118)이 플로팅 바디 셀들(104)의 드레인 영역들(114) 상에 형성되어 도 18에 도시된 반도체 구조(240)를 형성할 수 있다. 공통 소스 라인들(116) 및 비트라인들(118)은 도전성 물질을 증착하고 도전성 물질을 패터닝하여 형성되어 게이트들(170)에 실질적으로 수직한 제 1 방향(X)으로 확장하는 도전성 라인들을 형성할 수 있다. 공통 소스 라인들(116) 및 비트라인들(118)은 서로 병렬이기 때문에, 공통 소스 라인들(116) 및 비트라인들(118)은 통상의 다마센 공정(damascene process)을 사용하여 형성될 수 있다. 예를 들면, 희생 유전체 물질(도시되지 않음)은 반도체 구조(240) 상에 증착 될 수 있고, 개구들의 패턴은 이 내에, 공통 소스 라인들(116) 및 비트라인들(118)이 통상의 리소그래픽 공정을 사용하여 형성될 위치들 내에 형성될 수 있다. 도전성 물질은 개구들을 채우기 위해 반도체 구조(240) 상에 증착 될 수 있고, 공통 소스 라인들(116) 및 비트라인들(118)을 형성하기 위해 유전체 물질 위에 놓이는 도전성 물질의 부분을 제거하기 위해 화학기계식 연마 공정이 사용될 수 있다. 선택적으로, 연관된 공통 소스 라인(116) 또는 비트라인(118)을 형성하기 전에 소스 영역들(112) 및 드레인 영역들(114) 중 적어도 하나 상에 도핑된 물질(도시되지 않음)이 형성될 수 있다.
도 20 내지 도 22은 전기회로도들이며, 그 각각은 도 1 내지 도 3 및 도 15에 관련하여 기술된 것들과 같은 복수의 플로팅 바디 셀들을 포함하는 플로팅 바디 셀 구조를 도시한 것이다. 도 20에 도시된 바와 같이, 플로팅 바디 셀 구조(300)는 복수의 플로팅 바디 셀들(104)을 포함할 수 있고, 그 각각은 게이트(132), 비트라인(118), 및 도 2에 도시된 백 게이트(123)과 같은 전역 백 게이트(123)에 전기적으로 결합된다. 전역 백 게이트(123) 및 게이트(132)는 각각 바이어스 전압에 동작 가능하게 결합될 수 있어 전역 백 게이트(123)에 연관된 플로팅 바디 셀들(104)이 동시에 바이어스 될 수 있게 한다.
도 21은 각각이 게이트(132), 비트라인(118) 및 국부적인 백 게이트(123)에 결합되는 복수의 플로팅 바디 셀들(104)을 포함하는 플로팅 바디 셀 구조(400)를 도시한 것이다. 국부적인 백 게이트들(123)는 국부적인 백 게이트들(123)의 각각에 대해 2개의 서로 구별되는 어드레스들을 제공하기 위해, 도 18b에 도시된 것과 같은 콤(comb)-형상 구성을 가질 수 있다.
도 22는 각각이 도 2 및 도 15에 도시된 백 게이트들(123)과 같은 게이트(132), 비트라인(118) 및 국부적인 백 게이트(123)에 전기적으로 결합되는 복수의 플로팅 바디 셀들(104)을 포함하는 플로팅 바디 셀 구조(500)를 도시한 것이다. 국부적인 백 게이트들(123) 각각은 백 게이트들(123)의 각각을 개별적으로 바이어스하기 위해 사용될 수 있는 바이어스 전압에 동작 가능하게 결합될 수 있다.
제조의 여러 단계들 동안, 도 1 내지 도 3에 각각 도시된 플로팅 바디 셀 구조들(101, 102, 103)은 유사한 구조를 가진 다른 반도체 구조들과 혹은 메모리 요소 또는 CMOS 장치와 같은 장치와 함께 집적되거나 이들과 수직으로 적층 될 수 있음에 유념한다. 예를 들면, CMOS 장치는 실질적으로 감소된 다이들 크기를 제공하는 다중-레벨 반도체 구조를 형성하기 위해 플로팅 바디 셀 구조들(101, 102 또는 103) 중 하나 위에 혹은 밑에 형성될 수 있다. 플로팅 바디 셀 구조들(101, 102, 103)은 예를 들면, 도 4 내지 도 6에 관련하여 기술된 바와 같은 소위 SMART-CUT 층 이전 기술의 수정을 사용하여, 또 다른 플로팅 바디 셀 구조, 메모리 또는 로직 상에 적층 될 수 있다.
도 23은 본원에 기술된 하나 이상의 실시예들에 따라 구현된 전자 시스템(2300)을 간략화한 블록도를 도시한 것이다. 전자 시스템(2300)은 적어도 한 입력 장치(2302), 적어도 한 출력 장치(2304), 시스템-온-칩(SOC), 중앙처리유닛(CPU), 프로세서들 등과 같은 하나 이상의 프로세서들(2306)과 같은 메모리 액세스 장치, 및 하나 이상의 메모리 장치들(2308)을 포함한다. 메모리 장치들(2308)은 본원에 기술된 장치들 또는 방법들의 적어도 한 실시예를 포함한다. 전자 시스템(2300)은 다수의 계산, 가공, 및 소비자 제품들의 부분일 수 있다. 비제한적 예들로서, 이들 제품들 중 일부는 개인용 컴퓨터들, 휴대 장치들, 카메라들, 전화들, 무선 장치들, 디스플레이들, 칩셋들, 셋탑박스들, 게임들, 및 차량들을 포함할 수 있다.
결어
일부 실시예들에서, 본 발명은 플로팅 바디 셀 구조들, 이러한 구조들을 포함하는 장치들 및 이러한 구조들을 형성하기 위한 방법을 포함한다. 플로팅 바디 셀 구조들은 백 게이트, 적어도 한 플로팅 바디, 및 적어도 한 플로팅 바디에 연관된 또 다른 게이트를 포함할 수 있다. 적어도 한 플로팅 바디는 반도전성 물질을 포함할 수 있고 백 게이트에서 소스 영역 및 드레인 영역까지 확장할 수 있다. 소스 영역 및 드레인 영역은 적어도 한 플로팅 바디에 의해 백 게이트로부터 이격 될 수 있다. 적어도 한 플로팅 바디는 반도전성 물질 내에 보이드를 포함할 수 있고, 소스 영역 및 드레인 영역 각각은 백 게이트에 대향하여 배치된다. 또 다른 게이트는 이중-게이트 플로팅 바디 셀 구조를 형성하기 위해 적어도 한 플로팅 바디 내 보이드 내에 배치되거나, 3중-게이트 플로팅 바디 셀 구조를 형성하기 위해 적어도 한 플로팅 바디의 적어도 한 표면 상에 배치될 수 있다. 게이트 유전체는 백 게이트 밑에 놓이고 웨이퍼 상에 배치된 적어도 한 플로팅 바디 및 비정질 실리콘 물질과 백 게이트 사이에 배치될 수 있다. 백 게이트는 연속되고 복수의 플로팅 바디 셀들이 어레이에 배치된 적어도 한 플로팅 바디 또는 전역 백 게이트의 측벽들에 정렬된 측벽들을 포함하는 국부적인 백 게이트 일 수 있다. 플로팅 바디 셀 구조는 백 게이트에 전기적으로 결합되고 백 게이트를 독립적으로 바이어스하기 위한 바이어스 전압에 동작 가능하게 결합된 비트라인을 더 포함할 수 있다. 플로팅 바디 셀 구조는 적어도 한 플로팅 바디 셀의 소스 영역을 적어도 또 다른 플로팅 바디의 소스 영역에 전기적으로 결합하는 공통 소스 라인, 및 적어도 한 플로팅 바디 셀의 드레인 영역을 적어도 또 다른 플로팅 바디의 드레인 영역에 전기적으로 결합하는 비트라인을 더 포함할 수 있다.
추가의 실시예들에서, 본 발명은, 각각이 반도전성 물질을 포함하고 백 게이트에서 소스 영역 및 드레인 영역까지 확장하는 복수의 플로팅 바디들, 복수의 플로팅 바디들에 연관되고 전압원에 동작 가능하게 결합된 또 다른 게이트, 및 복수의 플로팅 바디들의 소스 영역들을 전기적으로 결합하는 공통소스라인 그리고 복수의 플로팅 바디들의 드레인 영역들을 전기적으로 결합하는 비트라인을 포함하는 플로팅 바디 셀 장치를 포함한다. 장치는 동적 랜덤 액세스 메모리, 제로 캐패시터 랜덤 액세스 메모리, 중앙처리유닛, 시스템-온-칩 및 이와 집적되는 내장형 동적 랜덤 액세스 메모리 중 적어도 하나에 집적된다. 복수의 플로팅 바디들은 복수의 행들을 형성하기 위해 제 1 방향 및 복수의 컬럼들을 형성하기 위해 제 1 방향에 실질적으로 수직한 제 2 방향으로 정렬될 수 있다.
다른 실시예들에서, 플로팅 바디 셀 장치는 복수의 행들을 형성하기 위해 제 1 방향 및 복수의 컬럼들을 형성하기 위해 제 1 방향에 실질적으로 수직한 제 2 방향으로 정렬된 복수의 플로팅 바디들, 복수의 플로팅 바디들에 연관된 적어도 한 백 게이트 및 복수의 플로팅 바디들에 연관되고 전압원에 동작 가능하게 결합된 적어도 또 다른 게이트를 포함한다. 복수의 플로팅 바디들의 플로팅 바디들의 각각은 그것의 베이스(base) 부분으로부터 확장하고 u-형상 트렌치에 의해 분리된 필라들을 갖는 다량의 반도전성 물질을 포함할 수 있고, 필라들의 각각의 상측 부분은 도핑된 영역을 포함한다. 적어도 한 백 게이트는 전기적 절연 물질 상에 비정질 실리콘 물질 위에 배치된 도전성 물질을 포함할 수 있다. 적어도 또 다른 게이트는 예를 들면 제 1 방향으로 확장할 수 있는 복수의 플로팅 바디들의 각각의 위에 배치되고, 복수의 행들 중 적어도 하나에서 복수의 플로팅 바디들 을 전기적으로 결합하는 도전성 물질을 포함할 수 있다. 적어도 또 다른 게이트는 u-형상 트렌치 내에 배치된 도전성 물질을 포함할 수 있고, 예를 들면, 제 2 방향으로 확장하여 복수의 컬럼들 중 적어도 하나에서 복수의 플로팅 바디들을 전기적으로 결합한다. 적어도 한 백 게이트는 또 다른 게이트와는 무관하게 바이어스되게 구성될 수 있다. 플로팅 바디 셀 구조는 CMOS 장치와 같은 메모리 장치상에 배치되어 이와 집적되거나 밀도를 증가시키기 위해 다수의 단들(tiers)로 수직으로 적층 될 수 있다.
또 다른 실시예들에서, 본 발명은 웨이퍼 상에 놓이는 반도전성 물질, 유전체 물질, 게이트 물질, 및 비정질 실리콘 물질을 포함하는 베이스(base)를 형성하는 단계, 베이스(base)의 표면으로부터 돌출하는 복수의 플로팅 바디들을 형성하기 위해 반도전성 물질의 부분을 제거하는 단계, 복수의 플로팅 바디들 각각 내에 보이드를 형성하기 위해 반도전성 물질의 또 다른 부분을 제거하는 단계, 복수의 플로팅 바디들의 각각의 상측 영역들에 소스 영역 및 드레인 영역을 형성하기 위해 복수의 플로팅 바디들을 적어도 한 도펀트에 노출시키는 단계, 및 복수의 플로팅 바디들의 적어도 하나에 연관된 게이트를 형성하는 단계를 포함하는 플로팅 바디 셀 장치를 형성하는 방법들을 포함한다. 베이스(base)는 결정질 실리콘 웨이퍼 위에 놓이는 유전체 물질, 게이트 물질 및 비정질 실리콘 물질을 포함하는 도너 웨이퍼를 형성하고, 결정질 실리콘 웨이퍼 내 소정의 깊이에 이온들을 주입하고, 핸들 웨이퍼 위에 놓이는 전기적 절연 물질에 도너 웨이퍼의 비정질 실리콘 물질을 부착하고, 유전체 물질, 게이트 물질, 및 비정질 실리콘 물질이 핸들 웨이퍼의 전기적 절연 물질의 표면 위에 놓이도록 결정질 실리콘 웨이퍼의 부분을 남기게 도너 웨이퍼의 부분을 분리시킴으로써 형성될 수 있다.
또 다른 실시예들에서, 본 발명은 적어도 한 메모리 장치 및 적어도 한 메모리 장치에 동작 가능하게 결합된 적어도 한 플로팅 바디 셀 장치를 포함하는 시스템을 포함한다. 적어도 한 플로팅 바디 셀 장치는 플로팅 바디들의 어레이를 포함할 수 있고, 그 각각은 베이스(base) 부분으로부터 확장하고 u-형상 트렌치에 의해 분리된 필라들을 갖는 다량의 반도전성 물질, 도핑된 영역을 포함하는 필라들의 각각의 상측 부분, 플로팅 바디들의 어레이에 연관된 적어도 한 백 게이트 및 복수의 플로팅 바디들에 연관되고 전압원에 동작 가능하게 결합된 적어도 또 다른 게이트를 포함한다. 시스템은 중앙처리유닛 및 시스템-온-칩 중 적어도 하나를 포함할 수 있다. 적어도 한 메모리 장치는 동적 랜덤 액세스 메모리, 제로 캐패시터 랜덤 액세스 메모리, 및 내장형 동적 랜덤 액세스 메모리 중 적어도 하나를 포함할 수 있다. 적어도 한 메모리 장치 및 적어도 한 플로팅 바디 셀 장치는 시스템 내에 서로 중첩될 수 있다.
발명이 다양한 수정들 및 대안적 형태들이 가능할 수 있으나, 구체적 실시예들은 도면들에서 예로서 도시되었고 이에 상세히 기술되었다. 그러나, 발명은 개시된 특별한 형태들로 제한되게 하려는 것은 아니다. 그보다는, 발명은 다음 첨부된 청구항들 및 이들의 법적 등가물들에 의해 정의된 발명의 범위 내에 드는 모든 수정들, 등가물들, 및 대안들을 포함하는 것이다.

Claims (30)

  1. 플로팅 바디(floating body) 셀 구조에 있어서,
    백(back) 게이트;
    반도전성 물질(semiconductive material)을 포함하고 상기 백 게이트에서 소스 영역 및 드레인 영역으로 확장하는 적어도 한 플로팅 바디; 및
    상기 적어도 한 플로팅 바디에 연관된 또 다른 게이트를 포함하는, 플로팅 바디 셀 구조.
  2. 청구항 1에 있어서, 상기 소스 영역 및 상기 드레인 영역은 상기 적어도 한 플로팅 바디에 의해 상기 백 게이트로부터 이격된, 플로팅 바디 셀 구조.
  3. 청구항 1에 있어서, 상기 적어도 한 플로팅 바디는 상기 반도전성 물질 내에 보이드를 포함하며, 상기 소스 영역 및 상기 드레인 영역 각각은 상기 백 게이트에 대향하여 배치된, 플로팅 바디 셀 구조.
  4. 청구항 3에 있어서, 상기 또 다른 게이트는 상기 적어도 한 플로팅 바디 내 상기 보이드 내에 배치된, 플로팅 바디 셀 구조.
  5. 청구항 1에 있어서, 상기 또 다른 게이트는 3중-게이트 플로팅 바디 셀 구조를 형성하기 위해 상기 적어도 한 플로팅 바디의 적어도 한 표면 상에 배치된, 플로팅 바디 셀 구조.
  6. 청구항 1에 있어서, 상기 백 게이트는 연속하고 상기 적어도 한 플로팅 바디의 측벽들에 정렬된 측벽들을 포함하는 국부적인 백 게이트를 포함하는, 플로팅 바디 셀 구조.
  7. 청구항 1에 있어서, 상기 적어도 한 플로팅 바디는 어레이에 단일의 백 게이트 상에 배치된 복수의 플로팅 바디들을 포함하는, 플로팅 바디 셀 구조.
  8. 청구항 1에 있어서, 독립적으로 상기 백 게이트를 바이어스하기 위한 바이어스 전압에 동작 가능하게 결합된 비트라인을 더 포함하는, 플로팅 바디 셀 구조.
  9. 청구항 1에 있어서, 상기 적어도 한 플로팅 바디 셀의 상기 소스 영역을 적어도 또 다른 플로팅 바디의 소스 영역에 전기적으로 결합하는 공통 소스 라인, 및
    상기 적어도 한 플로팅 바디 셀의 상기 드레인 영역을 상기 적어도 또 다른 플로팅 바디의 드레인 영역에 전기적으로 결합하는 비트라인을 더 포함하는, 플로팅 바디 셀 구조.
  10. 플로팅 바디 셀 장치에 있어서,
    백 게이트;
    각각이 반도전성 물질을 포함하고 상기 백 게이트에서 소스 영역 및 드레인 영역에 확장하는, 복수의 플로팅 바디들;
    상기 복수의 플로팅 바디들에 연관되고 전압원에 동작 가능하게 결합되는 또 다른 게이트; 및
    상기 복수의 플로팅 바디들의 상기 소스 영역들을 전기적으로 결합하는 공통 소스 라인 및 상기 복수의 플로팅 바디들의 상기 드레인 영역들을 전기적으로 결합하는 비트라인을 포함하는, 플로팅 바디 셀 장치.
  11. 청구항 10에 있어서, 동적 랜덤 액세스 메모리, 제로 캐패시터 랜덤 액세스 메모리, 및 이와 함께 집적되어 내장된 동적 랜덤 액세스 메모리 중 적어도 하나를 더 포함하는, 플로팅 바디 셀 장치.
  12. 청구항 10에 있어서, 상기 복수의 플로팅 바디들은 복수의 행(row)들을 형성하기 위한 제 1 방향 및 복수의 컬럼(column)들을 형성하기 위해 상기 제 1 방향에 실질적으로 수직한 제 2 방향으로 정렬된, 플로팅 바디 셀 장치.
  13. 플로팅 바디 셀 장치에 있어서,
    복수의 행들을 형성하기 위한 제 1 방향 및 복수의 컬럼들을 형성하기 위해 상기 제 1 방향에 실질적으로 수직한 제 2 방향으로 정렬된 복수의 플로팅 바디들로서, 각 플로팅 바디는 그것의 베이스(base) 부분으로부터 확장하고 u-형상 트렌치에 의해 분리된 필라들을 가진 다량의(a volume of) 반도전성 물질을 포함하고, 상기 필라들의 각각의 상측 부분은 도핑된 영역을 포함하는 것인, 복수의 플로팅 바디들;
    상기 복수의 상기 플로팅 바디들에 연관된 적어도 한 백 게이트; 및
    상기 복수의 플로팅 바디들에 연관되고 전압원에 동작 가능하게 결합된 적어도 또 다른 게이트를 포함하는, 플로팅 바디 셀 장치.
  14. 청구항 13에 있어서, 상기 적어도 한 백 게이트는 전기적 절연 물질 상에 비정질 실리콘 물질 위에 배치된 도전성 물질을 포함하는, 플로팅 바디 셀 장치.
  15. 청구항 13에 있어서, 상기 적어도 또 다른 게이트는 상기 복수의 플로팅 바디들의 각각 위에 배치된 도전성 물질을 포함하는, 플로팅 바디 셀 장치.
  16. 청구항 15에 있어서, 상기 도전성 물질은 상기 제 1 방향으로 확장되어, 상기 복수의 행들 중 적어도 하나에서 상기 복수의 플로팅 바디들을 전기적으로 결합하는, 플로팅 바디 셀 장치.
  17. 청구항 13에 있어서, 상기 적어도 또 다른 게이트는 상기 u-형상 트렌치 내에 배치된 도전성 물질을 포함하는, 플로팅 바디 셀 장치.
  18. 청구항 17에 있어서, 상기 도전성 물질은 상기 제 2 방향으로 확장되어, 상기 복수의 컬럼들 중 적어도 하나에서 상기 복수의 플로팅 바디들을 전기적으로 결합하는, 플로팅 바디 셀 장치.
  19. 청구항 13에 있어서, 상기 적어도 한 백 게이트는 상기 적어도 또 다른 게이트와는 무관하게 바이어스 되게 구성된, 플로팅 바디 셀 장치.
  20. 청구항 13에 있어서, 상기 플로팅 바디 셀 구조는 적어도 한 다른 논리 장치와 수직으로 적층된, 플로팅 바디 셀 장치.
  21. 플로팅 바디 셀 장치 형성 방법에 있어서,
    웨이퍼 위에 놓인 반도전성 물질, 유전체 물질, 게이트 물질, 및 비정질 실리콘 물질을 포함하는 베이스(base)를 형성하는 단계;
    상기 베이스(base)의 표면으로부터 돌출하는 복수의 플로팅 바디들을 형성하기 위해 상기 반도전성 물질의 부분을 제거하는 단계;
    상기 복수의 플로팅 바디들의 각각 내에 보이드를 형성하기 위해 상기 반도전성 물질의 또 다른 부분을 제거하는 단계;
    상기 복수의 플로팅 바디들의 각각의 상측 영역들 내에 소스 영역 및 드레인 영역을 형성하기 위해 상기 복수의 플로팅 바디들을 적어도 한 도펀트에 노출시키는 단계; 및
    상기 복수의 플로팅 바디들 중 적어도 하나에 연관된 게이트를 형성하는 단계를 포함하는, 방법.
  22. 청구항 21에 있어서, 웨이퍼 위에 놓인 반도전성 물질, 유전체 물질, 게이트 물질, 및 비정질 실리콘 물질을 포함하는 베이스(base)를 형성하는 단계는
    결정질 실리콘 웨이퍼 위에 놓인 유전체 물질, 게이트 물질 및 비정질 실리콘 물질을 포함하는 도너 웨이퍼를 형성하는 단계;
    상기 결정질 실리콘 웨이퍼 내 소정의 깊이에 이온들을 주입하는 단계;
    핸들 웨이퍼(handle wafer) 위에 놓인 전기적 절연 물질에 상기 도너 웨이퍼의 상기 비정질 실리콘 물질을 부착하는 단계; 및
    상기 유전체 물질, 상기 게이트 물질, 및 상기 비정질 실리콘 물질이 상기 핸들 웨이퍼의 상기 전기적 절연 물질의 표면 위에 놓이도록 상기 결정질 실리콘 웨이퍼의 부분을 남기게 상기 도너 웨이퍼의 부분을 분리하는 단계를 포함하는, 방법.
  23. 청구항 21에 있어서, 상기 베이스(base)의 표면으로부터 돌출하는 상기 복수의 플로팅 바디들을 형성하기 위해 상기 반도전성 물질의 부분을 제거하는 단계는 상기 복수의 플로팅 바디들을 형성하기 위해 상기 유전체 물질, 상기 게이트 물질 및 상기 비정질 실리콘 물질의 부분을 제거하는 단계를 더 포함하고, 각 플로팅 바디는 상기 웨이퍼 위에 놓인 전기적 절연 물질 상에 배치되는 것인, 방법.
  24. 청구항 21에 있어서, 상기 복수의 플로팅 바디들 중 적어도 하나에 연관된 게이트를 형성하는 단계는 상기 복수의 플로팅 바디들의 각각의 상기 보이드 내에 도전성 물질을 증착하는 단계를 포함하는, 방법.
  25. 청구항 21에 있어서, 상기 복수의 플로팅 바디들 중 적어도 하나에 연관된 게이트를 형성하는 단계는 상기 복수의 플로팅 바디들 각각의 적어도 한 표면 상에 도전성 구조를 형성하는 단계를 포함하는, 방법.
  26. 시스템에 있어서,
    적어도 한 메모리 장치; 및
    상기 적어도 한 메모리 장치에 동작 가능하게 결합된 적어도 한 플로팅 바디 셀 장치를 포함하며, 상기 적어도 한 플로팅 바디 셀 장치는
    플로팅 바디들의 어레이로서, 상기 어레이의 각 플로팅 바디는 그것의 베이스(base) 부분으로부터 확장되어 u-형상 트렌치에 의해 분리된 필라들을 갖는 다량의 반도전성 물질을 포함하며, 상기 필라들의 각각의 상측 부분은 도핑된 영역을 포함하는, 상기 플로팅 바디들의 어레이;
    플로팅 바디들의 상기 어레이에 연관된 적어도 한 백 게이트; 및
    플로팅 바디들의 상기 어레이에 연관되고 전압원에 동작 가능하게 결합된 적어도 또 다른 게이트를 포함하는, 시스템.
  27. 청구항 26에 있어서, 상기 시스템은 중앙처리유닛 및 시스템-온-칩 중 적어도 하나를 포함하는, 시스템.
  28. 청구항 26에 있어서, 상기 적어도 한 메모리 장치는 동적 랜덤 액세스 메모리, 제로 캐패시터 랜덤 액세스 메모리, 및 내장된 동적 랜덤 액세스 메모리 중 적어도 하나를 포함하는, 시스템.
  29. 청구항 26에 있어서, 상기 적어도 한 메모리 장치 및 상기 적어도 한 플로팅 바디 셀 장치는 서로 중첩된, 시스템.
  30. 청구항 26에 있어서, 플로팅 바디들의 상기 어레이는 제 1 방향으로 정렬되고 상기 제 1 방향에 실질적으로 수직한 제 2 방향으로 정렬된 복수의 플로팅 바디들을 포함하는, 시스템.
KR1020127025450A 2010-03-02 2011-02-10 플로팅 바디 셀 구조, 이를 포함하는 장치, 및 이를 형성하는 방법 KR101471734B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/715,843 US8513722B2 (en) 2010-03-02 2010-03-02 Floating body cell structures, devices including same, and methods for forming same
US12/715,843 2010-03-02
PCT/US2011/024387 WO2011109149A2 (en) 2010-03-02 2011-02-10 Floating body cell structures, devices including same, and methods for forming same

Publications (2)

Publication Number Publication Date
KR20120123588A true KR20120123588A (ko) 2012-11-08
KR101471734B1 KR101471734B1 (ko) 2014-12-10

Family

ID=44530577

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127025450A KR101471734B1 (ko) 2010-03-02 2011-02-10 플로팅 바디 셀 구조, 이를 포함하는 장치, 및 이를 형성하는 방법

Country Status (8)

Country Link
US (4) US8513722B2 (ko)
EP (1) EP2543068A4 (ko)
JP (1) JP2013521651A (ko)
KR (1) KR101471734B1 (ko)
CN (1) CN102822972B (ko)
SG (1) SG183450A1 (ko)
TW (1) TWI503874B (ko)
WO (1) WO2011109149A2 (ko)

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102006012738A1 (de) 2006-03-17 2007-09-20 Infineon Technologies Ag Nutzen aus einer Verbundplatte mit Halbleiterchips und Kunststoffgehäusemasse sowie Verfahren und Moldform zur Herstellung desselben
US9646869B2 (en) * 2010-03-02 2017-05-09 Micron Technology, Inc. Semiconductor devices including a diode structure over a conductive strap and methods of forming such semiconductor devices
US8513722B2 (en) 2010-03-02 2013-08-20 Micron Technology, Inc. Floating body cell structures, devices including same, and methods for forming same
US8507966B2 (en) 2010-03-02 2013-08-13 Micron Technology, Inc. Semiconductor cells, arrays, devices and systems having a buried conductive line and methods for forming the same
US8288795B2 (en) 2010-03-02 2012-10-16 Micron Technology, Inc. Thyristor based memory cells, devices and systems including the same and methods for forming the same
US9608119B2 (en) 2010-03-02 2017-03-28 Micron Technology, Inc. Semiconductor-metal-on-insulator structures, methods of forming such structures, and semiconductor devices including such structures
KR101150601B1 (ko) * 2010-06-03 2012-06-08 에스케이하이닉스 주식회사 반도체 소자 및 그 제조 방법
US8361856B2 (en) 2010-11-01 2013-01-29 Micron Technology, Inc. Memory cells, arrays of memory cells, and methods of forming memory cells
US8329567B2 (en) 2010-11-03 2012-12-11 Micron Technology, Inc. Methods of forming doped regions in semiconductor substrates
US8598621B2 (en) 2011-02-11 2013-12-03 Micron Technology, Inc. Memory cells, memory arrays, methods of forming memory cells, and methods of forming a shared doped semiconductor region of a vertically oriented thyristor and a vertically oriented access transistor
US8435859B2 (en) * 2011-02-16 2013-05-07 Micron Technology, Inc. Methods of forming electrical contacts
US8450175B2 (en) 2011-02-22 2013-05-28 Micron Technology, Inc. Methods of forming a vertical transistor and at least a conductive line electrically coupled therewith
US8952418B2 (en) 2011-03-01 2015-02-10 Micron Technology, Inc. Gated bipolar junction transistors
US8519431B2 (en) 2011-03-08 2013-08-27 Micron Technology, Inc. Thyristors
US8569831B2 (en) 2011-05-27 2013-10-29 Micron Technology, Inc. Integrated circuit arrays and semiconductor constructions
US8772848B2 (en) 2011-07-26 2014-07-08 Micron Technology, Inc. Circuit structures, memory circuitry, and methods
JP2013030557A (ja) 2011-07-27 2013-02-07 Elpida Memory Inc 半導体装置の製造方法
US9036391B2 (en) 2012-03-06 2015-05-19 Micron Technology, Inc. Arrays of vertically-oriented transistors, memory arrays including vertically-oriented transistors, and memory cells
US9129896B2 (en) 2012-08-21 2015-09-08 Micron Technology, Inc. Arrays comprising vertically-oriented transistors, integrated circuitry comprising a conductive line buried in silicon-comprising semiconductor material, methods of forming a plurality of conductive lines buried in silicon-comprising semiconductor material, and methods of forming an array comprising vertically-oriented transistors
US9006060B2 (en) 2012-08-21 2015-04-14 Micron Technology, Inc. N-type field effect transistors, arrays comprising N-type vertically-oriented transistors, methods of forming an N-type field effect transistor, and methods of forming an array comprising vertically-oriented N-type transistors
US9478550B2 (en) 2012-08-27 2016-10-25 Micron Technology, Inc. Arrays of vertically-oriented transistors, and memory arrays including vertically-oriented transistors
GB2505467A (en) 2012-08-31 2014-03-05 Ibm Dynamic logic gate comprising a nano-electro-mechanical switch
GB201215512D0 (en) 2012-08-31 2012-10-17 Ibm Four terminal nano-electromechanical switch with a single mechanical contact
US9111853B2 (en) 2013-03-15 2015-08-18 Micron Technology, Inc. Methods of forming doped elements of semiconductor device structures
JP6164288B2 (ja) * 2013-03-27 2017-07-19 株式会社村田製作所 ワイヤレス給電装置
US9443763B2 (en) 2013-09-12 2016-09-13 Micron Technology, Inc. Methods for forming interconnections between top electrodes in memory cells by a two-step chemical-mechanical polishing (CMP) process
US9773888B2 (en) 2014-02-26 2017-09-26 Micron Technology, Inc. Vertical access devices, semiconductor device structures, and related methods
CN107564980B (zh) * 2016-07-01 2020-03-31 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US10361218B2 (en) * 2017-02-28 2019-07-23 Toshiba Memory Corporation Semiconductor device and method for manufacturing same
JP2018142654A (ja) * 2017-02-28 2018-09-13 東芝メモリ株式会社 半導体装置及びその製造方法
CN114270530A (zh) 2019-08-09 2022-04-01 美光科技公司 晶体管及形成晶体管的方法
US10923593B1 (en) * 2019-08-09 2021-02-16 Micron Technology, Inc. Transistor and methods of forming transistors
US11024736B2 (en) 2019-08-09 2021-06-01 Micron Technology, Inc. Transistor and methods of forming integrated circuitry
US10964811B2 (en) 2019-08-09 2021-03-30 Micron Technology, Inc. Transistor and methods of forming transistors
US11355554B2 (en) * 2020-05-08 2022-06-07 Micron Technology, Inc. Sense lines in three-dimensional memory arrays, and methods of forming the same
US11908932B2 (en) * 2020-07-23 2024-02-20 Micron Technology, Inc. Apparatuses comprising vertical transistors having gate electrodes at least partially recessed within channel regions, and related methods and systems
US11646372B2 (en) 2020-09-19 2023-05-09 International Business Machines Corporation Vertical transistor floating body one transistor DRAM memory cell
US11637175B2 (en) 2020-12-09 2023-04-25 Micron Technology, Inc. Vertical transistors

Family Cites Families (174)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3988771A (en) 1974-05-28 1976-10-26 General Electric Company Spatial control of lifetime in semiconductor device
DE3507484C2 (de) 1985-03-02 1993-10-28 Teves Gmbh Alfred Hydraulische Bremsanlage
JPS6379605A (ja) 1986-09-24 1988-04-09 フランスベッド株式会社 ベツド装置
US5106776A (en) 1988-06-01 1992-04-21 Texas Instruments Incorporated Method of making high performance composed pillar dRAM cell
JPH0750772B2 (ja) 1989-01-24 1995-05-31 富士通株式会社 半導体装置およびその製造方法
DE69133311T2 (de) * 1990-10-15 2004-06-24 Aptix Corp., San Jose Verbindungssubstrat mit integrierter Schaltung zur programmierbaren Verbindung und Probenuntersuchung
JP3081967B2 (ja) 1990-11-21 2000-08-28 富士通株式会社 シリコンオンインシュレータ基板の製造方法
US5102821A (en) * 1990-12-20 1992-04-07 Texas Instruments Incorporated SOI/semiconductor heterostructure fabrication by wafer bonding of polysilicon to titanium
JPH04283914A (ja) 1991-03-12 1992-10-08 Fujitsu Ltd 貼り合わせ半導体基板とその製造方法
FR2681472B1 (fr) * 1991-09-18 1993-10-29 Commissariat Energie Atomique Procede de fabrication de films minces de materiau semiconducteur.
US5465249A (en) 1991-11-26 1995-11-07 Cree Research, Inc. Nonvolatile random access memory device having transistor and capacitor made in silicon carbide substrate
US5412598A (en) 1992-04-27 1995-05-02 The University Of British Columbia Bistable four layer device, memory cell, and method for storing and retrieving binary information
JPH06104446A (ja) 1992-09-22 1994-04-15 Toshiba Corp 半導体装置
JPH0798460A (ja) * 1992-10-21 1995-04-11 Seiko Instr Inc 半導体装置及び光弁装置
US5260233A (en) * 1992-11-06 1993-11-09 International Business Machines Corporation Semiconductor device and wafer structure having a planar buried interconnect by wafer bonding
US5510630A (en) 1993-10-18 1996-04-23 Westinghouse Electric Corporation Non-volatile random access memory cell constructed of silicon carbide
JPH0888153A (ja) 1994-09-19 1996-04-02 Toshiba Corp 積層構造ウェハおよびその形成方法
DE4433845A1 (de) * 1994-09-22 1996-03-28 Fraunhofer Ges Forschung Verfahren zur Herstellung einer dreidimensionalen integrierten Schaltung
FR2729008B1 (fr) 1994-12-30 1997-03-21 Sgs Thomson Microelectronics Circuit integre de puissance
US6750091B1 (en) * 1996-03-01 2004-06-15 Micron Technology Diode formation method
US7052941B2 (en) * 2003-06-24 2006-05-30 Sang-Yun Lee Method for making a three-dimensional integrated circuit structure
US8018058B2 (en) 2004-06-21 2011-09-13 Besang Inc. Semiconductor memory device
FR2755537B1 (fr) * 1996-11-05 1999-03-05 Commissariat Energie Atomique Procede de fabrication d'un film mince sur un support et structure ainsi obtenue
JPH10150176A (ja) 1996-11-15 1998-06-02 Tadahiro Omi 半導体基体とその作製方法
US5874760A (en) 1997-01-22 1999-02-23 International Business Machines Corporation 4F-square memory cell having vertical floating-gate transistors with self-aligned shallow trench isolation
US6225151B1 (en) 1997-06-09 2001-05-01 Advanced Micro Devices, Inc. Nitrogen liner beneath transistor source/drain regions to retard dopant diffusion
US5936274A (en) 1997-07-08 1999-08-10 Micron Technology, Inc. High density flash memory
US5909618A (en) * 1997-07-08 1999-06-01 Micron Technology, Inc. Method of making memory cell with vertical transistor and buried word and body lines
EP0895282A3 (en) * 1997-07-30 2000-01-26 Canon Kabushiki Kaisha Method of preparing a SOI substrate by using a bonding process, and SOI substrate produced by the same
JP4623451B2 (ja) 1997-07-30 2011-02-02 忠弘 大見 半導体基板及びその作製方法
FR2767416B1 (fr) * 1997-08-12 1999-10-01 Commissariat Energie Atomique Procede de fabrication d'un film mince de materiau solide
FR2773261B1 (fr) * 1997-12-30 2000-01-28 Commissariat Energie Atomique Procede pour le transfert d'un film mince comportant une etape de creation d'inclusions
US5904507A (en) * 1998-02-23 1999-05-18 National Semiconductor Corporation Programmable anti-fuses using laser writing
US6242775B1 (en) 1998-02-24 2001-06-05 Micron Technology, Inc. Circuits and methods using vertical complementary transistors
US5963469A (en) 1998-02-24 1999-10-05 Micron Technology, Inc. Vertical bipolar read access for low voltage memory cell
US6365488B1 (en) * 1998-03-05 2002-04-02 Industrial Technology Research Institute Method of manufacturing SOI wafer with buried layer
EP0945901A1 (de) 1998-03-23 1999-09-29 Siemens Aktiengesellschaft DRAM-Zellenanordnung mit vertikalen Transistoren und Verfahren zu deren Herstellung
US6225165B1 (en) 1998-05-13 2001-05-01 Micron Technology, Inc. High density SRAM cell with latched vertical transistors
US6545297B1 (en) * 1998-05-13 2003-04-08 Micron Technology, Inc. High density vertical SRAM cell using bipolar latchup induced by gated diode breakdown
US6229161B1 (en) * 1998-06-05 2001-05-08 Stanford University Semiconductor capacitively-coupled NDR device and its applications in high-density high-speed memories and in power switches
US6137128A (en) * 1998-06-09 2000-10-24 International Business Machines Corporation Self-isolated and self-aligned 4F-square vertical fet-trench dram cells
JP4476390B2 (ja) 1998-09-04 2010-06-09 株式会社半導体エネルギー研究所 半導体装置の作製方法
FR2795865B1 (fr) * 1999-06-30 2001-08-17 Commissariat Energie Atomique Procede de realisation d'un film mince utilisant une mise sous pression
US6355520B1 (en) * 1999-08-16 2002-03-12 Infineon Technologies Ag Method for fabricating 4F2 memory cells with improved gate conductor structure
US6797604B2 (en) * 2000-05-08 2004-09-28 International Business Machines Corporation Method for manufacturing device substrate with metal back-gate and structure formed thereby
KR100821456B1 (ko) 2000-08-14 2008-04-11 샌디스크 쓰리디 엘엘씨 밀집한 어레이 및 전하 저장 장치와, 그 제조 방법
US6600173B2 (en) * 2000-08-30 2003-07-29 Cornell Research Foundation, Inc. Low temperature semiconductor layering and three-dimensional electronic circuits using the layering
US6355501B1 (en) * 2000-09-21 2002-03-12 International Business Machines Corporation Three-dimensional chip stacking assembly
US6583440B2 (en) * 2000-11-30 2003-06-24 Seiko Epson Corporation Soi substrate, element substrate, semiconductor device, electro-optical apparatus, electronic equipment, method of manufacturing the soi substrate, method of manufacturing the element substrate, and method of manufacturing the electro-optical apparatus
US6559471B2 (en) * 2000-12-08 2003-05-06 Motorola, Inc. Quantum well infrared photodetector and method for fabricating same
FR2818010B1 (fr) * 2000-12-08 2003-09-05 Commissariat Energie Atomique Procede de realisation d'une couche mince impliquant l'introduction d'especes gazeuses
US6713791B2 (en) * 2001-01-26 2004-03-30 Ibm Corporation T-RAM array having a planar cell structure and method for fabricating the same
US6891205B1 (en) 2001-03-22 2005-05-10 T-Ram, Inc. Stability in thyristor-based memory device
US7456439B1 (en) * 2001-03-22 2008-11-25 T-Ram Semiconductor, Inc. Vertical thyristor-based memory with trench isolation and its method of fabrication
US6897514B2 (en) 2001-03-28 2005-05-24 Matrix Semiconductor, Inc. Two mask floating gate EEPROM and method of making
US6492662B2 (en) * 2001-04-16 2002-12-10 Ibm Corporation T-RAM structure having dual vertical devices and method for fabricating the same
US6627924B2 (en) * 2001-04-30 2003-09-30 Ibm Corporation Memory system capable of operating at high temperatures and method for fabricating the same
US6906354B2 (en) * 2001-06-13 2005-06-14 International Business Machines Corporation T-RAM cell having a buried vertical thyristor and a pseudo-TFT transfer gate and method for fabricating the same
JP2003030980A (ja) 2001-07-13 2003-01-31 Toshiba Corp 半導体記憶装置
US6841813B2 (en) 2001-08-13 2005-01-11 Matrix Semiconductor, Inc. TFT mask ROM and method for making same
US6744094B2 (en) * 2001-08-24 2004-06-01 Micron Technology Inc. Floating gate transistor with horizontal gate layers stacked next to vertical body
JP4715065B2 (ja) * 2001-09-06 2011-07-06 ソニー株式会社 半導体装置およびその製造方法
US6815781B2 (en) 2001-09-25 2004-11-09 Matrix Semiconductor, Inc. Inverted staggered thin film transistor with salicided source/drain structures and method of making same
CN1321457C (zh) 2001-11-07 2007-06-13 新电元件工业株式会社 浪涌保护半导体装置
US7081663B2 (en) 2002-01-18 2006-07-25 National Semiconductor Corporation Gate-enhanced junction varactor with gradual capacitance variation
US6872645B2 (en) * 2002-04-02 2005-03-29 Nanosys, Inc. Methods of positioning and/or orienting nanostructures
US7042749B2 (en) 2002-05-16 2006-05-09 Micron Technology, Inc. Stacked 1T-nmemory cell structure
US6940748B2 (en) 2002-05-16 2005-09-06 Micron Technology, Inc. Stacked 1T-nMTJ MRAM structure
JP2004003398A (ja) 2002-05-31 2004-01-08 Shin Caterpillar Mitsubishi Ltd 建設機械
US6781907B2 (en) 2002-06-06 2004-08-24 Micron Technology, Inc. Temperature compensated T-RAM memory device and method
US7224024B2 (en) * 2002-08-29 2007-05-29 Micron Technology, Inc. Single transistor vertical memory gain cell
US6838723B2 (en) 2002-08-29 2005-01-04 Micron Technology, Inc. Merged MOS-bipolar capacitor memory cell
TWI320571B (en) 2002-09-12 2010-02-11 Qs Semiconductor Australia Pty Ltd Dynamic nonvolatile random access memory ne transistor cell and random access memory array
US6953953B1 (en) * 2002-10-01 2005-10-11 T-Ram, Inc. Deep trench isolation for thyristor-based semiconductor device
US6690039B1 (en) 2002-10-01 2004-02-10 T-Ram, Inc. Thyristor-based device that inhibits undesirable conductive channel formation
US6965129B1 (en) 2002-11-06 2005-11-15 T-Ram, Inc. Thyristor-based device having dual control ports
US7710771B2 (en) * 2002-11-20 2010-05-04 The Regents Of The University Of California Method and apparatus for capacitorless double-gate storage
US6812504B2 (en) 2003-02-10 2004-11-02 Micron Technology, Inc. TFT-based random access memory cells comprising thyristors
JP2004247545A (ja) 2003-02-14 2004-09-02 Nissan Motor Co Ltd 半導体装置及びその製造方法
US6956256B2 (en) * 2003-03-04 2005-10-18 Micron Technology Inc. Vertical gain cell
WO2004090984A1 (en) * 2003-04-03 2004-10-21 Kabushiki Kaisha Toshiba Phase change memory device
US20040228168A1 (en) * 2003-05-13 2004-11-18 Richard Ferrant Semiconductor memory device and method of operating same
US8125003B2 (en) * 2003-07-02 2012-02-28 Micron Technology, Inc. High-performance one-transistor memory cell
US7195959B1 (en) 2004-10-04 2007-03-27 T-Ram Semiconductor, Inc. Thyristor-based semiconductor device and method of fabrication
US7180135B1 (en) 2003-10-06 2007-02-20 George Mason Intellectual Properties, Inc. Double gate (DG) SOI ratioed logic with intrinsically on symmetric DG-MOSFET load
US6888199B2 (en) * 2003-10-07 2005-05-03 International Business Machines Corporation High-density split-gate FinFET
JP4044510B2 (ja) 2003-10-30 2008-02-06 株式会社東芝 半導体集積回路装置
US7268373B1 (en) 2003-11-12 2007-09-11 T-Ram Semiconductor, Inc. Thyristor-based memory and its method of operation
US7304327B1 (en) 2003-11-12 2007-12-04 T-Ram Semiconductor, Inc. Thyristor circuit and approach for temperature stability
US6878991B1 (en) * 2004-01-30 2005-04-12 Micron Technology, Inc. Vertical device 4F2 EEPROM memory
US7075146B2 (en) * 2004-02-24 2006-07-11 Micron Technology, Inc. 4F2 EEPROM NROM memory arrays with vertical devices
US6995456B2 (en) * 2004-03-12 2006-02-07 International Business Machines Corporation High-performance CMOS SOI devices on hybrid crystal-oriented substrates
US7049654B2 (en) * 2004-03-31 2006-05-23 Intel Corporation Memory with split gate devices and method of fabrication
JP4429798B2 (ja) * 2004-05-12 2010-03-10 富士通マイクロエレクトロニクス株式会社 フィン型チャネルfetを用いたシステムlsi及びその製造方法
US7112997B1 (en) * 2004-05-19 2006-09-26 Altera Corporation Apparatus and methods for multi-gate silicon-on-insulator transistors
US8399934B2 (en) 2004-12-20 2013-03-19 Infineon Technologies Ag Transistor device
US7268969B2 (en) * 2004-06-30 2007-09-11 Hitachi Global Storage Technologies Netherlands B.V. Repeatable timing mark position error correction in self-servowrite
CN101010793B (zh) * 2004-06-30 2011-09-28 Nxp股份有限公司 制造具有通过纳米线接触的导电材料层的电子器件的方法
US7518182B2 (en) * 2004-07-20 2009-04-14 Micron Technology, Inc. DRAM layout with vertical FETs and method of formation
US20060034116A1 (en) * 2004-08-13 2006-02-16 Lam Chung H Cross point array cell with series connected semiconductor diode and phase change storage media
US7145186B2 (en) * 2004-08-24 2006-12-05 Micron Technology, Inc. Memory cell with trenched gated thyristor
US7365385B2 (en) * 2004-08-30 2008-04-29 Micron Technology, Inc. DRAM layout with vertical FETs and method of formation
US7259415B1 (en) 2004-09-02 2007-08-21 Micron Technology, Inc. Long retention time single transistor vertical memory gain cell
US7271052B1 (en) * 2004-09-02 2007-09-18 Micron Technology, Inc. Long retention time single transistor vertical memory gain cell
US7566974B2 (en) * 2004-09-29 2009-07-28 Sandisk 3D, Llc Doped polysilicon via connecting polysilicon layers
US7476939B2 (en) * 2004-11-04 2009-01-13 Innovative Silicon Isi Sa Memory cell having an electrically floating body transistor and programming technique therefor
ATE420461T1 (de) * 2004-11-09 2009-01-15 Soitec Silicon On Insulator Verfahren zum herstellen von zusammengesetzten wafern
US7326969B1 (en) 2004-12-02 2008-02-05 T-Ram Semiconductor, Inc. Semiconductor device incorporating thyristor-based memory and strained silicon
US7173312B2 (en) 2004-12-15 2007-02-06 International Business Machines Corporation Structure and method to generate local mechanical gate stress for MOSFET channel mobility modification
KR100663359B1 (ko) * 2005-03-31 2007-01-02 삼성전자주식회사 리세스 채널 트랜지스터 구조를 갖는 단일 트랜지스터플로팅 바디 디램 셀 및 그 제조방법
KR100702014B1 (ko) * 2005-05-03 2007-03-30 삼성전자주식회사 수직 채널 트랜지스터 구조를 갖는 단일 트랜지스터 플로팅바디 디램 소자들 및 그 제조방법들
US7279740B2 (en) * 2005-05-12 2007-10-09 Micron Technology, Inc. Band-engineered multi-gated non-volatile memory device with enhanced attributes
US7120046B1 (en) 2005-05-13 2006-10-10 Micron Technology, Inc. Memory array with surrounding gate access transistors and capacitors with global and staggered local bit lines
JP4696964B2 (ja) 2005-07-15 2011-06-08 ソニー株式会社 メモリ用の半導体装置
US7776715B2 (en) * 2005-07-26 2010-08-17 Micron Technology, Inc. Reverse construction memory cell
US7538000B2 (en) * 2005-07-28 2009-05-26 Freescale Semiconductor, Inc. Method of forming double gate transistors having varying gate dielectric thicknesses
US7511332B2 (en) * 2005-08-29 2009-03-31 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical flash memory
US20070047364A1 (en) * 2005-08-31 2007-03-01 International Business Machines Corporation Methods and apparatus for varying a supply voltage or reference voltage using independent control of diode voltage in asymmetrical double-gate devices
US7416943B2 (en) 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US7227233B2 (en) * 2005-09-12 2007-06-05 International Business Machines Corporation Silicon-on-insulator (SOI) Read Only Memory (ROM) array and method of making a SOI ROM
KR100675285B1 (ko) 2005-10-10 2007-01-29 삼성전자주식회사 수직 트랜지스터를 갖는 반도체소자 및 그 제조방법
KR100660881B1 (ko) * 2005-10-12 2006-12-26 삼성전자주식회사 수직 채널 트랜지스터를 구비한 반도체 소자 및 그 제조방법
KR100663368B1 (ko) 2005-12-07 2007-01-02 삼성전자주식회사 반도체 메모리 장치 및 이 장치의 데이터 라이트 및 리드방법
US7786505B1 (en) 2005-12-16 2010-08-31 T-Ram Semiconductor, Inc. Reduction of charge leakage from a thyristor-based memory cell
JP5011748B2 (ja) 2006-02-24 2012-08-29 株式会社デンソー 半導体装置
US8501561B2 (en) 2006-03-07 2013-08-06 Infineon Technologies Ag Method for producing a semiconductor component arrangement comprising a trench transistor
US7439594B2 (en) * 2006-03-16 2008-10-21 Micron Technology, Inc. Stacked non-volatile memory with silicon carbide-based amorphous silicon thin film transistors
US8501581B2 (en) 2006-03-29 2013-08-06 Micron Technology, Inc. Methods of forming semiconductor constructions
US8008144B2 (en) * 2006-05-11 2011-08-30 Micron Technology, Inc. Dual work function recessed access device and methods of forming
JP2008010503A (ja) * 2006-06-27 2008-01-17 Toshiba Corp 半導体記憶装置およびその製造方法
US7589995B2 (en) 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
US7410856B2 (en) * 2006-09-14 2008-08-12 Micron Technology, Inc. Methods of forming vertical transistors
US7851859B2 (en) * 2006-11-01 2010-12-14 Samsung Electronics Co., Ltd. Single transistor memory device having source and drain insulating regions and method of fabricating the same
US7592209B2 (en) * 2006-11-13 2009-09-22 Intel Corporation Integration of a floating body memory on SOI with logic transistors on bulk substrate
US7619917B2 (en) * 2006-11-28 2009-11-17 Qimonda North America Corp. Memory cell with trigger element
US8217435B2 (en) * 2006-12-22 2012-07-10 Intel Corporation Floating body memory cell having gates favoring different conductivity type regions
JP2008177273A (ja) * 2007-01-17 2008-07-31 Toshiba Corp 半導体記憶装置及び半導体記憶装置の製造方法
US8368137B2 (en) * 2007-06-26 2013-02-05 Sandisk Technologies Inc. Dual bit line metal layers for non-volatile memory
US8159035B2 (en) 2007-07-09 2012-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gates of PMOS devices having high work functions
US7816216B2 (en) * 2007-07-09 2010-10-19 Micron Technology, Inc. Semiconductor device comprising transistor structures and methods for forming same
US7969808B2 (en) * 2007-07-20 2011-06-28 Samsung Electronics Co., Ltd. Memory cell structures, memory arrays, memory devices, memory controllers, and memory systems, and methods of manufacturing and operating the same
KR100881825B1 (ko) 2007-07-27 2009-02-03 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US9129845B2 (en) * 2007-09-19 2015-09-08 Micron Technology, Inc. Buried low-resistance metal word lines for cross-point variable-resistance material memories
US7439149B1 (en) * 2007-09-26 2008-10-21 International Business Machines Corporation Structure and method for forming SOI trench memory with single-sided strap
US20090108351A1 (en) * 2007-10-26 2009-04-30 International Business Machines Corporation Finfet memory device with dual separate gates and method of operation
US7719869B2 (en) * 2007-11-19 2010-05-18 Qimonda Ag Memory cell array comprising floating body memory cells
KR20090054245A (ko) 2007-11-26 2009-05-29 삼성전자주식회사 플로팅 바디 디램 소자 및 그 제조 방법
DE102007057728B4 (de) 2007-11-30 2014-04-30 Infineon Technologies Ag Verfahren zur Herstellung eines Halbleiterbauelements mit einer Kurzschlusstruktur
US7940558B2 (en) * 2007-12-21 2011-05-10 Qimonda Ag Integrated circuit comprising a thyristor and method of controlling a memory cell comprising a thyristor
KR100950472B1 (ko) * 2007-12-28 2010-03-31 주식회사 하이닉스반도체 4f2 트랜지스터를 갖는 반도체 소자의 제조방법
US8558220B2 (en) 2007-12-31 2013-10-15 Sandisk 3D Llc Memory cell that employs a selectively fabricated carbon nano-tube reversible resistance-switching element formed over a bottom conductor and methods of forming the same
US20090173984A1 (en) * 2008-01-08 2009-07-09 Qimonda Ag Integrated circuit and method of manufacturing an integrated circuit
US7795691B2 (en) 2008-01-25 2010-09-14 Cree, Inc. Semiconductor transistor with P type re-grown channel layer
US8014195B2 (en) * 2008-02-06 2011-09-06 Micron Technology, Inc. Single transistor memory cell
US7742324B2 (en) * 2008-02-19 2010-06-22 Micron Technology, Inc. Systems and devices including local data lines and methods of using, making, and operating the same
US8030634B2 (en) * 2008-03-31 2011-10-04 Macronix International Co., Ltd. Memory array with diode driver and method for fabricating the same
CN101621036B (zh) * 2008-07-02 2011-08-17 中芯国际集成电路制造(上海)有限公司 具有非晶硅mas存储单元结构的半导体器件及其制造方法
KR101498873B1 (ko) 2008-07-08 2015-03-04 삼성전자주식회사 디램 및 비휘발성 메모리 특성을 갖는 메모리 소자의 구동방법
KR101159879B1 (ko) 2008-07-14 2012-06-25 에스케이하이닉스 주식회사 고집적 반도체 기억 장치
US8130537B2 (en) 2008-09-09 2012-03-06 Qimonda Ag Phase change memory cell with MOSFET driven bipolar access device
KR20100070835A (ko) 2008-12-18 2010-06-28 삼성전자주식회사 사이리스터를 갖는 메모리 셀 및 그것을 포함한 메모리 장치
US8405121B2 (en) 2009-02-12 2013-03-26 Infineon Technologies Ag Semiconductor devices
KR101073643B1 (ko) 2009-02-19 2011-10-14 서울대학교산학협력단 고성능 단일 트랜지스터 플로팅 바디 dram 소자 및 그 제조 방법
US7929343B2 (en) 2009-04-07 2011-04-19 Micron Technology, Inc. Methods, devices, and systems relating to memory cells having a floating body
US8148780B2 (en) 2009-03-24 2012-04-03 Micron Technology, Inc. Devices and systems relating to a memory cell having a floating body
US8508994B2 (en) 2009-04-30 2013-08-13 Micron Technology, Inc. Semiconductor device with floating gate and electrically floating body
US10566462B2 (en) 2009-07-30 2020-02-18 Infineon Technologies Austria Ag Bipolar semiconductor device and manufacturing method
US8513722B2 (en) 2010-03-02 2013-08-20 Micron Technology, Inc. Floating body cell structures, devices including same, and methods for forming same
US9608119B2 (en) 2010-03-02 2017-03-28 Micron Technology, Inc. Semiconductor-metal-on-insulator structures, methods of forming such structures, and semiconductor devices including such structures
US9646869B2 (en) 2010-03-02 2017-05-09 Micron Technology, Inc. Semiconductor devices including a diode structure over a conductive strap and methods of forming such semiconductor devices
US8288795B2 (en) 2010-03-02 2012-10-16 Micron Technology, Inc. Thyristor based memory cells, devices and systems including the same and methods for forming the same
US8507966B2 (en) 2010-03-02 2013-08-13 Micron Technology, Inc. Semiconductor cells, arrays, devices and systems having a buried conductive line and methods for forming the same
US8598621B2 (en) 2011-02-11 2013-12-03 Micron Technology, Inc. Memory cells, memory arrays, methods of forming memory cells, and methods of forming a shared doped semiconductor region of a vertically oriented thyristor and a vertically oriented access transistor
US8952418B2 (en) 2011-03-01 2015-02-10 Micron Technology, Inc. Gated bipolar junction transistors

Also Published As

Publication number Publication date
US8859359B2 (en) 2014-10-14
US20110215408A1 (en) 2011-09-08
WO2011109149A3 (en) 2011-11-17
CN102822972A (zh) 2012-12-12
US8530295B2 (en) 2013-09-10
EP2543068A2 (en) 2013-01-09
CN102822972B (zh) 2016-06-08
US8513722B2 (en) 2013-08-20
WO2011109149A2 (en) 2011-09-09
US20130309820A1 (en) 2013-11-21
US8841715B2 (en) 2014-09-23
EP2543068A4 (en) 2014-07-09
JP2013521651A (ja) 2013-06-10
TW201145364A (en) 2011-12-16
KR101471734B1 (ko) 2014-12-10
US20130011977A1 (en) 2013-01-10
SG183450A1 (en) 2012-09-27
TWI503874B (zh) 2015-10-11
US20130307042A1 (en) 2013-11-21

Similar Documents

Publication Publication Date Title
KR101471734B1 (ko) 플로팅 바디 셀 구조, 이를 포함하는 장치, 및 이를 형성하는 방법
US8866209B2 (en) Semiconductor cells, arrays, devices and systems having a buried conductive line and methods for forming the same
KR101480211B1 (ko) 사이리스터-기반의 메모리 셀들, 이를 포함하는 장치들 및 시스템들 및 이를 형성하는 방법들
US7084028B2 (en) Semiconductor device and method of manufacturing a semiconductor device
KR101317108B1 (ko) 플로팅 바디를 갖는 메모리 셀에 관한 방법, 장치, 및 시스템
US8227301B2 (en) Semiconductor device structures with floating body charge storage and methods for forming such semiconductor device structures
US8476707B2 (en) Method for manufacturing semiconductor device
US20070284612A1 (en) Semiconductor devices with one-sided buried straps
KR100620442B1 (ko) 반도체 장치의 제조 방법
US11515310B2 (en) Cell array and method for fabricating the same
US8076712B2 (en) Semiconductor memory comprising dual charge storage nodes and methods for its fabrication
CN117174745A (zh) 半导体结构及其制作方法、存储器

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20171030

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee