JP2009503139A - 材料を相互に接着するための方法及び組成物 - Google Patents

材料を相互に接着するための方法及び組成物 Download PDF

Info

Publication number
JP2009503139A
JP2009503139A JP2008522785A JP2008522785A JP2009503139A JP 2009503139 A JP2009503139 A JP 2009503139A JP 2008522785 A JP2008522785 A JP 2008522785A JP 2008522785 A JP2008522785 A JP 2008522785A JP 2009503139 A JP2009503139 A JP 2009503139A
Authority
JP
Japan
Prior art keywords
composition
functional group
layer
substrate
cross
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008522785A
Other languages
English (en)
Other versions
JP2009503139A5 (ja
JP5084728B2 (ja
Inventor
シュ,フランク・ワイ
Original Assignee
モレキュラー・インプリンツ・インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/187,406 external-priority patent/US7759407B2/en
Application filed by モレキュラー・インプリンツ・インコーポレーテッド filed Critical モレキュラー・インプリンツ・インコーポレーテッド
Publication of JP2009503139A publication Critical patent/JP2009503139A/ja
Publication of JP2009503139A5 publication Critical patent/JP2009503139A5/ja
Application granted granted Critical
Publication of JP5084728B2 publication Critical patent/JP5084728B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B37/00Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding
    • B32B37/14Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding characterised by the properties of the layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29BPREPARATION OR PRETREATMENT OF THE MATERIAL TO BE SHAPED; MAKING GRANULES OR PREFORMS; RECOVERY OF PLASTICS OR OTHER CONSTITUENTS OF WASTE MATERIAL CONTAINING PLASTICS
    • B29B9/00Making granules
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B38/00Ancillary operations in connection with laminating processes
    • B32B38/06Embossing
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00444Surface micromachining, i.e. structuring layers on the substrate
    • B81C1/0046Surface micromachining, i.e. structuring layers on the substrate using stamping, e.g. imprinting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L59/00Compositions of polyacetals; Compositions of derivatives of polyacetals
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09JADHESIVES; NON-MECHANICAL ASPECTS OF ADHESIVE PROCESSES IN GENERAL; ADHESIVE PROCESSES NOT PROVIDED FOR ELSEWHERE; USE OF MATERIALS AS ADHESIVES
    • C09J5/00Adhesive processes in general; Adhesive processes not provided for elsewhere, e.g. relating to primers
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B23/00Single-crystal growth by condensing evaporated or sublimed materials
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0147Film patterning
    • B81C2201/015Imprinting
    • B81C2201/0153Imprinting techniques not provided for in B81C2201/0152
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09JADHESIVES; NON-MECHANICAL ASPECTS OF ADHESIVE PROCESSES IN GENERAL; ADHESIVE PROCESSES NOT PROVIDED FOR ELSEWHERE; USE OF MATERIALS AS ADHESIVES
    • C09J2301/00Additional features of adhesives in the form of films or foils
    • C09J2301/40Additional features of adhesives in the form of films or foils characterized by the presence of essential components
    • C09J2301/416Additional features of adhesives in the form of films or foils characterized by the presence of essential components use of irradiation
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09JADHESIVES; NON-MECHANICAL ASPECTS OF ADHESIVE PROCESSES IN GENERAL; ADHESIVE PROCESSES NOT PROVIDED FOR ELSEWHERE; USE OF MATERIALS AS ADHESIVES
    • C09J2451/00Presence of graft polymer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/10Methods of surface bonding and/or assembly therefor

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Health & Medical Sciences (AREA)
  • Metallurgy (AREA)
  • Theoretical Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Mathematical Physics (AREA)
  • Materials Engineering (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Shaping Of Tube Ends By Bending Or Straightening (AREA)
  • Adhesives Or Adhesive Processes (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)
  • Macromonomer-Based Addition Polymer (AREA)
  • Laminated Bodies (AREA)

Abstract

本発明は、層を基板に接着する方法を提供する。これは、層に対しては共有結合を形成し、基板に対しては共有結合、イオン結合、ファンデルワールス力のうちの1つ又は複数を使用して接着する組成物を前記層と前記基板との間に存在させることにより、第一と第二界面を形成することを特徴とする。この場合、組成物に対する層の接着力の強度は、予め決定された接着機構、即ち共有結合を含まない接着機構から形成される組成物に対する層の接着力よりも強いことが保証される。加えて、本発明は、第一と第二材料を相互に接着する組成物を対象とする。この組成物は、主鎖基を含むとともに第一と第二官能基を含む多官能性反応性化合物;架橋剤及び触媒を特徴とする。第一官能基は、第一化学線エネルギーに応答して架橋分子を形成し、架橋分子のサブセットを第一材料に接着させる。第二官能基は、第一化学線エネルギーとは異なる第二化学線エネルギーに応答して、第二材料に接着する。

Description

National Institute of Standards(NIST)ATP賞により授与された70NANB4H3012の条件により規定されているように、米国政府は、本発明における一括払認可と限られた状況において特許権者が他者に正当な期間許諾することを要求する権利を有する。
発明の分野は一般に、構造のナノ成形加工に関する。詳細には、本発明は、インプリントリソグラフィ法で使用するために適している異なる材料を相互に接着する方法を対象とする。
ナノスケール成形加工は、例えば約1ナノメートル又はそれ以上の形態を有する非常に小さい構造の成形加工に関する。ナノスケールの成形加工で使用するために有望な方法は、インプリントリソグラフィとして知られている。例示的なインプリントリソグラフィが、「最小寸法変動性を有するフィーチャを複製するためにフィーチャを基板に配置する方法及びモールド」と題され米国特許出願第10/264960号明細書として出願された米国特許出願公開第2004−0065976号明細書;「測定標準の成形加工を容易にするために層を基板上で成形する方法」と題され米国特許出願第10/264926号明細書として出願された米国特許出願公開第2004−0065252号明細書;「最小寸法変動性を有するフィーチャを複製するためにフィーチャを基板に配置する方法及びモールド」と題され米国特許出願第10/235314号明細書として出願された米国特許出願公開第2004−0046271号明細書などの数多くの刊行物に詳述されており、これらは全て、本発明の譲受人に譲渡されている。
図1を参照すると、インプリントリソグラフィの背後にある基本的なコンセプトは、特にエッチングマスクとして機能するレリーフパターンを基板上に成形して、そのレリーフパターンに対応するパターンを基板に成形することである。レリーフパターンを成形するために使用される系10は、基板12がその上に支持されるステージ11及びその上にパターン表面18を備えたモールド16を有するテンプレート14を含む。パターン表面18は実質的に平滑及び/又は平坦であってもよいし、1個又は複数の凹部がその中に形成されているようにパターン成形されていてもよい。テンプレート14は、テンプレート14の移動を容易にするためのインプリントヘッド20に連結されている。液体分配系22は、基板12との液体的連絡において選択的に設置され、基板の上に重合可能な材料24を堆積するように連結されている。エネルギー28の源26は、経路30に沿ってエネルギー28に直接連結している。インプリントヘッド20とステージ11は、モールド16と基板12がそれぞれ重なって、経路30内に配置されるように設置されている。インプリントヘッド20、ステージ11又は両方が、モールド16と基板12との間の距離を変動させて、重合可能な材料24により充填されるそれらの間の所望の容積を規定する。
通常、重合可能な材料24が基板12上に堆積され、その後、所望の容積が、モールド16と基板12の間に決められる。しかしながら、重合可能な材料24は、所望の容積が得られた後に、容積を充填することができる。所望の容積が重合可能な材料24で充填された後に、源26がエネルギー28を発生させ、これが、重合可能な材料24を凝固及び/又は架橋させて、基板表面25とモールド表面18のフィーチャに合致するポリマー材料を成形する。このプロセスの制御は、プロセッサ32により制御されるが、これは、ステージ11、インプリントヘッド20、液体分配系22、源26がデータ連絡されていて、メモリ34に記憶されているコンピューター読み取り可能なプログラムで操作される。
重合可能な材料にパターンを正確に成形する際に重要な特性は、妨げにならなければ、重合材料のモールドへの接着を低減させて、他方で基板への適切な接着を保証することである。これは、選択剥離及び接着特性と称される。この場合、ポリマー材料に記録されたパターンは、モールドから分離される間に変形しない。離型特性を改善するための先行技術の試みは、モールド表面上で剥離層を使用する。剥離層は通常、疎水性で、かつ/又は低い表面エネルギーを有する。剥離層は、モールドに接着する。剥離層を設けることで、剥離特性が改善される。このことは、ポリマー材料に記録されたパターンにおける変形が最小化されることで分かるが、このことはモールド分離に帰すことができる。このタイプの剥離層は、本検討の目的では、アプリオリ剥離層、即ち、モールドに凝固している剥離層と称される。
剥離特性を改善するための別の先行技術の試みが、Benderらにより、「Multiple Imprinting in UV−based Nanoimprint Lithography:Related Material Issues」、Microeletronic Engineering 61〜62(2002)、407〜413頁に記載されている。特に、Benderらは、フッ素処理されたUV硬化性材料と共にアプリオリ剥離層を有するモールドを使用する。その目的のために、UV硬化性層を、200cPのUV硬化性液体をスピンコーティングすることにより基板に付与して、UV硬化性層を形成している。UV硬化性層は、剥離特性を改善するためにフッ素基が富化されている。
米国特許出願公開第2004−0065976号明細書 米国特許出願公開第2004−0065252号明細書 米国特許出願公開第2004−0046271号明細書 Benderら、「Multiple Imprinting in UV−based Nanoimprint Lithography:Related Material Issues」、Microeletronic Engineering 61〜62(2002)、407〜413頁
したがって、インプリントリソグラフィ法で使用されるモールドの選択剥離及び接着特性を改善する必要性は、未だ存在する。
本発明は、層を基板に接着する方法を提供するが、これは、層に対しては共有結合を形成し、基板に対しては共有結合、イオン結合、ファンデルワールス力のうちの1つ又は複数を使用して接着する組成物を前記層と前記基板との間に存在させることにより、第一と第二界面を形成することを特徴とする。この場合、組成物に対する層の接着力の強度は、予め決定された接着機構、即ち共有結合を含まない接着機構を有する組成物に対する層の接着力よりも強いことが保証される。さらに本発明は、第一と第二材料を相互に接着する組成物を対象とする。この組成物は、主鎖基を含むとともに第一と第二官能基を含む多官能性反応性化合物;架橋剤と触媒を特徴とする。第一官能基は、第一化学線エネルギーに応答して架橋分子を形成し、架橋分子のサブセットを第一材料に接着させる。第二官能基は、第一化学線エネルギーとは異なる第二化学線エネルギーに応答して、第二材料に接着する。これらの及び他の実施形態を本明細書に記載する。
図1及び2を参照すると、本発明では、系10で、モールド36が使用され、これは、実質的に平滑又は平坦なプロファイル(図示せず)を有する表面を有する。あるいは、モールド36は、スペースで隔てられている多数の凹部38及び凸部40により形成されるフィーチャを含んでもよい。複数のフィーチャが、基板42上に形成されるパターンのベースを形成するオリジナルパターンとなっている。基板42は、そのままのウェハを含むか、その上に堆積されている1つもしくは複数の層を伴うウェハを含み、そのうちの1つがプライマー層45として示されている。このために、モールド36と基板42との間の距離「d」を縮める。こうすると、モールド36上のフィーチャが、実質的に平坦なプロファイルを示している表面44の位置に堆積されているインプリント材料などの、基板42のコンフォーマブルな領域にインプリントされる。任意の知られている技術、例えば、スピンコーティング、浸漬コーティングなどを使用して、インプリント材料を堆積させることができることは、理解されるべきである。しかしながら、本例では、インプリント材料を、スペースで隔てられている複数の個々の液滴46として基板42上に堆積させる。インプリント材料を、選択的に重合し架橋して元のパターンを記録し、記録されたパターンを決めることができる組成物から形成させる。
特に、インプリント材料に記録されたパターンを、一部では、モールド36との相互作用、例えば電気的相互作用、磁気的相互作用、熱的相互作用、機械的相互作用などにより生じさせる。本例では、モールド36をインプリント材料と機械的に接触させ、液滴36を広げて、表面44上にインプリント材料の接触構造部50を生じさせる。一実施形態では、距離「d」を縮めて、インプリント材料のサブ部分52を、凹部38に進入させ、充填させる。凹部38の充填を促進するために、モールド36と液滴46とを接触させる前に、モールド36と液滴46の間の雰囲気を、ヘリウムで飽和するか、完全に排気するか、部分的に排気されたヘリウム雰囲気にする。
インプリント材料に、凹部38を完全に充填するために必要な特性を与える一方で、表面44をインプリント材料の接触形成部でカバーする。本実施形態では、所望の、通常は最小の距離「d」が達成された後に、インプリント材料のサブ部分54が凸部40と重なって残る。この作用により、厚さt1を有するサブ部分52と厚さt2を有するサブ部分54を伴う構造部50が得られる。厚さ「t1」及び「t2」は、用途に応じて任意の所望の厚さであってよい。その後、インプリント材料に応じて、構成部50を、適切な硬化剤と同じ、例えば広帯域紫外線エネルギーなどの化学線エネルギー、熱エネルギーなどに曝露することにより凝固させる。これにより、インプリント材料は重合し、架橋する。全プロセスを、周囲温度と圧力で、又は所望の温度と圧力の環境制御室で行うことができる。こうして、構造部50を凝固させると、モールド36の表面58の形態にコンフォーマルな形態を有する面56が得られる。
図1、2、3を参照し、使用される独特なパターン形成法を考慮すると、インプリント材料の特性は、基板42を有効にパターン形成するために重要である。例えば、インプリント材料は、モールド36のフィーチャの迅速な充填を促進して、厚さt1を全て実質的に均一にし、厚さt2を全て実質的に均一にする一定の特性を有することが望ましい。この目的のために、インプリント材料の粘度を、使用される堆積法を元に、前記の特性を達成するように確立することが望ましい。前記のように、インプリント材料を、様々な技術を使用して基板42に堆積させることができる。インプリント材料をスペースで隔てられている複数の個別の液滴46として堆積させる場合には、インプリント材料を形成する組成物は、例えば0.5から20センチポアズ(cP)の範囲の比較的低い粘度を有することが望ましい。インプリント材料が広がって、同時にパターン形成され、続いて、パターンが、放射線への曝露により構造体50へと凝固されることを考慮すると、組成物が基板42及び/又はモールド36の表面を濡らし、重合の後に後続するピット又はホール形成を回避することが望ましい。インプリント材料をスピンコーティング技術を使用して堆積させる場合、例えば10cPを超える粘度、通常は、数百から数千cPを有する高粘性材料を使用することが望ましく、その際、粘度測定は、溶媒不在下に決定する。
前記の特性に加えて、液相特性に関して、組成物はインプリント材料に一定の凝固相特性を与えることが望ましい。例えば、構造部50の凝固の後に、インプリント材料により、選択接着及び剥離特性が示されることが望ましい。特に、インプリント材料を形成する組成物が、基板42に対する選択接着及びモールド36の選択剥離を伴う構造部50となることが有利である。こうすると、特に構造部50の裂け、伸張又は他の構造的変形による、モールド36からの分離により生じる記録パターンにおける変形の可能性が低減される。
前記の特性を得るために、インプリント材料を形成する組成物の成分は異なってよい。このことは、基板42が数多くの異なる材料から形成されることの結果である。結果として、表面44の化学組成は、基板42を形成する材料に応じて変動する。例えば、基板42は、ケイ素、プラスチック、ヒ化カリウム、テルル化水銀、それらの複合材料から形成される。前記のように、基板42は、構造部50をその上に生じさせるプライマー層45、例えば誘電層、金属層、半導体層、平坦化層などとして示される1つ又は複数の層を含んでもよい。この目的のために、化学蒸着、スピンコーティングなどの任意の適切な技術を用いて、プライマー層45をウェハ47の上に堆積させる。加えて、ケイ素、ゲルマニウムなどの任意の適切な材料から、プライマー層45を形成することができる。加えて、複数の材料、例えば融解石英、石英、酸化インジウムスズ、ダイヤモンド様炭素、MoSi、ゾル−ゲルなどから、モールド36を形成することができる。
構造50を生成する組成物は、複数の異なる群のバルク材料から作成することができることが判明した。例えば、組成物を、いくつか挙げるだけでもビニルエーテル、メタクリレート、エポキシ、チオール−エン及びアクリレートから作成することができる。
構造部50を形成する例示的なバルク材料は次の通りである:
バルクインプリント材料
アクリル酸イソボルニル、
アクリル酸n−ヘキシル、
ジアクリル酸エチレングリコール、
2−ヒドロキシ−2−メチル−1−フェニル−プロパン−1−オン。
アクリレート成分、アクリル酸イソボルニル(IBOA)は次の構造:
Figure 2009503139
を有し、バルク材料の約47重量%を占めるが、包括的に20%から80%の範囲で存在してもよい。結果として、構造部50の機械的特性は主に、IBOAに帰する。IBOAの例示的な供給源は、Exton、Pennsylvania所在のSartomer Company,Inc.であり、製品名SR506で入手可能である。
成分アクリル酸n−ヘキシル(n−HA)は次の構造:
Figure 2009503139
を有し、バルク材料の約25重量%を占めるが、包括的に0%から50%の範囲で存在してもよい。構造部50に柔軟性をさらに与えたい場合には、n−HAを使用して、先行技術のバルク材料の粘度を低下させ、液相でのバルク材料が、包括的に2〜9センチポアズ範囲の粘度を有するようにする。n−HA成分のための例示的な供給源は、Milwaukee、Wisconsin所在のAldrich Chemical Companyである。
架橋剤成分、ジアクリル酸エチレングリコールは次の構造:
Figure 2009503139
を有し、バルク材料の約25重量%を占め、包括的に10%から50%の範囲で存在してもよい。EGDAも弾性率と剛性の強化に寄与し、さらに、バルク材料を重合させる間にn−HAとIBOAの架橋を促進する。
開始剤成分、2−ヒドロキシ−2−メチル−1−フェニル−プロパン−1−オンは、Tarrytown、New York所在のCiba Specialty Chemicalsから商品名DAROCUR(登録商標)1173で入手可能であり、次の構造:
Figure 2009503139
を有し、バルク材料の約3重量%を占め、包括的に1%から5%の範囲で存在する。この開始剤が応答する化学線は、中圧水銀ランプが発生させる広帯域紫外線エネルギーである。この場合、開始剤により、バルク材料の成分の架橋及び重合が容易になる。
しかしながら、Frank Xu及びMichael N.Millerが発明者として列記されている「コンフォーマブル領域及びモールドの接着を低減する組成物」と題され2005年2月28日に出願された同時係争米国特許出願第11/068171号明細書には、前記で検討されたような望ましい選択接着及び剥離特性は、図3、4に示されているモールド36、表面58、構成部50の間に弱い境界層、ラメラ60を生じさせることにより達成することができると開示されている。ラメラ60は、インプリント材料の凝固の後にも残る。結果として、モールド36と構造部50の間の接着力は最小である。この目的のために、界面活性剤成分と称され、参照により本明細書に援用される、発明者としてFrank Xu及びMichael N.Millerが挙げられている「コンフォーマブル領域及びモールドの接着を低減する組成物」と題され2005年2月28日に出願された米国特許出願第11/068171号明細書に詳述されている低い表面エネルギー基を含む成分と共に、前記で検討されたバルクインプリント材料などの複数の組成のいずれかを含むインプリント材料用の組成物を使用することが有利であると判明した。
図5を参照すると、インプリント材料を堆積させた後に、時間が経つと、界面活性剤成分が、気相液相界面に対して上昇して、材料を二分する濃度でインプリント材料の液滴146となる。液滴146は、第一の部分では、第二の部分(界面活性剤成分欠乏(SCD)サブ部分137と称される)よりも高濃度の界面活性剤成分(界面活性剤リッチ(SCR)なサブ部分136と称される)を含む。SCDサブ部分137は、表面44とSCRサブ部分136の間に位置する。SCRサブ部分136は、インプリント材料が凝固すると、モールド36とインプリント材料との間の接着力を低下させる。特に、界面活性剤成分は対向末端を有する。インプリント材料が液相にある、即ち重合可能な場合には、対向末端の一方は、インプリント材料に含まれるバルク材料に対して親和性を有する。残りの末端は、フッ素成分を有する。
図4、5を参照すると、バルク材料の親和性の結果として、界面活性剤成分は、フッ素成分がインプリント材料と周囲により決まる液相気相界面から広がるように配置される。
インプリント材料が凝固すると、インプリント材料の第一の部分は、ラメラ60を生じ、インプリント材料の第二の部分は凝固する、即ち構造部50として示されるポリマー材料である。ラメラ60は、構造部50とモールド36の間に位置している。ラメラ60は、SCRサブ部分136でのフッ素成分の存在と位置の結果である。ラメラ60は、強い接着力がモールド36と構造部50の間に生じることを防ぐ。特に、構造部50は、第一と第二対向面62、64を有する。面62は、第一接着力でモールド36に接着する。面64は、第二接着力で基板42に接着する。ラメラ60は、第二接着力よりも弱い第一接着力を与える。結果として、モールド36は構造部50から容易に除去することができる一方で、変形及び/又はモールド36をそこから分離するために必要な力は最小化される。構造部50は、面62でパターン形成されて示されているが、面62は、平坦でなければ、平滑であってもよいことは理解されるべきである。
さらに、所望の場合には、構造部50と基板42の間に配置されているようにラメラ60を生じさせることも可能である。これは例えば、インプリント材料をモールド36に付与し、続いて、基板42をモールド36上のインプリント材料と接触させることにより達成することができる。こうすると、構造部50は、ラメラ60及び重合可能な材料がその上に堆積されるボディ、例えばモールド36又は基板42の間に配置されているということができる。インプリント材料がスピンコーティング技術を使用して堆積された場合、SCRサブ部分236と第二及びSCDサブ部分237に関して、図6に示されているように、材料に同様の二分濃度が生じることは理解されるべきである。二分に必要な時間は、組成物中の分子のサイズや組成物の粘度を含む複数のファクターに左右される。20cP未満の粘度を有する組成物の前記の二分を達成するためには、数秒しか必要とされない。しかしながら数百cPの粘度を有する材料では、数秒から数分が必要なこともある。
しかしながら、ラメラ60は均一でないことがあることが発見された。ラメラ60のある領域は、他の領域よりも薄く、極端な場合には、ラメラ60が、テンプレート表面に対して極めて低いパーセンテージで存在せず、テンプレート36が構造部50と接触している。ラメラ60の薄い領域の結果として、さらに及びラメラ60が存在しない場合には、構造部50の変形及び/又は基板42からの剥離が生じる。特に、モールド36から分離する場合に、構造部50は、分離力Fsを受ける。分離力Fsは、モールド36での引張力Fp及びラメラ60により低減される構造部50とモールド36の間の接着力、例えばファンデルワールス力に帰すことができる。ラメラ60が存在するので、分離力Fsは通常、構造部50と基板42の間の接着力FAの大きさよりも低い大きさを有する。しかしながら、ラメラ60が少ないか、存在しない場合、局所分離力Fsが、局所接着力FAの大きさに近づく。局所力とは、ラメラ層60の所定の領域に存在する力を意味しており、この例では、ラメラ層60が薄いか、ラメラ層60が実質的に存在しない領域付近での局所力である。このことは、構造部50の変形及び/又は基板42からの剥離をもたらす。
図7を参照すると、プライマー層45が存在する場合、さらに複雑な状況が、2つの界面66、68が存在することにより生じる。第一界面66では、第一接着力F1が、プライマー層45と構造部50の間に存在する。第二界面68では、第二接着力F2が、プライマー層45とウェハ47の間に存在する。分離力Fsが接着力F1及びF2よりも小さい大きさを有することが望ましい。しかしながら、前記で検討したようにラメラ60の厚さの変化又は不在によって、分離力Fsは、接着力F1及びF2の一方又は両方の大きさに相似するか、近づくこともある。これは、プライマー層45からの構造部50の、ウェハ47からのプライマー層45の、又は両方の剥離をもたらす。
回避できない場合には、本発明は、ラメラ層変動を考慮して、第一と第二界面の第一F1と第二F2接着力がそれぞれ、分離力Fsを上回る可能性を高める材料からプライマー層45を形成することにより、前記の剥離問題を低減する。この目的のために、界面66の所に、即ちプライマー層45と構造部50の間に、即ち界面66、プライマー層45、ウェハ47の間に強い結合を形成する組成物から、プライマー層45を形成する。本例では、第一界面66でのプライマー層45と構造部50の接着は、共有結合、即ち、プライマー層45を形成する組成物と構造部50を形成する組成物との間に共有結合が存在することの結果である。プライマー層45とウェハ47の間の接着は、様々な機構のうちの任意の機構を介して達成されていてよい。これらの機構には、プライマー層45を形成する組成物とウェハ47を形成する材料との間で形成される共有結合が含まれる。あるいは、又は共有結合に加えて、イオン結合が、プライマー層45を形成する組成物とウェハ47を形成する材料との間に形成されていてもよい。あるいは、又は共有結合及び/又はイオン結合又は両方に加えて、プライマー層45を形成する組成物及びウェハ47を形成する材料との間の接着は、相互にファンデルワールス力により達成されていてもよい。
これは、多官能性反応性化合物、即ち、次のように一般的に表される2個以上の官能基を含む化合物を含有する組成物からプライマー層45を形成することにより達成される:
Figure 2009503139
式中、R、R’、R”、R'''は、架橋基であり、x、y、zはそれを伴う基の平均繰り返し数である。これらの繰り返し単位はランダムに分布していてよい。基X及びX’は官能基を示すが、通常官能基Xは官能基X’とは異なることを理解されたい。官能基XとX’の一方、例えばX’を、基板42を形成する材料と交差反応を達成するように選択して、それとの共有結合、イオン結合及び/又はファンデルワールス力を形成することにより材料に接着させる。
残りの官能基XとX’の一方、例えばXを、構造部50を形成する材料と交差反応を達成するように選択して、それらの間に共有結合を形成させる。X基の官能性を、構造部50の重合の間に交差反応が生じるように確立する。結果として、官能基Xの選択は、構造部50を形成する材料の特性に左右され、官能基Xが、構造部50を形成する組成物の官能基と反応することが望ましい。例えば、構造部50がアクリレートモノマーから形成されている場合、Xは、アクリル、ビニルエーテル及び/又はアルコキシル官能基及び/又は構造部50中のアクリル基と共重合しうる官能基からなってよい。結果として、X官能基は、紫外線エネルギーに応答して交差反応する。
官能基X’も、プライマー層45の架橋と重合反応に関与する。通常、X’官能基は、X官能基が応答して交差反応する化学線とは異なる化学線に応答して、重合し、架橋を促進する。本例でのX’官能基は、熱エネルギーへの曝露に応答して、プライマー層45中の分子の架橋を促進する。通常、官能基X’を、3つの機構:1)基板42を形成する材料との直接的な反応;2)基板42と反応する架橋剤の架橋官能基を伴う架橋剤分子との反応;3)プライマー層45の重合と架橋を介して、基板42との交差反応を促進するように選択し、構造部50と基板42を結合するために十分な長さの分子の鎖が生じうるようにする。
図7、8を参照すると、バルク材料から形成された構造部50の存在下にプライマー層45を形成するために使用することができる例示的な多官能性反応性化合物には、製品名β−CEAでSmyrna、Georgia所在のUCB Chemicalsから入手可能なアクリル酸β−カルボキシエチルが含まれる。β−CEAは、次の構造:
Figure 2009503139
を有する脂肪族化合物である。X’官能基70は、カルボキシル官能性を与える。X官能基72は、アクリレート官能性を与える。官能基70、72は、主鎖基74の対向末端にカップリングする。
図7、9を参照すると、バルク材料から形成された構造部50の存在下にプライマー層45を形成するために使用することができる他の多官能性反応性化合物には、製品名Ebecryl3605でSmyrna、GA所在のUCB Chemicalsから入手可能な芳香族ビス−フェニル化合物が含まれ、これは、次の構造:
Figure 2009503139
を有する。X’官能基76は、エポキシ官能性を与える。X官能基78は、アクリレート官能性を与える。官能基76、78は、主鎖成分80の対向末端にカップリングする。
図7、10を参照すると、バルク材料から形成された構造部50の存在下にプライマー層45を形成するために使用することができる他の多官能性反応性化合物には、製品名Isorad501でSchenectady、New York所在のSchenectady International,inc.から入手可能な芳香族化合物が含まれ、これは、次の構造:
Figure 2009503139
を有する[式中、xとyは、ランダムに分布している繰り返し単位を示す整数である]。X’官能基82は、カルボキシル官能性を与える。X官能基84は、アクリレート官能性を与える。官能基82、84は、主鎖成分86の対向末端にカップリングする。
図7、11を参照すると、構造部50との交差反応に加えて、官能基Xは、構造部50を形成する組成物を凝固させる間に、その重合を促進する機能を有する基を生成する。結果として、官能基Xは、化学線、例えば広帯域紫外線エネルギーに曝露された構造部50の重合を促進する。これらの特性を含む例示的多官能性反応性化合物は、商品名Irgacure2959でTarrytown、New York所在のCiba Specialty Chemicalsから入手可能な光開始剤であり、次の構造を有する:
Figure 2009503139
X’官能基90はヒドロキシル官能性を与える。X官能基92は開始剤タイプの官能性を与える。特に、広帯域紫外線エネルギーへの曝露に応答して、官能基Xはα分裂を受けて、ベンゾイルタイプの基を生じさせる。この基は、構造部50を形成する組成物のラジカル重合を促進する。官能基90、92は、主鎖成分94の対向末端にカップリングする。
界面66及び68の接着強度を決定するために、前記の多官能性反応性化合物のうちの数種を含む複数の組成物を形成した。多官能性反応性化合物を含む例示的組成物は次の通りである。
組成物1
β−CEA
DUV30J−16
ここで、DUV30J−16は、組成物1の約100グラムを占め、β−CEAは、約0.219グラムを占める。DUV30J−16は、Rolla、MO所在のBrewer Scienceから入手可能なボトム反射防止コーティング、BARCであり、溶媒93%と非溶媒反応性成分7%を含む。DUV30J−16は、フェノール樹脂を含み、その架橋剤は、カルボキシル官能基と反応する。DUV30J−16は、構造部50と共有結合を形成しないと考えられる。他の組成物では、β−CEAを、架橋剤、触媒、IsoRad501に代えた。架橋剤と触媒はいずれも、West Patterson、New Jersey所在のCytec Industries,Inc.により販売されている。架橋剤は、製品名Cymel303ULFで販売されている。Cymel303ULFの主な成分の一種は、ヘキサメトキシメチル−メラミン(HMMM)である。HMMMのメトキシ官能基は、多くの縮合反応に関与する。触媒は、製品名Cycat4040で販売されており、次の組成物を提供する:
組成物2
DUV30J−16
Isorad 501
Cymel 303ULF
Cycat 4040
組成物2の約100グラムをDUV30J−16は占め、組成物2の0.611グラムをIsorad501は占め、組成物2の0.175グラムをCymel303ULFは占め、組成物2の0.008グラムをCycat4040は占める。
多官能性反応性化合物として使用することができる他の組成物は、DUV30J−16を含まない。組成は次の通りである:
組成物3
IsoRad501
Cymel 303ULF
Cycat
酢酸PM
組成物3は、Isorad501を約77グラム、Cymel303ULFを22グラム含み、Cycat4040を1グラム含む。Isorad501、Cymel303ULF、Cycatを混合する。次いでIsorad501、Cymel303ULF、Cycatの混合物を酢酸PM約1900グラムに導入する。酢酸PMは、Kingsport、Tennessee所在のEastman Chemical社により販売されている酢酸2−(1−メトキシ)プロピルからなる溶媒の製品名である。
第4組成物は、含まれる成分の量を除き、組成物3と同一である。例えば、組成物4はおよそ、IsoRad501を85.2グラム、Cymel303ULFを13.8グラム、Cycat4040を1グラム含む。Isorad501、Cymel303ULF、Cycatを混合する。次いでIsorad501、Cymel303ULF、Cycatの混合物を酢酸PM約1900グラムに導入する。
第5組成物は、含まれる成分の量を除き、組成物3と同一である。例えば、組成物5はおよそ、IsoRad501を81グラム、Cymel303ULFを18グラム、Cycat4040を1グラム含む。Isorad501、Cymel303ULF、Cycatを混合する。次いでIsorad501、Cymel303ULF、Cycatの混合物を酢酸PM約1900グラムに導入する。
プライマー層45に関して前記で検討された5種の組成物、組成物1〜5をそれぞれ、スピンコーティング技術を使用して基板42に堆積させるが、その際、基板を500から4000毎分回転数の速度で回転させて、均一な厚さの、平坦でないなら実質的に平滑な層を得る。これに続いて、組成物を180℃(摂氏)の熱化学線に約2分間曝露する。
前記の5種の組成物、組成物1〜5をインプリント材料と共に使用して、境界66及び68の接着力の強度の比較データを生じさせ、これを、インプリント材料から形成された構造部50と共有結合を形成することが知られていないDUV30J−16から専ら形成されたプライマー層45の基線測定と比較する。この目的のために、バルクインプリント材料から形成された構造部50及び、組成物1〜5と基線組成物から形成されたプライマー層45を堆積させ、次いで、2枚のガラススライド(図示せず)の間で凝固させた。各ガラススライド(図示せず)はおよそ、厚さ1mm、横寸法75×25mmである。
プライマー層45と構造部50を堆積させる前に、ガラススライド(図示せず)を洗浄する。特に各ガラススライド(図示せず)を、ピラニア溶液(H2SO4:H2O2=2.5:1容積)に曝露する。続いて、ガラススライド(図示せず)を脱イオン水ですすぎ、イソプロピルアルコールを噴霧し、乾燥用液体流、例えば窒素ガス流に曝露する。その後、ガラススライド(図示せず)を120℃(摂氏)で2時間乾燥させる。
プライマー層45を、3000rpmまでのスピン速度を用いるスピンオン技術を使用して、2枚のガラススライド(図示せず)にそれぞれ堆積させる。プライマー層45を、ホットプレート上のガラススライド(図示せず)上に180℃で2分間置く。言い換えると、組成物1〜5と基線組成物をそれぞれ、熱エネルギーに曝露することにより、凝固、即ち重合し、架橋させる。前記の液滴分配技術を使用して、構造部を形成する。特に、バルクインプリント材料を、複数の液滴として、2枚のガラススライドの一方の上のプライマー層45の上に堆積させる。次いで、2枚のガラススライド(図示せず)上のプライマー層を相互に対面させ、バルクインプリント材料と接触させることにより、バルクインプリント材料を2つのプライマー層45の間に挟む。通常、2枚のガラススライド(図示せず)の一方の縦軸は、残りのガラススライド(図示せず)の縦軸に対して直交して延びる。中圧水銀UVランプを強度20mW/cm2で40秒使用して、2枚のガラススライド(図示せず)を広帯域紫外線波長などの化学線に曝露することにより、バルクインプリント材料を凝固、即ち重合し、架橋させる。
接着の強度を測定するために、「Measurement of Adhesive Force Between Mold and Photocurable Resin in Imprint Technology」、Japanese Journal of Applied Physics、Vol.41(2002)、4194〜4197頁に記載されているものと同様の接着試験及び技術に、四点折曲げ具(図示せず)を採用した。最大力/負荷を、接着値とした。頂部と底部2点のビーム距離は、60mmである。負荷を、1分当たり0.5mmの速度で掛けた。この試験を使用して、プライマー層45が基線組成物で形成されている場合には、6.1ポンドの力で剥離が生じることが決定された。組成物1から形成されているプライマー層45では剥離が生じる前に、約6.5ポンドの分離力が達成された。組成物2から形成されているプライマー層45では剥離が生じる前に、約9.1ポンドの分離力が達成された。プライマー層45がそれぞれ組成物3、4又は5から形成されている場合、剥離が生じる前に、2枚のガラススライド(図示せず)の一方又は両方が破損(破壊)した。結果として、剥離が観察されることなく、11ポンドまでの力が測定された。結果として、組成物3、4、5は、ラメラ層60が望ましくない薄い領域を有するか、全く存在しない場合にも、剥離を有効に妨げる優れた作業特性をプライマー層45に与えることが観察された。
前記の本発明の実施形態は、例示である。本発明の範囲内でありつつ、前記の開示に対して、多くの変化及び変更をなすことができる。例えば、溶媒酢酸PMを主に使用して、組成物3、4及び5の他の成分を溶解している。結果として、ジエチレングリコールモノエチルエーテルアセテート、メチルアミルケトンなどの多くの一般的なフォトレジスト溶媒を、酢酸PMの代わりに使用することができる。さらに、組成物3、4、5の固体成分、即ち、IsoRad501、Cymel303ULF、Cycatは、組成物の0.1重量%から70重量%、さらに好ましくは0.5重量%から10重量%の範囲を占めてよく、その際、残りの量は、溶媒からなる。組成物3、4、5それぞれの固体成分は、IsoRad501を50重量%から99重量%、Cymel303ULFを1重量%から50重量%、Cycat4040を0重量%から10重量%含むことができる。したがって本発明の範囲は、前記に限定されるべきではなく、代わりに、相当する全範囲を含む添付の請求項を参照して決定されるべきである。
先行技術によるリソグラフィ系の簡略化平面図である。 本発明による基板の上に堆積されたテンプレート及びインプリント材料の簡略化立面図である。 図2に示されているテンプレート及び基板の簡略化立面図であるが、インプリント材料が、層の上でパターン形成及び凝固されて示されている図である。 凝固インプリント材料及びテンプレートの間に弱い境界ラメラの形成を示しているテンプレート接触インプリント材料の断面図である。 界面活性剤リッチ領域及び界面活性剤欠乏領域への液滴の二分を示している、図2に示されているインプリント材料の液滴の詳細図である。 界面活性剤リッチ領域及び界面活性剤欠乏領域への層の二分を示している、スピンオン技術を使用して堆積されたインプリント材料の層の詳細図。 プライマー層を含む基板上に形成されている、図5又は6に示されているように堆積されたテンプレート接触凝固インプリント材料の断面図である。 本発明の一実施形態により、図2、3及び7に示されているプライマー層を形成するために使用することができる組成物の成分の化学構造を示す平面図である。 本発明の第二実施形態により、図2、3及び7に示されているプライマー層を形成するために使用することができる組成物の成分の化学構造を示す平面図である。 本発明の第三実施形態により、図2、3及び7に示されているプライマー層を形成するために使用することができる組成物の成分の化学構造を示す平面図である。 本発明の第四実施形態により、図2、3及び7に示されているプライマー層を形成するために使用することができる組成物の成分の化学構造を示す平面図である。
符号の説明
36 モールド、45 プライマー層、47 ウェハ、50 構造部、60 ラメラ、66 第一界面、68 第二界面

Claims (20)

  1. 層を基板に接着させる方法であって、組成物を前記層と前記基板との間に存在させることにより、第一と第二界面を形成するが、その際、前記第一界面は、前記層と前記組成物との間に形成され、前記第二界面は前記基板と前記組成物との間に形成され、前記第一界面は、共有結合を含み、前記第二界面は、前記組成物を前記基板に接着させる機構を含む方法。
  2. 前記機構を、共有結合、イオン結合、ファンデルワールス力を含む一連の機構から選択する請求項1に記載の方法。
  3. 前記第二界面を、前記組成物を熱硬化させることにより形成し、前記第一界面を、前記層と前記組成物とを化学線エネルギーに曝露することにより形成する請求項1に記載の方法。
  4. 形成が、前記組成物の凝固、凝固組成物の形成、前記凝固組成物上での前記層の形成をさらに含む請求項1に記載の方法。
  5. 形成が、前記層と前記基板の間に、サブセットが有機主鎖基を含むとともに第一と第二官能基を含む複数の分子を設置することをさらに含み、その際、前記第一官能基は前記層と反応して前記共有結合を形成し、前記第二官能基は前記基板と反応する請求項1に記載の方法。
  6. 形成が、前記層と前記基板の間に、第一のサブセットが架橋剤であり、第二のサブセットが主鎖基を含むとともに第一と第二官能基を含む複数の分子を設置することをさらに含み、その際、前記第一官能基は前記層と反応して、前記共有結合を形成し、前記第二官能基は前記基板と前記架橋剤の一方と反応する請求項1に記載の方法。
  7. 前記主鎖基が芳香族構造を含む請求項6に記載の方法。
  8. 形成が、前記層と前記基板の間に、サブセットが主鎖基を含むとともに第一と第二官能基を含む複数の分子を設置することをさらに含み、その際、前記第一官能基は、前記層と反応して前記共有結合を形成するアクリレート官能基を本質的に含む請求項1に記載の方法。
  9. 前記主鎖基を、脂肪族と芳香族とを本質的に含む一連の基から選択する請求項8に記載の方法。
  10. 第一と第二材料を相互に接着するための組成物であって、
    主鎖基を含むとともに第一と第二官能基を有する多官能性反応性化合物と、
    触媒と、
    架橋剤とを含み、前記第一官能基は、第一化学線エネルギーに応答して架橋分子を形成し、前記架橋分子のサブセットを前記第一材料に接着させ、前記第二官能基は、前記第一化学線エネルギーとは異なる第二化学線エネルギーに応答して、前記第二原料に接着する組成物。
  11. 前記第一化学線エネルギーが熱エネルギーを含む請求項10に記載の組成物。
  12. 前記第二化学線エネルギーが広帯域紫外線エネルギーを含む請求項10に記載の組成物。
  13. 前記多官能性反応性化合物が次の構造を有する請求項10に記載の組成物:
    Figure 2009503139
    [式中、xとyは整数である]。
  14. 前記多官能性反応化合物が次の構造を有する請求項10に記載の組成物:
    Figure 2009503139
    [式中、R’、R”、R'''は架橋基であり、x、y、zは平均繰り返し数である]。
  15. 前記架橋基R’、R”、R'''が、前記組成物を通してランダムに分布している請求項14に記載の組成物。
  16. 前記第一官能基が、共有結合、イオン結合、ファンデルワールス力を本質的に含む一連の機構から選択される接着機構を介して前記第一材料に接着する請求項10に記載の組成物。
  17. 前記架橋剤が架橋性官能基を含み、前記第一官能基が、前記架橋剤官能基を介してカップリングされることにより前記第一材料に接着する請求項10に記載の組成物。
  18. 前記第二官能基が前記第二材料に、それと共有結合を形成することにより接着する請求項10に記載の組成物。
  19. 前記第一官能基が、カルボキシル、エポキシ、ヒドロキシル、アルコキシルを本質的に含む一連の官能基から選択される請求項10に記載の組成物。
  20. 前記第二官能基が、アクリルとビニルエーテルを本質的に含む一連の官能基から選択される請求項10に記載の組成物。
JP2008522785A 2005-07-22 2006-06-05 材料を相互に接着するための方法及び組成物 Active JP5084728B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US11/187,406 2005-07-22
US11/187,407 2005-07-22
US11/187,406 US7759407B2 (en) 2005-07-22 2005-07-22 Composition for adhering materials together
US11/187,407 US8557351B2 (en) 2005-07-22 2005-07-22 Method for adhering materials together
PCT/US2006/021948 WO2007050133A2 (en) 2005-07-22 2006-06-05 Method and composition for adhering materials together

Publications (3)

Publication Number Publication Date
JP2009503139A true JP2009503139A (ja) 2009-01-29
JP2009503139A5 JP2009503139A5 (ja) 2009-07-16
JP5084728B2 JP5084728B2 (ja) 2012-11-28

Family

ID=37968270

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008522785A Active JP5084728B2 (ja) 2005-07-22 2006-06-05 材料を相互に接着するための方法及び組成物

Country Status (7)

Country Link
US (2) US8557351B2 (ja)
EP (1) EP1915888B1 (ja)
JP (1) JP5084728B2 (ja)
KR (1) KR101416112B1 (ja)
SG (1) SG163605A1 (ja)
TW (1) TWI329239B (ja)
WO (1) WO2007050133A2 (ja)

Cited By (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012508978A (ja) * 2008-11-13 2012-04-12 モレキュラー・インプリンツ・インコーポレーテッド ナノサイズ形状の大面積パターニング
JP2013093552A (ja) * 2011-10-07 2013-05-16 Fujifilm Corp インプリント用下層膜組成物およびこれを用いたパターン形成方法
WO2013191228A1 (en) * 2012-06-18 2013-12-27 Fujifilm Corporation Under layer film-forming composition for imprints and method of forming pattern
WO2014157228A1 (ja) * 2013-03-27 2014-10-02 富士フイルム株式会社 組成物、硬化物、積層体、下層膜の製造方法、パターン形成方法、パターンおよび半導体レジストの製造方法
WO2014157226A1 (ja) 2013-03-26 2014-10-02 富士フイルム株式会社 インプリント用下層膜形成組成物およびパターン形成方法
KR20150013313A (ko) 2012-06-18 2015-02-04 후지필름 가부시키가이샤 임프린트용 경화성 조성물과 기판의 밀착용 조성물 및 이것을 사용한 반도체 디바이스
KR20170007787A (ko) 2014-06-20 2017-01-20 후지필름 가부시키가이샤 하층막 형성용 수지 조성물, 적층체, 패턴 형성 방법 및 디바이스의 제조 방법
JP2017055108A (ja) * 2015-09-08 2017-03-16 キヤノン株式会社 ナノインプリントリソグラフィーにおける充填時間を短縮するための基板の前処理
KR20170030589A (ko) 2014-08-21 2017-03-17 후지필름 가부시키가이샤 하층막 형성용 수지 조성물, 적층체, 패턴 형성 방법, 임프린트 형성용 키트 및 디바이스의 제조 방법
KR20170033385A (ko) 2014-08-27 2017-03-24 후지필름 가부시키가이샤 하층막 형성용 수지 조성물, 적층체, 패턴 형성 방법, 임프린트 형성용 키트 및 디바이스의 제조 방법
WO2018159576A1 (ja) * 2017-02-28 2018-09-07 富士フイルム株式会社 プライマ層形成用組成物、キット、プライマ層および積層体
WO2018159575A1 (ja) * 2017-02-28 2018-09-07 富士フイルム株式会社 インプリント用密着膜形成用組成物、密着膜、積層体、硬化物パターンの製造方法および回路基板の製造方法
US10095106B2 (en) 2016-03-31 2018-10-09 Canon Kabushiki Kaisha Removing substrate pretreatment compositions in nanoimprint lithography
US10134588B2 (en) 2016-03-31 2018-11-20 Canon Kabushiki Kaisha Imprint resist and substrate pretreatment for reducing fill time in nanoimprint lithography
JPWO2017170697A1 (ja) * 2016-03-31 2019-02-07 キヤノン株式会社 パターン形成方法、加工基板の製造方法、光学部品の製造方法、回路基板の製造方法、電子部品の製造方法、インプリントモールドの製造方法
KR20190039263A (ko) 2016-09-16 2019-04-10 후지필름 가부시키가이샤 패턴 형성 방법 및 반도체 소자의 제조 방법
KR20190040009A (ko) 2016-09-16 2019-04-16 후지필름 가부시키가이샤 임프린트용 프라이머층 형성용 조성물, 임프린트용 프라이머층 및 적층체
US10317793B2 (en) 2017-03-03 2019-06-11 Canon Kabushiki Kaisha Substrate pretreatment compositions for nanoimprint lithography
WO2019172156A1 (ja) * 2018-03-07 2019-09-12 富士フイルム株式会社 インプリント用下層膜形成組成物、インプリント用硬化性組成物、キット
US10488753B2 (en) 2015-09-08 2019-11-26 Canon Kabushiki Kaisha Substrate pretreatment and etch uniformity in nanoimprint lithography
US10509313B2 (en) 2016-06-28 2019-12-17 Canon Kabushiki Kaisha Imprint resist with fluorinated photoinitiator and substrate pretreatment for reducing fill time in nanoimprint lithography
US10620539B2 (en) 2016-03-31 2020-04-14 Canon Kabushiki Kaisha Curing substrate pretreatment compositions in nanoimprint lithography
US10668677B2 (en) 2015-09-08 2020-06-02 Canon Kabushiki Kaisha Substrate pretreatment for reducing fill time in nanoimprint lithography
JP2020523798A (ja) * 2017-06-16 2020-08-06 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 多層構造体
JP2020198384A (ja) * 2019-06-04 2020-12-10 キオクシア株式会社 インプリント装置、インプリント方法、及び半導体装置の製造方法
JPWO2020059603A1 (ja) * 2018-09-18 2021-09-16 富士フイルム株式会社 インプリント用積層体、インプリント用積層体の製造方法、パターン形成方法およびキット
WO2022138331A1 (ja) 2020-12-22 2022-06-30 キヤノン株式会社 膜形成方法および物品製造方法
WO2022259748A1 (ja) 2021-06-09 2022-12-15 キヤノン株式会社 硬化性組成物、膜形成方法及び物品の製造方法

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7307118B2 (en) * 2004-11-24 2007-12-11 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
US20050160934A1 (en) * 2004-01-23 2005-07-28 Molecular Imprints, Inc. Materials and methods for imprint lithography
US20060108710A1 (en) * 2004-11-24 2006-05-25 Molecular Imprints, Inc. Method to reduce adhesion between a conformable region and a mold
US7939131B2 (en) * 2004-08-16 2011-05-10 Molecular Imprints, Inc. Method to provide a layer with uniform etch characteristics
US20060062922A1 (en) * 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US8808808B2 (en) * 2005-07-22 2014-08-19 Molecular Imprints, Inc. Method for imprint lithography utilizing an adhesion primer layer
US8846195B2 (en) * 2005-07-22 2014-09-30 Canon Nanotechnologies, Inc. Ultra-thin polymeric adhesion layer
US7759407B2 (en) * 2005-07-22 2010-07-20 Molecular Imprints, Inc. Composition for adhering materials together
US8142703B2 (en) * 2005-10-05 2012-03-27 Molecular Imprints, Inc. Imprint lithography method
US20080110557A1 (en) * 2006-11-15 2008-05-15 Molecular Imprints, Inc. Methods and Compositions for Providing Preferential Adhesion and Release of Adjacent Surfaces
GB0701909D0 (en) * 2007-01-31 2007-03-14 Imp Innovations Ltd Deposition Of Organic Layers
GB2453766A (en) * 2007-10-18 2009-04-22 Novalia Ltd Method of fabricating an electronic device
TWI495951B (zh) * 2007-12-04 2015-08-11 Molecular Imprints Inc 超薄聚合性黏著層
US9323143B2 (en) * 2008-02-05 2016-04-26 Canon Nanotechnologies, Inc. Controlling template surface composition in nano-imprint lithography
JP2010080680A (ja) * 2008-09-26 2010-04-08 Bridgestone Corp 凹凸パターンの形成方法及び凹凸パターンの製造装置
US8361546B2 (en) * 2008-10-30 2013-01-29 Molecular Imprints, Inc. Facilitating adhesion between substrate and patterned layer
US20100109195A1 (en) * 2008-11-05 2010-05-06 Molecular Imprints, Inc. Release agent partition control in imprint lithography
US20110165412A1 (en) * 2009-11-24 2011-07-07 Molecular Imprints, Inc. Adhesion layers in nanoimprint lithograhy
WO2012033943A2 (en) * 2010-09-08 2012-03-15 Molecular Imprints, Inc. Vapor delivery system for use in imprint lithography
JP5218521B2 (ja) 2010-10-21 2013-06-26 大日本印刷株式会社 インプリント方法とこれに用いる転写基材および密着剤
WO2013051735A1 (en) * 2011-10-07 2013-04-11 Fujifilm Corporation Underlay film composition for imprints and method of forming pattern and pattern formation method using the same
DE102011086889A1 (de) * 2011-11-22 2013-05-23 Mtu Aero Engines Gmbh Generatives Herstellen eines Bauteils
US10527494B2 (en) * 2014-09-26 2020-01-07 Korea Intitute of Machinery & Materials Substrate on which multiple nanogaps are formed, and manufacturing method therefor
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
CN113579992A (zh) 2014-10-17 2021-11-02 应用材料公司 使用加成制造工艺的具复合材料特性的cmp衬垫建构
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
US20170068159A1 (en) * 2015-09-08 2017-03-09 Canon Kabushiki Kaisha Substrate pretreatment for reducing fill time in nanoimprint lithography
WO2017074773A1 (en) 2015-10-30 2017-05-04 Applied Materials, Inc. An apparatus and method of forming a polishing article that has a desired zeta potential
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US10211051B2 (en) 2015-11-13 2019-02-19 Canon Kabushiki Kaisha Method of reverse tone patterning
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US10754244B2 (en) 2016-03-31 2020-08-25 Canon Kabushiki Kaisha Pattern forming method as well as production methods for processed substrate, optical component, circuit board, electronic component and imprint mold
US10845700B2 (en) * 2016-03-31 2020-11-24 Canon Kabushiki Kaisha Pattern forming method as well as production methods for processed substrate, optical component, circuit board, electronic component and imprint mold
US10883006B2 (en) 2016-03-31 2021-01-05 Canon Kabushiki Kaisha Pattern forming method as well as production methods for processed substrate, optical component, circuit board, electronic component and imprint mold
US10829644B2 (en) 2016-03-31 2020-11-10 Canon Kabushiki Kaisha Pattern forming method as well as production methods for processed substrate, optical component, circuit board, electronic component and imprint mold
US10189188B2 (en) 2016-05-20 2019-01-29 Canon Kabushiki Kaisha Nanoimprint lithography adhesion layer
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
WO2019032286A1 (en) 2017-08-07 2019-02-14 Applied Materials, Inc. ABRASIVE DISTRIBUTION POLISHING PADS AND METHODS OF MAKING SAME
CN112654655A (zh) 2018-09-04 2021-04-13 应用材料公司 先进抛光垫配方
US10780682B2 (en) * 2018-12-20 2020-09-22 Canon Kabushiki Kaisha Liquid adhesion composition, multi-layer structure and method of making said structure
US11878389B2 (en) 2021-02-10 2024-01-23 Applied Materials, Inc. Structures formed using an additive manufacturing process for regenerating surface texture in situ

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002285071A (ja) * 2001-03-26 2002-10-03 Riken Technos Corp アンカーコート剤、易接着性基材フィルム及び積層フィルム
WO2004097518A2 (en) * 2003-04-25 2004-11-11 Molecular Imprints, Inc. A method of forming stepped structures employing imprint lithography

Family Cites Families (228)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3810874A (en) * 1969-03-10 1974-05-14 Minnesota Mining & Mfg Polymers prepared from poly(perfluoro-alkylene oxide) compounds
US3919351A (en) 1973-08-29 1975-11-11 Ppg Industries Inc Composition useful in making extensible films
US4251277A (en) 1978-04-24 1981-02-17 Sws Silicones Corporation Compositions containing thiofunctional polysiloxanes
JPS573875A (en) 1980-06-11 1982-01-09 Tamura Kaken Kk Photopolymerizable ink composition
DE3023201A1 (de) 1980-06-21 1982-01-07 Hoechst Ag, 6000 Frankfurt Positiv arbeitendes strahlungsempfindliches gemisch
US4617238A (en) * 1982-04-01 1986-10-14 General Electric Company Vinyloxy-functional organopolysiloxane compositions
US4544572A (en) 1982-09-07 1985-10-01 Minnesota Mining And Manufacturing Company Coated ophthalmic lenses and method for coating the same
US4514439A (en) 1983-09-16 1985-04-30 Rohm And Haas Company Dust cover
US4512848A (en) 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4517337A (en) 1984-02-24 1985-05-14 General Electric Company Room temperature vulcanizable organopolysiloxane compositions and method for making
US4552833A (en) 1984-05-14 1985-11-12 International Business Machines Corporation Radiation sensitive and oxygen plasma developable resist
US4614667A (en) * 1984-05-21 1986-09-30 Minnesota Mining And Manufacturing Company Composite low surface energy liner of perfluoropolyether
EP0166363B1 (en) 1984-06-26 1991-08-07 Asahi Glass Company Ltd. Low reflectance transparent material having antisoiling properties
JPS61116358A (ja) 1984-11-09 1986-06-03 Mitsubishi Electric Corp フオトマスク材料
EP0255303B1 (en) * 1986-07-25 1989-10-11 Oki Electric Industry Company, Limited Negative resist material, method for its manufacture and method for using it
FR2604553A1 (fr) 1986-09-29 1988-04-01 Rhone Poulenc Chimie Substrat polymere rigide pour disque optique et les disques optiques obtenus a partir dudit substrat
US4931351A (en) * 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US4731155A (en) 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US4808511A (en) 1987-05-19 1989-02-28 International Business Machines Corporation Vapor phase photoresist silylation process
JPH01163027A (ja) 1987-12-21 1989-06-27 Matsushita Electric Ind Co Ltd 光学素子の成形方法およびその装置
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
US5108875A (en) 1988-07-29 1992-04-28 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US5439766A (en) * 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
US5169494A (en) 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
JP3001607B2 (ja) 1989-04-24 2000-01-24 シーメンス、アクチエンゲゼルシヤフト 二層法における寸法安定な構造転写方法
US5028511A (en) * 1989-05-30 1991-07-02 E. I. Du Pont De Nemours And Company Process for preparing a precolored image using photosensitive reproduction element containing a photorelease layer
WO1991004151A1 (en) * 1989-09-14 1991-04-04 Avery International Corporation Tackified dual cure pressure-sensitive adhesive
US5139925A (en) * 1989-10-18 1992-08-18 Massachusetts Institute Of Technology Surface barrier silylation of novolak film without photoactive additive patterned with 193 nm excimer laser
US5204381A (en) 1990-02-13 1993-04-20 The United States Of America As Represented By The United States Department Of Energy Hybrid sol-gel optical materials
US5149592A (en) 1990-05-09 1992-09-22 Avery Dennison Corporation Ultraviolet radiation curable clearcoat
JP2586692B2 (ja) * 1990-05-24 1997-03-05 松下電器産業株式会社 パターン形成材料およびパターン形成方法
JP2524436B2 (ja) 1990-09-18 1996-08-14 インターナショナル・ビジネス・マシーンズ・コーポレイション 表面処理方法
US6174931B1 (en) * 1991-02-28 2001-01-16 3M Innovative Properties Company Multi-stage irradiation process for production of acrylic based compositions and compositions made thereby
EP0759413B1 (en) 1991-05-17 1999-09-01 Asahi Glass Company Ltd. Surface-treated substrate
US5206983A (en) * 1991-06-24 1993-05-04 Wisconsin Alumni Research Foundation Method of manufacturing micromechanical devices
US5242711A (en) * 1991-08-16 1993-09-07 Rockwell International Corp. Nucleation control of diamond films by microlithographic patterning
US5458953A (en) * 1991-09-12 1995-10-17 Mannington Mills, Inc. Resilient floor covering and method of making same
DE4228853C2 (de) * 1991-09-18 1993-10-21 Schott Glaswerke Optischer Wellenleiter mit einem planaren oder nur geringfügig gewölbten Substrat und Verfahren zu dessen Herstellung sowie Verwendung eines solchen
JPH0580530A (ja) 1991-09-24 1993-04-02 Hitachi Ltd 薄膜パターン製造方法
US5331020A (en) * 1991-11-14 1994-07-19 Dow Corning Limited Organosilicon compounds and compositions containing them
US5545367A (en) * 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
FR2693727B1 (fr) * 1992-07-20 1994-08-19 Ceramiques Tech Soc D Polycondensat organo-minéral et procédé d'obtention.
US5601641A (en) 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
US5298556A (en) * 1992-07-21 1994-03-29 Tse Industries, Inc. Mold release composition and method coating a mold core
GB9220986D0 (en) 1992-10-06 1992-11-18 Ciba Geigy Ag Chemical composition
DE4234423C2 (de) * 1992-10-13 1996-10-10 Inst Mikrotechnik Mainz Gmbh Mit einem Resist beschichtete Metall- oder Halbleitersubstrate und Verfahren zur Erzielung einer stabilen Resist-Substrat-Haftung
US5432700A (en) * 1992-12-21 1995-07-11 Ford Motor Company Adaptive active vehicle suspension system
US5368942A (en) * 1993-01-15 1994-11-29 The United States Of America As Represented By The Secreatary Of Commerce Method of adhering substrates
DE69405451T2 (de) 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche
DE69412168T2 (de) 1993-05-14 1998-12-10 Asahi Glass Co Ltd Oberflächenbehandeltes Substrat und Verfahren zu seiner Herstellung
US5861467A (en) * 1993-05-18 1999-01-19 Dow Corning Corporation Radiation curable siloxane compositions containing vinyl ether functionality and methods for their preparation
US5594042A (en) * 1993-05-18 1997-01-14 Dow Corning Corporation Radiation curable compositions containing vinyl ether functional polyorganosiloxanes
US5380474A (en) 1993-05-20 1995-01-10 Sandia Corporation Methods for patterned deposition on a substrate
US5389696A (en) 1993-09-17 1995-02-14 Miles Inc. Process for the production of molded products using internal mold release agents
US5512131A (en) 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US5776748A (en) 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5462700A (en) * 1993-11-08 1995-10-31 Alliedsignal Inc. Process for making an array of tapered photopolymerized waveguides
US5417802A (en) 1994-03-18 1995-05-23 At&T Corp. Integrated circuit manufacturing
US5542978A (en) * 1994-06-10 1996-08-06 Johnson & Johnson Vision Products, Inc. Apparatus for applying a surfactant to mold surfaces
US5837314A (en) * 1994-06-10 1998-11-17 Johnson & Johnson Vision Products, Inc. Method and apparatus for applying a surfactant to mold surfaces
US5578683A (en) * 1994-06-27 1996-11-26 Avery Dennison Corporation Crosslinkable graft pressure-sensitive adhesives
US5523878A (en) 1994-06-30 1996-06-04 Texas Instruments Incorporated Self-assembled monolayer coating for micro-mechanical devices
FR2721939B1 (fr) 1994-06-30 1997-01-03 Atochem Elf Sa Materieau d'emballage comprenant une couche d'oxyde de silicum et une couche de polyolefine
US5459198A (en) * 1994-07-29 1995-10-17 E. I. Du Pont De Nemours And Company Fluoroinfused composites, articles of manufacture formed therefrom, and processes for the preparation thereof
JP3278306B2 (ja) * 1994-10-31 2002-04-30 富士写真フイルム株式会社 ポジ型フォトレジスト組成物
US5550196A (en) 1994-11-09 1996-08-27 Shell Oil Company Low viscosity adhesive compositions containing asymmetric radial polymers
US5868966A (en) 1995-03-30 1999-02-09 Drexel University Electroactive inorganic organic hybrid materials
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US5820769A (en) 1995-05-24 1998-10-13 Regents Of The University Of Minnesota Method for making magnetic storage having discrete elements with quantized magnetic moments
WO1997007429A1 (en) * 1995-08-18 1997-02-27 President And Fellows Of Harvard College Self-assembled monolayer directed patterning of surfaces
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US6468642B1 (en) * 1995-10-03 2002-10-22 N.V. Bekaert S.A. Fluorine-doped diamond-like coatings
US6309580B1 (en) * 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US20040036201A1 (en) 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US20040137734A1 (en) * 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US7758794B2 (en) 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US6482742B1 (en) 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US6518189B1 (en) 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US5684066A (en) 1995-12-04 1997-11-04 H.B. Fuller Licensing & Financing, Inc. Protective coatings having enhanced properties
JP2978435B2 (ja) 1996-01-24 1999-11-15 チッソ株式会社 アクリロキシプロピルシランの製造方法
US5942302A (en) 1996-02-23 1999-08-24 Imation Corp. Polymer layer for optical media
US5669303A (en) 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US5725788A (en) * 1996-03-04 1998-03-10 Motorola Apparatus and method for patterning a surface
US6355198B1 (en) 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
AU717979B2 (en) 1996-03-27 2000-04-06 Biocure, Inc. Process for manufacture of a porous polymer from a mixture
ATE254641T1 (de) 1996-03-27 2003-12-15 Commw Scient Ind Res Org Poröses polymer mit hohem wassergehalt
ATE268346T1 (de) 1996-03-27 2004-06-15 Commw Scient Ind Res Org Verfahren zur herstellung von porösen polymeren unter anwendung eines porenbildendes agens
JP2000508084A (ja) * 1996-03-28 2000-06-27 ミネソタ マイニング アンド マニュファクチャリング カンパニー 有機光受容体のためのペルフルオロエーテル剥離塗料
JP3715021B2 (ja) * 1996-04-09 2005-11-09 Jsr株式会社 液状硬化性樹脂組成物
US5888650A (en) 1996-06-03 1999-03-30 Minnesota Mining And Manufacturing Company Temperature-responsive adhesive article
US6204343B1 (en) * 1996-12-11 2001-03-20 3M Innovative Properties Company Room temperature curable resin
US5895263A (en) * 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US5792821A (en) 1997-01-06 1998-08-11 American Dental Association Health Foundation Polymerizable cyclodextrin derivatives
US6667082B2 (en) 1997-01-21 2003-12-23 Cryovac, Inc. Additive transfer film suitable for cook-in end use
US6495624B1 (en) 1997-02-03 2002-12-17 Cytonix Corporation Hydrophobic coating compositions, articles coated with said compositions, and processes for manufacturing same
US6156389A (en) 1997-02-03 2000-12-05 Cytonix Corporation Hydrophobic coating compositions, articles coated with said compositions, and processes for manufacturing same
US6335149B1 (en) 1997-04-08 2002-01-01 Corning Incorporated High performance acrylate materials for optical interconnects
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US6174932B1 (en) 1998-05-20 2001-01-16 Denovus Llc Curable sealant composition
US6132632A (en) * 1997-09-11 2000-10-17 International Business Machines Corporation Method and apparatus for achieving etch rate uniformity in a reactive ion etcher
US6475704B1 (en) 1997-09-12 2002-11-05 Canon Kabushiki Kaisha Method for forming fine structure
US6117708A (en) * 1998-02-05 2000-09-12 Micron Technology, Inc. Use of residual organic compounds to facilitate gate break on a carrier substrate for a semiconductor device
US6114404A (en) 1998-03-23 2000-09-05 Corning Incorporated Radiation curable ink compositions and flat panel color filters made using same
AU3386599A (en) * 1998-04-15 1999-11-01 Etec Systems, Inc. Photoresist developer and method of development
JP3780700B2 (ja) 1998-05-26 2006-05-31 セイコーエプソン株式会社 パターン形成方法、パターン形成装置、パターン形成用版、パターン形成用版の製造方法、カラーフィルタの製造方法、導電膜の製造方法及び液晶パネルの製造方法
DE19828969A1 (de) 1998-06-29 1999-12-30 Siemens Ag Verfahren zur Herstellung von Halbleiterbauelementen
KR100273172B1 (ko) 1998-08-01 2001-03-02 윤덕용 아크릴 측쇄에 디옥사스피로환기 유도체를 갖는 화합물을 이용한 포토레지스트
US6523803B1 (en) * 1998-09-03 2003-02-25 Micron Technology, Inc. Mold apparatus used during semiconductor device fabrication
TWI230712B (en) 1998-09-15 2005-04-11 Novartis Ag Polymers
US6713238B1 (en) 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6261469B1 (en) * 1998-10-13 2001-07-17 Honeywell International Inc. Three dimensionally periodic structural assemblies on nanometer and longer scales
US6218316B1 (en) 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6238798B1 (en) 1999-02-22 2001-05-29 3M Innovative Properties Company Ceramer composition and composite comprising free radically curable fluorochemical component
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6342097B1 (en) 1999-04-23 2002-01-29 Sdc Coatings, Inc. Composition for providing an abrasion resistant coating on a substrate with a matched refractive index and controlled tintability
CN1355739A (zh) * 1999-06-11 2002-06-26 博士伦公司 用于生产接触镜片和其它眼科产品的带有保护涂层的镜片模具
US6344105B1 (en) * 1999-06-30 2002-02-05 Lam Research Corporation Techniques for improving etch rate uniformity
US6190929B1 (en) * 1999-07-23 2001-02-20 Micron Technology, Inc. Methods of forming semiconductor devices and methods of forming field emission displays
US6723396B1 (en) * 1999-08-17 2004-04-20 Western Washington University Liquid crystal imprinting
WO2001018305A1 (en) * 1999-09-10 2001-03-15 Nano-Tex, Llc Water-repellent and soil-resistant finish for textiles
US6517995B1 (en) 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
US6873087B1 (en) 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
JP3774739B2 (ja) 1999-12-23 2006-05-17 ウニヴェルジテート コンスタンツ フィルム上にサブミクロンパターンを形成するための方法及び装置
DE10008109A1 (de) 2000-02-22 2001-08-23 Krauss Maffei Kunststofftech Verfahren und Vorrichtung zum Herstellen einer DVD
US6696157B1 (en) 2000-03-05 2004-02-24 3M Innovative Properties Company Diamond-like glass thin films
US6756165B2 (en) 2000-04-25 2004-06-29 Jsr Corporation Radiation sensitive resin composition for forming barrier ribs for an EL display element, barrier rib and EL display element
US6774183B1 (en) * 2000-04-27 2004-08-10 Bostik, Inc. Copolyesters having improved retained adhesion
US6262464B1 (en) * 2000-06-19 2001-07-17 International Business Machines Corporation Encapsulated MEMS brand-pass filter for integrated circuits
CN1262883C (zh) * 2000-07-17 2006-07-05 得克萨斯州大学系统董事会 影印用于平版印刷工艺中的自动化液体分配的方法和系统
US20050037143A1 (en) 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US7211214B2 (en) 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US7635262B2 (en) 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
US6531407B1 (en) 2000-08-31 2003-03-11 Micron Technology, Inc. Method, structure and process flow to reduce line-line capacitance with low-K material
US6448301B1 (en) 2000-09-08 2002-09-10 3M Innovative Properties Company Crosslinkable polymeric compositions and use thereof
CN100365507C (zh) 2000-10-12 2008-01-30 德克萨斯州大学系统董事会 用于室温下低压微刻痕和毫微刻痕光刻的模板
US6503914B1 (en) * 2000-10-23 2003-01-07 Board Of Regents, The University Of Texas System Thienopyrimidine-based inhibitors of the Src family
KR20020047490A (ko) 2000-12-13 2002-06-22 윤종용 실리콘을 함유하는 감광성 폴리머 및 이를 포함하는레지스트 조성물
US6783719B2 (en) 2001-01-19 2004-08-31 Korry Electronics, Co. Mold with metal oxide surface compatible with ionic release agents
JP4176998B2 (ja) 2001-01-25 2008-11-05 積水化学工業株式会社 熱現像性感光材料、セラミックグリーンシート用スラリー及びセラミックグリーンシート
US20040157078A1 (en) 2001-01-25 2004-08-12 Takahiro Yoshida Polyvinyl acetal, polyvinyl acetal composition, ink coating material, dispersant, heat-developable photosensitive material,ceramic green sheet, primer for plastic lens, recording agent for water-based ink and adhesive for metal foil
DE10103586A1 (de) 2001-01-26 2002-08-01 Roland Goebel Primer zur Bildung einer haftfesten und feuchtestabilen Legierungs-Kunststoff-Verbundschicht und Verfahren zu seiner Herstellung
KR100976988B1 (ko) 2001-02-27 2010-08-19 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨 신규 폴리머, 폴리머 합성 방법 및 포토레지스트 조성물
US20020123592A1 (en) * 2001-03-02 2002-09-05 Zenastra Photonics Inc. Organic-inorganic hybrids surface adhesion promoter
US6387787B1 (en) 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6664026B2 (en) 2001-03-22 2003-12-16 International Business Machines Corporation Method of manufacturing high aspect ratio photolithographic features
KR100442859B1 (ko) 2001-04-04 2004-08-02 삼성전자주식회사 실리콘을 함유하는 알킬 비닐 에테르의 중합체로이루어지는 감광성 폴리머 및 이를 포함하는 레지스트조성물
KR100872674B1 (ko) * 2001-04-10 2008-12-10 닛산 가가쿠 고교 가부시키 가이샤 리소그래피용 반사방지막 형성 조성물
US7011932B2 (en) * 2001-05-01 2006-03-14 E. I. Du Pont De Nemours And Company Polymer waveguide fabrication process
US6737489B2 (en) * 2001-05-21 2004-05-18 3M Innovative Properties Company Polymers containing perfluorovinyl ethers and applications for such polymers
US6541356B2 (en) * 2001-05-21 2003-04-01 International Business Machines Corporation Ultimate SIMOX
US6736857B2 (en) * 2001-05-25 2004-05-18 3M Innovative Properties Company Method for imparting soil and stain resistance to carpet
US7141188B2 (en) 2001-05-30 2006-11-28 Honeywell International Inc. Organic compositions
US6610458B2 (en) * 2001-07-23 2003-08-26 Kodak Polychrome Graphics Llc Method and system for direct-to-press imaging
AU2002337653A1 (en) 2001-07-25 2003-02-17 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US20030054115A1 (en) * 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
US6721529B2 (en) * 2001-09-21 2004-04-13 Nexpress Solutions Llc Release agent donor member having fluorocarbon thermoplastic random copolymer overcoat
CN100347608C (zh) 2001-09-25 2007-11-07 米卢塔技术株式会社 利用毛细作用力在基体上形成微型图案的方法
US6790905B2 (en) * 2001-10-09 2004-09-14 E. I. Du Pont De Nemours And Company Highly repellent carpet protectants
US20030080472A1 (en) 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method with bonded release layer for molding small patterns
US6716767B2 (en) 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
DE60215533T2 (de) 2001-11-07 2007-04-05 Dow Global Technologies, Inc., Midland Planarisierte mikroelektronik-substrate
US6649272B2 (en) 2001-11-08 2003-11-18 3M Innovative Properties Company Coating composition comprising fluorochemical polyether silane polycondensate and use thereof
US6605849B1 (en) * 2002-02-14 2003-08-12 Symmetricom, Inc. MEMS analog frequency divider
TWI339680B (en) 2002-02-19 2011-04-01 Kanto Kagaku Washing liquid composition for semiconductor substrate
EP1484645A4 (en) * 2002-02-19 2008-12-17 Nissan Chemical Ind Ltd COMPOSITION FOR FORMING ANTIREFLECTION COATING
US7455955B2 (en) 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US7060774B2 (en) 2002-02-28 2006-06-13 Merck Patent Gesellschaft Prepolymer material, polymer material, imprinting process and their use
EP1342736B1 (en) 2002-02-28 2013-05-08 Merck Patent GmbH Prepolymer material, polymer material, imprinting process and their Use
DE10217151A1 (de) * 2002-04-17 2003-10-30 Clariant Gmbh Nanoimprint-Resist
US7037639B2 (en) * 2002-05-01 2006-05-02 Molecular Imprints, Inc. Methods of manufacturing a lithography template
US6849558B2 (en) 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
US6720076B2 (en) * 2002-05-31 2004-04-13 Omnova Solutions Inc. In-mold primer coating for thermoplastic substrates
US20030235787A1 (en) 2002-06-24 2003-12-25 Watts Michael P.C. Low viscosity high resolution patterning material
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6932934B2 (en) 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US6908861B2 (en) 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
WO2004009505A1 (en) 2002-07-23 2004-01-29 Shell Internationale Research Maatschappij B.V. Hydrophobic surface treatment composition and method of making and using same
US6916584B2 (en) * 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US6957608B1 (en) 2002-08-02 2005-10-25 Kovio, Inc. Contact print methods
DE10237280A1 (de) * 2002-08-14 2004-03-11 Micronas Holding Gmbh Verfahren zum Verbinden von Oberflächen, Halbleiter mit verbundenen Oberflächen sowie Bio-Chip und Bio-Sensor
US6808745B2 (en) 2002-08-22 2004-10-26 Eastman Kodak Company Method of coating micro-electromechanical devices
WO2004021083A1 (en) 2002-08-27 2004-03-11 Obducat Ab Device for transferring a pattern to an object
US6936194B2 (en) * 2002-09-05 2005-08-30 Molecular Imprints, Inc. Functional patterning material for imprint lithography processes
US20040065252A1 (en) * 2002-10-04 2004-04-08 Sreenivasan Sidlgata V. Method of forming a layer on a substrate to facilitate fabrication of metrology standards
US8349241B2 (en) * 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
WO2004038504A2 (en) * 2002-10-21 2004-05-06 Nanoink, Inc. Nanometer-scale engineered structures, methods and apparatus for fabrication thereof, and applications to mask repair, enhancement, and fabrication
US7750059B2 (en) 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US7241823B2 (en) 2002-12-11 2007-07-10 Shin-Etsu Chemical Co., Ltd. Radiation curing silicone rubber composition, adhesive silicone elastomer film formed from same, semiconductor device using same, and method of producing semiconductor device
US20040112862A1 (en) * 2002-12-12 2004-06-17 Molecular Imprints, Inc. Planarization composition and method of patterning a substrate using the same
US7365103B2 (en) 2002-12-12 2008-04-29 Board Of Regents, The University Of Texas System Compositions for dark-field polymerization and method of using the same for imprint lithography processes
US7452574B2 (en) * 2003-02-27 2008-11-18 Molecular Imprints, Inc. Method to reduce adhesion between a polymerizable layer and a substrate employing a fluorine-containing layer
US20040168613A1 (en) 2003-02-27 2004-09-02 Molecular Imprints, Inc. Composition and method to form a release layer
US6830819B2 (en) 2003-03-18 2004-12-14 Xerox Corporation Fluorosilicone release agent for fluoroelastomer fuser members
US7179396B2 (en) 2003-03-25 2007-02-20 Molecular Imprints, Inc. Positive tone bi-layer imprint lithography method
WO2004086471A1 (en) 2003-03-27 2004-10-07 Korea Institute Of Machinery & Materials Uv nanoimprint lithography process using elementwise embossed stamp and selectively additive pressurization
US20040202865A1 (en) 2003-04-08 2004-10-14 Andrew Homola Release coating for stamper
TWI228638B (en) 2003-06-10 2005-03-01 Ind Tech Res Inst Method for and apparatus for bonding patterned imprint to a substrate by adhering means
US7307118B2 (en) 2004-11-24 2007-12-11 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
US7157036B2 (en) 2003-06-17 2007-01-02 Molecular Imprints, Inc Method to reduce adhesion between a conformable region and a pattern of a mold
US20060108710A1 (en) * 2004-11-24 2006-05-25 Molecular Imprints, Inc. Method to reduce adhesion between a conformable region and a mold
US20050160934A1 (en) 2004-01-23 2005-07-28 Molecular Imprints, Inc. Materials and methods for imprint lithography
JP2005014348A (ja) 2003-06-25 2005-01-20 Fuji Photo Film Co Ltd 平版印刷版原版及び平版印刷方法
US20050084804A1 (en) * 2003-10-16 2005-04-21 Molecular Imprints, Inc. Low surface energy templates
US7122482B2 (en) * 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
US20050098534A1 (en) 2003-11-12 2005-05-12 Molecular Imprints, Inc. Formation of conductive templates employing indium tin oxide
US6958531B2 (en) 2003-11-14 2005-10-25 The Regents Of The University Of Michigan Multi-substrate package and method for assembling same
ATE501464T1 (de) 2003-11-21 2011-03-15 Obducat Ab Nanoimprint lithographie in mehrschichtsystemem
US8076386B2 (en) 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
US7229732B2 (en) * 2004-08-04 2007-06-12 Xerox Corporation Imaging members with crosslinked polycarbonate in charge transport layer
JP4130668B2 (ja) * 2004-08-05 2008-08-06 富士通株式会社 基体の加工方法
SG119379A1 (en) * 2004-08-06 2006-02-28 Nippon Catalytic Chem Ind Resin composition method of its composition and cured formulation
US7309225B2 (en) 2004-08-13 2007-12-18 Molecular Imprints, Inc. Moat system for an imprint lithography template
US7939131B2 (en) * 2004-08-16 2011-05-10 Molecular Imprints, Inc. Method to provide a layer with uniform etch characteristics
US7252862B2 (en) 2004-08-30 2007-08-07 Hewlett-Packard Development Company, L.P. Increasing adhesion in an imprinting procedure
US20060062922A1 (en) * 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US20060081557A1 (en) * 2004-10-18 2006-04-20 Molecular Imprints, Inc. Low-k dielectric functional imprinting materials
US7163888B2 (en) 2004-11-22 2007-01-16 Motorola, Inc. Direct imprinting of etch barriers using step and flash imprint lithography
US20060145398A1 (en) * 2004-12-30 2006-07-06 Board Of Regents, The University Of Texas System Release layer comprising diamond-like carbon (DLC) or doped DLC with tunable composition for imprint lithography templates and contact masks
US20070059211A1 (en) * 2005-03-11 2007-03-15 The College Of Wooster TNT sensor containing molecularly imprinted sol gel-derived films
US8808808B2 (en) * 2005-07-22 2014-08-19 Molecular Imprints, Inc. Method for imprint lithography utilizing an adhesion primer layer
US8846195B2 (en) 2005-07-22 2014-09-30 Canon Nanotechnologies, Inc. Ultra-thin polymeric adhesion layer
US7759407B2 (en) * 2005-07-22 2010-07-20 Molecular Imprints, Inc. Composition for adhering materials together
US20070042173A1 (en) * 2005-08-22 2007-02-22 Fuji Photo Film Co., Ltd. Antireflection film, manufacturing method thereof, and polarizing plate using the same, and image display device
US7419611B2 (en) 2005-09-02 2008-09-02 International Business Machines Corporation Processes and materials for step and flash imprint lithography
US20080110557A1 (en) 2006-11-15 2008-05-15 Molecular Imprints, Inc. Methods and Compositions for Providing Preferential Adhesion and Release of Adjacent Surfaces

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002285071A (ja) * 2001-03-26 2002-10-03 Riken Technos Corp アンカーコート剤、易接着性基材フィルム及び積層フィルム
WO2004097518A2 (en) * 2003-04-25 2004-11-11 Molecular Imprints, Inc. A method of forming stepped structures employing imprint lithography

Cited By (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012508978A (ja) * 2008-11-13 2012-04-12 モレキュラー・インプリンツ・インコーポレーテッド ナノサイズ形状の大面積パターニング
JP2013093552A (ja) * 2011-10-07 2013-05-16 Fujifilm Corp インプリント用下層膜組成物およびこれを用いたパターン形成方法
KR20140072192A (ko) * 2011-10-07 2014-06-12 후지필름 가부시키가이샤 임프린트용 하층막 조성물 및 이것을 사용한 패턴 형성 방법
KR102017515B1 (ko) 2011-10-07 2019-09-03 후지필름 가부시키가이샤 임프린트용 하층막 조성물 및 이것을 사용한 패턴 형성 방법
US9507263B2 (en) 2011-10-07 2016-11-29 Fujifilm Corporation Underlay film composition for imprints and method of forming pattern and pattern formation method using the same
WO2013191228A1 (en) * 2012-06-18 2013-12-27 Fujifilm Corporation Under layer film-forming composition for imprints and method of forming pattern
KR20150013313A (ko) 2012-06-18 2015-02-04 후지필름 가부시키가이샤 임프린트용 경화성 조성물과 기판의 밀착용 조성물 및 이것을 사용한 반도체 디바이스
US9263289B2 (en) 2012-06-18 2016-02-16 Fujifilm Corporation Adhesion-promoting composition used between curable composition for imprints and substrate, and semiconductor device using the same
US9796803B2 (en) 2012-06-18 2017-10-24 Fujifilm Corporation Under layer film-forming composition for imprints and method of forming pattern
US10344177B2 (en) 2013-03-26 2019-07-09 Fujifilm Corporation Under layer film-forming composition for imprints and method for forming pattern
WO2014157226A1 (ja) 2013-03-26 2014-10-02 富士フイルム株式会社 インプリント用下層膜形成組成物およびパターン形成方法
WO2014157228A1 (ja) * 2013-03-27 2014-10-02 富士フイルム株式会社 組成物、硬化物、積層体、下層膜の製造方法、パターン形成方法、パターンおよび半導体レジストの製造方法
JP2014189616A (ja) * 2013-03-27 2014-10-06 Fujifilm Corp 組成物、硬化物、積層体、下層膜の製造方法、パターン形成方法、パターンおよび半導体レジストの製造方法
US10626287B2 (en) 2014-06-20 2020-04-21 Fujifilm Corporation Resin composition for underlayer film formation, layered product, method for forming pattern, and process for producing device
KR20170007787A (ko) 2014-06-20 2017-01-20 후지필름 가부시키가이샤 하층막 형성용 수지 조성물, 적층체, 패턴 형성 방법 및 디바이스의 제조 방법
KR20170030589A (ko) 2014-08-21 2017-03-17 후지필름 가부시키가이샤 하층막 형성용 수지 조성물, 적층체, 패턴 형성 방법, 임프린트 형성용 키트 및 디바이스의 제조 방법
US10191375B2 (en) 2014-08-21 2019-01-29 Fujifilm Corporation Resin composition for underlayer film formation, layered product, method for forming pattern, imprint forming kit and process for producing device
KR20170033385A (ko) 2014-08-27 2017-03-24 후지필름 가부시키가이샤 하층막 형성용 수지 조성물, 적층체, 패턴 형성 방법, 임프린트 형성용 키트 및 디바이스의 제조 방법
US10246605B2 (en) 2014-08-27 2019-04-02 Fujifilm Corporation Resin composition for underlayer film formation, layered product, method for forming pattern, imprint forming kit, and process for producing device
US10668677B2 (en) 2015-09-08 2020-06-02 Canon Kabushiki Kaisha Substrate pretreatment for reducing fill time in nanoimprint lithography
JP2017055108A (ja) * 2015-09-08 2017-03-16 キヤノン株式会社 ナノインプリントリソグラフィーにおける充填時間を短縮するための基板の前処理
US10488753B2 (en) 2015-09-08 2019-11-26 Canon Kabushiki Kaisha Substrate pretreatment and etch uniformity in nanoimprint lithography
JP2017152705A (ja) * 2015-09-08 2017-08-31 キヤノン株式会社 ナノインプリントリソグラフィーにおける充填時間を短縮するための基板の前処理
JPWO2017170697A1 (ja) * 2016-03-31 2019-02-07 キヤノン株式会社 パターン形成方法、加工基板の製造方法、光学部品の製造方法、回路基板の製造方法、電子部品の製造方法、インプリントモールドの製造方法
US10095106B2 (en) 2016-03-31 2018-10-09 Canon Kabushiki Kaisha Removing substrate pretreatment compositions in nanoimprint lithography
US10620539B2 (en) 2016-03-31 2020-04-14 Canon Kabushiki Kaisha Curing substrate pretreatment compositions in nanoimprint lithography
US10134588B2 (en) 2016-03-31 2018-11-20 Canon Kabushiki Kaisha Imprint resist and substrate pretreatment for reducing fill time in nanoimprint lithography
US10509313B2 (en) 2016-06-28 2019-12-17 Canon Kabushiki Kaisha Imprint resist with fluorinated photoinitiator and substrate pretreatment for reducing fill time in nanoimprint lithography
KR20190039263A (ko) 2016-09-16 2019-04-10 후지필름 가부시키가이샤 패턴 형성 방법 및 반도체 소자의 제조 방법
KR20190040009A (ko) 2016-09-16 2019-04-16 후지필름 가부시키가이샤 임프린트용 프라이머층 형성용 조성물, 임프린트용 프라이머층 및 적층체
WO2018159576A1 (ja) * 2017-02-28 2018-09-07 富士フイルム株式会社 プライマ層形成用組成物、キット、プライマ層および積層体
WO2018159575A1 (ja) * 2017-02-28 2018-09-07 富士フイルム株式会社 インプリント用密着膜形成用組成物、密着膜、積層体、硬化物パターンの製造方法および回路基板の製造方法
US11441053B2 (en) 2017-02-28 2022-09-13 Fujifilm Corporation Composition for forming adhesive film for imprinting, adhesive film, laminate, method for producing cured product pattern, and method for manufacturing circuit substrate
JPWO2018159575A1 (ja) * 2017-02-28 2019-12-19 富士フイルム株式会社 インプリント用密着膜形成用組成物、密着膜、積層体、硬化物パターンの製造方法および回路基板の製造方法
JPWO2018159576A1 (ja) * 2017-02-28 2019-12-26 富士フイルム株式会社 プライマ層形成用組成物、キット、プライマ層および積層体
JP2020509592A (ja) * 2017-03-03 2020-03-26 キヤノン株式会社 ナノインプリントリソグラフィー用の基板前処理組成物
US10317793B2 (en) 2017-03-03 2019-06-11 Canon Kabushiki Kaisha Substrate pretreatment compositions for nanoimprint lithography
KR102232011B1 (ko) 2017-03-03 2021-03-26 캐논 가부시끼가이샤 나노임프린트 리소그래피를 위한 기판 전처리 조성물
KR20190112748A (ko) * 2017-03-03 2019-10-07 캐논 가부시끼가이샤 나노임프린트 리소그래피를 위한 기판 전처리 조성물
JP2020523798A (ja) * 2017-06-16 2020-08-06 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 多層構造体
US11634529B2 (en) 2017-06-16 2023-04-25 Fujifilm Electronic Materials U.S.A., Inc. Multilayer structure
JP7235317B2 (ja) 2017-06-16 2023-03-08 フジフイルム エレクトロニック マテリアルズ ユー.エス.エー., インコーポレイテッド 多層構造体
WO2019172156A1 (ja) * 2018-03-07 2019-09-12 富士フイルム株式会社 インプリント用下層膜形成組成物、インプリント用硬化性組成物、キット
JPWO2019172156A1 (ja) * 2018-03-07 2021-03-04 富士フイルム株式会社 インプリント用下層膜形成組成物、インプリント用硬化性組成物、キット
JP7017623B2 (ja) 2018-03-07 2022-02-08 富士フイルム株式会社 インプリント用下層膜形成組成物、インプリント用硬化性組成物、キット
JPWO2020059603A1 (ja) * 2018-09-18 2021-09-16 富士フイルム株式会社 インプリント用積層体、インプリント用積層体の製造方法、パターン形成方法およびキット
JP7222811B2 (ja) 2019-06-04 2023-02-15 キオクシア株式会社 インプリント装置、インプリント方法、及び半導体装置の製造方法
JP2020198384A (ja) * 2019-06-04 2020-12-10 キオクシア株式会社 インプリント装置、インプリント方法、及び半導体装置の製造方法
WO2022138331A1 (ja) 2020-12-22 2022-06-30 キヤノン株式会社 膜形成方法および物品製造方法
KR20230113640A (ko) 2020-12-22 2023-07-31 캐논 가부시끼가이샤 막 형성 방법 및 물품 제조 방법
WO2022259748A1 (ja) 2021-06-09 2022-12-15 キヤノン株式会社 硬化性組成物、膜形成方法及び物品の製造方法

Also Published As

Publication number Publication date
KR101416112B1 (ko) 2014-07-08
WO2007050133A3 (en) 2007-12-13
KR20080038338A (ko) 2008-05-06
US20070017631A1 (en) 2007-01-25
WO2007050133A2 (en) 2007-05-03
EP1915888A2 (en) 2008-04-30
TWI329239B (en) 2010-08-21
SG163605A1 (en) 2010-08-30
EP1915888A4 (en) 2013-05-22
JP5084728B2 (ja) 2012-11-28
US8557351B2 (en) 2013-10-15
TW200710566A (en) 2007-03-16
EP1915888B1 (en) 2018-12-19
US20140034229A1 (en) 2014-02-06

Similar Documents

Publication Publication Date Title
JP5084728B2 (ja) 材料を相互に接着するための方法及び組成物
KR101610185B1 (ko) 초박형 중합체 접착 층
US7759407B2 (en) Composition for adhering materials together
US8808808B2 (en) Method for imprint lithography utilizing an adhesion primer layer
EP2261280B1 (en) Process for imprint lithography
US20080110557A1 (en) Methods and Compositions for Providing Preferential Adhesion and Release of Adjacent Surfaces
WO2005000552A2 (en) Method to reduce adhesion between a conformable region and a pattern of a mold
WO2011066450A2 (en) Adhesion layers in nanoimprint lithography
TWI495951B (zh) 超薄聚合性黏著層
Katayama et al. Organic-inorganic hybrid materials for nanoimprint lithography

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090529

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090529

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120117

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120417

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120424

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20120531

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20120607

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120607

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120717

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120810

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120904

R150 Certificate of patent or registration of utility model

Ref document number: 5084728

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150914

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250