JP2007523491A - 半導体製造用のゲート電極ドーパント活性化方法 - Google Patents

半導体製造用のゲート電極ドーパント活性化方法 Download PDF

Info

Publication number
JP2007523491A
JP2007523491A JP2006554137A JP2006554137A JP2007523491A JP 2007523491 A JP2007523491 A JP 2007523491A JP 2006554137 A JP2006554137 A JP 2006554137A JP 2006554137 A JP2006554137 A JP 2006554137A JP 2007523491 A JP2007523491 A JP 2007523491A
Authority
JP
Japan
Prior art keywords
polycrystalline layer
layer
dopant
doped
range
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006554137A
Other languages
English (en)
Other versions
JP5028093B2 (ja
Inventor
イ マ,
カーレド, ゼット. アーメド,
ケビン, エル. カニングハム,
ロバート, シー. マキントシュ,
アビラッシュ, ジェー. マユラ,
ハイファン リアング,
マーク ヤム,
トイ, ユェ, ベッキー レアング,
クリストファー オルセン,
ワング, シュリン
マジェード ファオド,
ガリー, ユジェン マイナー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2007523491A publication Critical patent/JP2007523491A/ja
Application granted granted Critical
Publication of JP5028093B2 publication Critical patent/JP5028093B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Optics & Photonics (AREA)
  • Electromagnetism (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

一実施形態において、本発明は、一般に、基板上に堆積されたドープされた層をアニールするための方法を提供する。この方法は、ゲート酸化物層のような基板の表面に多結晶層を堆積するステップと、この多結晶層にドーパントを注入して、ドープされた多結晶層を形成するステップとを備えている。この方法は、更に、ドープされた多結晶層を急速加熱アニールに露出して、多結晶層全体にわたりドーパントを容易に分配するステップを備えている。その後、この方法は、ドープされた多結晶層をレーザアニールに露出して、多結晶層の上部のドーパントを活性化するステップを備えている。レーザアニールは、ドーパント、即ち原子を多結晶材料の結晶格子へ合体させる。
【選択図】 図2

Description

発明の背景
発明の分野
[0001]本発明の実施形態は、一般に、半導体製造プロセスの分野に係り、より詳細には、ゲート電極のような半導体デバイスを形成するシリコン含有膜内のドーパントを活性化する方法に係る。
関連技術の説明
[0002]より小型のトランジスタが製造されるにつれて、デバイスの性能を向上させるために、より薄いゲート誘電体材料が必要とされる。しかしながら、キャリア空乏は、ボロンをドープしたp型ポリシリコン又は砒素及び/又は燐をドープしたn型ポリシリコンのような反転酸化物厚みゲート電極材料に対して約4Åの貢献をする。デバイスの性能を維持するために、ポリ空乏(poly-depletion)を減少することが重要になった。従来のプロセスは、熱履歴(thermal budget)制限を有する急速加熱アニールプロセスを含む。例えば、1050℃より高い温度は、ボロンがゲート誘電体材料を貫通してデバイスの性能及び信頼性を低下させるので、望ましくない。
[0003]100nm以下のCMOS(相補的金属酸化物半導体)デバイスの場合に接合深さが30nm未満であることが要求されるので、極めて浅いソース/ドレイン接合を形成することが大きな挑戦になりつつある。注入に続いて熱的な後アニールを行うことによる従来のドーピングは、接合深さが10nmのサイズに近付くときには、あまり効果的でない。というのは、熱的な後アニールがドーパント拡散を増加させるからである。ドーパント拡散は、近傍層を汚染し、デバイスの故障を生じさせることがある。
[0004]フロントエンドオブライン(front end ofline)処理にとってドーパント拡散を生じることなくポリシリコンゲート電極を活性化することが重大な挑戦である。改善されるドーパント活性化と総合ドーパント拡散との間には緊密なバランスが存在する。積極的な活性化アニールは、高いキャリア密度をもたらすが、ドーパントがゲート誘電体層へ又はチャンネル領域へも駆動されることがある。デバイスメーカーがポリ空乏を克服しようと試みるときには、バランスを維持することが益々困難になる。ポリ空乏とは、ポリシリコン層の反転領域内における活性化されたドーパントの減少である。ポリ空乏は、ゲート長さ及びゲート誘電体厚みがより小さくなるにつれてTox−inv(キャリア密度/ポリ空乏)が僅かに増加する原因である。基板の特徴部のサイズが130nm及び90nmである場合に、急速加熱処理(RTP)及びスパイクアニーリングのような従来の熱的プロセスは、主たるドーパント活性化方法である。これにより生じるポリ空乏は、Tox−invに対して4−5Åの貢献となる。サイズが65nmの基板特徴部の場合に、ポリ空乏の1Åの付加的な減少が必要となる。1Åのポリ空乏減少ごとに、約3%の駆動電流利得が予想される。従来の熱的プロセスは、ドーパント拡散を扇動せずにこのような小さな基板特徴部をアニールすることができない。加えて、ドーパントの侵入や、熱に敏感な高k材料の使用を防止するには、熱履歴の低い活性化アニールが必要となる。
[0005]65nm特徴部に使用するポリ空乏の要件を満足するために、ドーパント拡散を推進せずに高いドーパント活性化を達成し得るレーザアニールが開発された。このレーザアニール技術は、数ミリ秒以内にシリコンの融点付近の過渡的温度を生じさせ、その結果、僅かなドーパント拡散で高いドーパント活性化が得られる。これは、ボロン活性化のようなプロセスに特に有益である。というのは、ボロンは、燐や砒素より著しく速く拡散するからである。しかしながら、シリコンを溶融するレーザアニール温度は、多結晶の粒子サイズを成長させ、デバイス収率のロスを招き得ることが示されている。
[0006]それ故、特徴部内に多結晶層をドープし、その後、そのドープされた多結晶を、ドーパント拡散が最小であるか又は存在しない状態で、アニールし活性化するためのプロセスを得ることが要望される。
発明の概要
[0007]一実施形態では、本発明は、一般に、基板上のドープされた層をアニールするための方法において、ゲート酸化物層に多結晶層を堆積するステップと、その多結晶層にドーパントを注入して、ドープされた多結晶層を形成するステップと、そのドープされた多結晶層を急速加熱アニールに曝すステップと、そのドープされた多結晶層をレーザアニールに曝すステップとを備えた方法を提供する。
[0008]別の実施形態では、本発明は、一般に、基板上の層をアニールするための方法において、格子を含む多結晶層を基板に堆積するステップと、その多結晶層に少なくとも1つのドーパント元素をドープして、ドープされた多結晶層を形成するステップと、そのドープされた多結晶層をレーザでアニールして、少なくとも1つのドーパント元素を格子に合体させるステップとを備えた方法を提供する。
[0009]別の実施形態では、本発明は、一般に、基板上のドープされたシリコン層をアニールするための方法において、基板に多結晶層を堆積するステップと、その多結晶層に少なくとも1つのドーパント元素をドープして、ドープされた多結晶層を形成するステップと、そのドープされた多結晶層を第1温度において急速加熱アニールに露出させるステップと、そのドープされた多結晶層を約1000℃乃至約1415℃の第2温度においてレーザアニールに露出させるステップとを備えた方法を提供する。
[0010]別の実施形態において、本発明は、一般に、基板に層を形成する方法であって、基板に多結晶層を堆積するステップを備えた方法を提供する。この多結晶層は、ドーパント元素及び格子構造体を含む。この方法は、更に、多結晶層をレーザでアニールして、ドーパント元素を格子構造体に合体し、ドープされた多結晶層を形成するステップを備えている。
[0011]本発明の上述した特徴を詳細に理解できるように、前記で簡単に要約した本発明を、添付図面に幾つか示された実施形態を参照して、より詳細に説明する。しかしながら、添付図面は、本発明の典型的な実施形態を示すに過ぎず、それ故、本発明の範囲を何ら限定するものではなく、本発明は、他の等しく有効な実施形態も受け入れられることに注意されたい。
好ましい実施形態の詳細な説明
[0015]本発明の実施形態は、二酸化シリコン、シリコンオキシニトライド又は高誘電率材料のような誘電体材料上にドープされた多結晶シリコン層を形成するための方法を提供する。一般的に、多結晶層は、イオン注入によりドープされ、例えば、急速加熱アニール(RTA)プロセスで熱的にアニールされ、その後、レーザアニールされて、動的な表面アニール(DSA)プロセスによりドーパントを活性化する。
[0016]図1A−図1Eは、本発明の一実施形態に開示されたプロセスを通して進行するゲートスタック構造体の断面図である。図1Aは、半導体プロセスに使用されるシリコン基板のような基板10上に配置された誘電体層20を示す。一実施例において、基板10は、約15Ω−cm乃至約20Ω−cmの範囲の抵抗率までボロンがドープされた300mmのp型シリコン基板でよく、通常、誘電体層20を堆積する前に従来の前ゲート洗浄剤で前洗浄される。
[0017]誘電体層20は、種々の堆積プロセス、例えば、急速加熱酸化(RTO)、化学的気相堆積(CVD)、プラズマエンハンストCVD(PE−CVD)、物理的気相堆積(PVD)、原子層堆積(ALD)、原子層エピタキシー(ALE)、又はその組合せにより、基板10に堆積することができる。好ましくは、SiO又はSiOのような誘電体材料がRTOプロセスにより基板10上に成長される。誘電体層20として適した材料は、酸化シリコン、窒化シリコン、シリコンオキシニトライド、酸化ハフニウム、珪酸ハフニウム、酸化アルミニウム、珪酸アルミニウム、酸化ジルコニウム、珪酸ジルコニウム、その派生物及びその組合せを含む。一般に、誘電体層20は、約1Å乃至約150Å、好ましくは、約5Å乃至約50Åの範囲の厚みに堆積される。
[0018]ある実施形態では、誘電体材料は、減結合プラズマ窒化処理(DPN)、或いは硝酸(NO)又は亜硝酸(NO)での熱窒化処理のような窒化処理を受けてもよい。窒素を酸化物により強力に結合すると共に、誘電体層20と基板10との界面を改善するために、窒化処理後のアニールが行われる。例えば、酸化シリコンをRTOプロセスにより基板10に成長させた後に、DPNプロセスを行って、約1x1014原子/cm乃至約1x1016原子/cmの範囲、例えば、1x1015原子/cmの窒素密度をもつシリコンオキシニトライドを形成することができる。他の窒化処理誘電体材料は、アルミニウムオキシニトライド、窒化ハフニウムシリケート、ハフニウムオキシニトライド、及びジルコニウムオキシニトライドを含む。
[0019]図2のフローチャートに示されたプロセス100は、図1Bに示すように、誘電体層20上に、多結晶シリコンのようなポリシリコン層30を堆積するためのステップ110を備えている。このポリシリコン層30は、一般に、化学的気相堆積(CVD)、急速熱CVD(RT−CVD)、プラズマエンハンストCVD(PE−CVD)、物理的気相堆積(PVD)、原子層堆積(ALD)、原子層エピタキシー(ALE)、又はその組合せにより堆積される。好ましくは、ポリシリコン層30は、約650℃乃至約800℃、好ましくは、約700℃乃至約750℃の範囲の温度においてRT−CVDプロセスで堆積される。RT−CVDプロセスの間に、ポリシリコン層30の粒子サイズに変化を誘起するように温度を変えることができる。例えば、平均ポリシリコン粒子サイズは、720℃では、710℃より約50Å大きくてよい。一般に、ポリシリコン層30は、約100Å乃至約10000Å、好ましくは、約500Å乃至約2500Å、更に好ましくは、約750Å乃至約1500Åの範囲の厚みで堆積される。又、二層のポリシリコンを、RT−CVDプロセスで堆積してもよい。ポリシリコン層30は、一般に、多結晶シリコンであるが、ゲルマニウム及び/又は炭素のような他の元素を含んでもよい。それ故、ポリシリコン層30は、Si、SiGe、SiC又はSiGeCを含んでもよい。ある実施例では、ポリシリコン層は、細い直径の柱状構造を有してもよいし、或いは底部の微粒層及び頂部の柱状層を含む二層構造組合せを有してもよい。
[0020]誘電体層及び/又はポリシリコン層を堆積するのに使用できるハードウェアは、カリフォルニア州サンタクララに所在するアプライドマテリアルズ社から入手できるEpi Centura(登録商標)システム及びPolyGen(登録商標)システムを含む。酸化物を成長させるのに有用な急速熱CVDチャンバーは、カリフォルニア州サンタクララに所在するアプライドマテリアルズ社から入手できるRadiance(登録商標)システムである。高k層及び/又はポリシリコン層を堆積するのに使用できるALD装置は、この装置を説明する目的で参考としてここに全体を援用する共通に譲渡された米国特許公告第20030079686号に開示されている。他の装置は、従来技術で示されたバッチ、高温炉を含む。
[0021]ステップ120は、ポリシリコン層30に元素ドーパント31をドープすることを含む。図1Cは、ポリシリコン層30の上部32に元素ドーパント31を示している。元素ドーパントは、単一の原子層から約150Å、好ましくは、約70Åまでの範囲の深さに、ポリシリコン層30の上部32に向かって侵入する。元素ドーパントは、ボロン、砒素、燐、ガリウム、アンチモン、インジウム、又はその組合せを含んでもよい。元素ドーパントは、ポリシリコン層30において約1x1019原子/cm乃至約1x1021原子/cmの範囲の密度を有してもよい。一実施例では、ポリシリコン層30は、例えば、ボロンのイオン注入により、約1x1019原子/cm乃至約1x1021原子/cm、好ましくは、約1x1020原子/cm乃至約5x1020原子/cmの範囲の密度にP型ドープされる。別の実施例では、ポリシリコン層30は、例えば、燐のイオン注入により、約1x1019原子/cm乃至約1x1021原子/cm、好ましくは、約1x1020原子/cm乃至約5x1020原子/cmの範囲の密度にN型ドープされる。別の実施例では、ポリシリコン層30は、砒素又は燐を拡散することにより、約1x1015原子/cm乃至約1x1019原子/cmの範囲の密度にN型ドープされる。
[0022]ドーパントは、装置を説明する目的で参考としてここに全体を援用する共通に譲渡された米国特許第6,583,018号に説明されたようなイオン注入プロセスで注入することができる。本発明の実施形態に有用なイオン注入装置は、例えば、約5KeV以下、好ましくは、約3KeV以下の非常に低い注入エネルギーでイオンを植え込むことができる。本発明の実施形態に有用な2つのイオン注入装置が、Quantum III(登録商標)システム及びImplant xR LEAP(登録商標)システムとして製造販売されており、これらは、両方とも、カリフォルニア州サンタクララに所在するアプライドマテリアルズ社から入手できる。ボロンは、約3KeVのエネルギー設定、及び約1x1015原子/cm乃至約1x1015原子/cmの範囲のドーズ設定で注入することができる。一実施例では、ボロンは、約4x1015原子/cmで注入される。別の実施例では、ボロンは、約8x1015原子/cmで注入される。
[0023]ステップ130の間に、基板は、熱アニールプロセスに曝され、ドーパント元素31を上部32からポリシリコン層30全体にわたり拡散及び分配させて、ドープされたポリシリコン層34を形成する。好ましいアニールプロセスは、約2秒乃至約20秒、好ましくは、約5秒乃至約10秒の範囲で持続する急速加熱アニール(RTA)プロセスである。このRTAプロセスの間に、基板は、約800℃乃至約1400℃、好ましくは、約1000℃乃至約1200℃の範囲の温度に加熱される。RTAプロセスの一実施例では、基板は、約1000℃に約5秒間加熱される。RTAプロセス中の温度と時間を正しく組み合せると、図1Dに示すように、デバイスの近傍の特徴部を汚染せずに、元素ドーパント31がポリシリコン層30全体にわたって分布されるように確保する。ここに述べるRTAプロセス中に使用されるプロセスチャンバーは、カリフォルニア州サンタクララに所在するアプライドマテリアルズ社から入手できるCentura RTPシステム(登録商標)である。
[0024]ステップ140の間に、ドープされたポリシリコン層34は、動的表面アニール(DSA)プロセスによりレーザアニールされる。ドープされたポリシリコン層34内の元素ドーパント31及びシリコンは、DSAプロセス中に活性化されて、図1Eに示すように、活性化されたドープポリシリコン層36を形成する。ポリシリコン層の結晶格子内の原子サイトは、ドーパント原子33に置き換えられる。それ故、通常シリコンの結晶格子がオープンして、ボロン、砒素、燐、又はここに述べる他のドーパントのような到来するドーパント原子33を合体する。
[0025]ドープされたポリシリコン層34は、実際に液体状態を生じさせることなく、DSAプロセス中に融点付近に加熱される。ドープされたポリシリコン層34は、約1000℃乃至約1415℃、好ましくは、約1050℃乃至約1400℃の範囲の温度に加熱される。多結晶シリコンの融点(約1415℃)より高い温度は望ましくない。というのは、ドーパントの拡散が特徴部内の他の材料の汚染を生じ勝ちだからである。DSAプロセス中に、約500ミリ秒未満、好ましくは、100ミリ秒未満の間、基板に層が曝されてもよい。DSAプロセスは、カリフォルニア州サンタクララに所在するアプライドマテリアルズ社から入手できるDSAプラットホームにおいて行うことができる。一般に、レーザは、10.6μm又は0.88μmから選択された波長をもつ光を放射する。
[0026]図3A−図3Cは、一実施形態において述べたようにポリシリコン材料を堆積してゲートスタック構造体を形成するところを示している。図3Aの断面図に示すように、基板200に誘電体層204が堆積される。基板200は、図示されていないが、ドープされた領域を含む種々の特徴部を備えてもよい。誘電体層204は、ここに述べたように、二酸化シリコン、シリコンオキシニトライド、窒化シリコン及び高kの材料を含む。基板200は、更に、浅いトレンチ分離体(STI)202を含む。このSTI202は、一般に、基板200にエッチングされたトレンチの側壁を酸化し、その後、高密度プラズマCVD酸化物でトレンチを埋めることにより形成される。
[0027]図3Bは、誘電体層204及びSTI202に堆積されたポリシリコン層206を示す。このポリシリコン層206は、化学的気相堆積(CVD)、急速熱CVD(RT−CVD)、プラズマエンハンストCVD(PE−CVD)、物理的気相堆積(PVD)、原子層堆積(ALD)、又はその組合せにより堆積することができる。一実施形態では、ポリシリコン層206は、約650℃乃至約800℃、好ましくは、約700℃ないし約750℃の範囲の温度においてRT−CVDプロセスで堆積される。一般に、ポリシリコン層206は、約100Å乃至約10000Å、好ましくは、約500Å乃至約2500Å、更に好ましくは、約750Å乃至約1500Åの範囲の厚みで堆積される。ポリシリコン層206は、一般に、多結晶シリコンであるが、ゲルマニウム及び/又は炭素のような他の元素を含んでもよい。それ故、ポリシリコン層206は、Si、SiGe、SiC、又はSiGeCを含んでもよい。
[0028]ポリシリコン層206は、図3Cに示すように、パターン化及びエッチングされて、パターン化されたポリシリコン208を形成する。ポリシリコン層206は、エッチングの前にドープされてもよいが、一般的には、パターン化されたポリシリコン208が形成された後にドープされる。このパターン化されたポリシリコン208は、ドープされ、アニールされてもよく、及び/又はオフセットスペーサ(図示せず)のような更なる層がそこに堆積されてもよい。一実施例では、パターン化されたポリシリコンは、オフセットスペーサの堆積でカプセル化された後に、イオン注入プロセスでドープされ、RTAアニールプロセスに曝され、更に、DSAプロセスに曝されて、そのインプラントされたドーパントを活性化してもよい。
実験
[0029]ドープされた多結晶シリコンゲート電極を模擬するために、テーブル1に示すように、シリコンオキシニトライドゲート電極の層を含む8つの基板(基板A−H)上に多結晶シリコンを堆積した。これらの基板は、300mmのp型(ボロンがドープされた)シリコンウェハで、その抵抗率は15−20Ω−cmであった。これらの基板は、前ゲート洗浄に露出した後、急速加熱酸化プロセスに露出した。SiO膜を約20Åの厚みで形成した。このSiO膜を減結合プラズマ窒化処理によりプラズマ窒化処理し、約1x1015原子/cmの窒素密度にした。全ての基板を窒化処理後アニールに露出して、窒素を酸化シリコンに更に完全に結合させると共に、表面界面を改善した。
Figure 2007523491
[0030]多結晶シリコンを、単一ウェハの急速熱化学的気相堆積チャンバーで各基板上に約1000Åの厚みまで堆積した。平均ポリ粒子サイズを変化させた。ポリシリコン材料を710℃において基板A、B、E及びFに堆積して、第1サイズの粒子を形成する一方、ポリシリコンを720℃において基板C、D、G及びHに堆積して、より大きなサイズの粒子を形成した。ボロンを、3KeVのエネルギーで且つ基板A−Dについては4x1015/cm及び基板E−Hについては8x1015/cmのドーズ設定で注入した。全ての基板を約1000℃において従来のRTAプロセスに通した。基板B、D、F及びHは、1350℃においてDSAプロセスでレーザアニールした。
[0031]それにより得られた構造体のシート抵抗(Rs)及び広がり抵抗を測定して、キャリア密度及び活性化を評価した。二次イオン質量分光器(SIMS)によりドーパント(ボロン)のプロフィールを分析した。X線回折分光器(XRD)及び断面透過電子顕微鏡(TEM)によりポリ粒子構造を分析した。
[0032]レーザアニールは、単にドーパント密度を増加するだけで達成される以上にシート抵抗を減少した。例えば、ドーパント密度を2倍にすると、シート抵抗が約10%減少する。しかしながら、ドーパント密度を4x1015/cmに維持しながら、基板をDSAプロセスに曝す場合には、シート抵抗が40%も減少した。ドーパント密度を2倍にし且つDSAプロセスに曝すと、シート抵抗は、50%も減少した。ポリ粒子構造は、シート抵抗にほとんど影響しなかった。720℃で堆積されたポリシリコンは、710℃で堆積されたポリシリコンよりシート抵抗が数%低かった。レーザアニールは、少なくとも3つのメカニズム、例えば、付加的なドーパント拡散、ポリ粒子構造の変更、及びドーパント活性化の増加により、シート抵抗を減少させた。
[0033]SIMSにより付加的なドーパント拡散は観察されなかった。ドーパントは、RTAプロセスにより完全に拡散され、レーザアニールプロセスの後に変化は見られなかった。レーザは、数ミリ秒の間だけウェハの各ポイントを照射し、高い温度に関わらずドーパントが拡散し得る時間を制限した。ポリシリコン粒子構造は、レーザアニールプロセスの後にある僅かな変化を示した。XRD分析は、DSAプロセスの後に、粒子サイズが361Åから370Åへ9Åだけ増加したことを示した。TEM映像は、柱状構造が充分に維持されるが、粒子構造が若干結晶にも見えることを示した。粒子構造の変化は、シート抵抗を下げることに貢献したかもしれない。しかしながら、シリコン溶融温度より高いレーザアニールで生じ得るようにデバイスの収率を脅かすことになる粒子サイズの目立った増加はなかった。
[0034]広がり抵抗プロフィールは、キャリア密度がポリシリコン全体にわたりレーザアニール温度と共に増加することを示した。ドーパント活性化の増加は、特に、ポリシリコンとオキシニトライド層との間の界面で大きかった。高いキャリア密度は、ポリ空乏を減少した。レーザアニールは、ドーパント活性化の増加を介してシート抵抗を減少したかもしれない。短時間の高温レーザアニールは、ポリシリコン膜により多くのキャリアを形成した。
[0035]以上、本発明の実施形態を説明したが、本発明の基本的な範囲から逸脱せずに他の及び更に別の実施形態を案出することもでき、従って、本発明の範囲は、特許請求の範囲により決定されるものとする。
ゲートスタック構造体内に層を段階的に形成していくところを示す図である。 ゲートスタック構造体内に層を段階的に形成していくところを示す図である。 ゲートスタック構造体内に層を段階的に形成していくところを示す図である。 ゲートスタック構造体内に層を段階的に形成していくところを示す図である。 ゲートスタック構造体内に層を段階的に形成していくところを示す図である。 ゲートスタック内にドープされた多結晶層を堆積するプロセスを示すフローチャートである。 ゲートスタック構造体内における層の形成を示す図である。 ゲートスタック構造体内における層の形成を示す図である。 ゲートスタック構造体内における層の形成を示す図である。
符号の説明
10…基板、20…誘電体層、30…ポリシリコン層、31…ドーパント、32…上部、33…ドーパント原子、34…ドープされたポリシリコン層、36…活性化されたドープポリシリコン層、200…基板、202…浅いトレンチ分離体、204…誘電体層、206…ポリシリコン層、208…パターン化されたポリシリコン

Claims (33)

  1. 基板上のドープされた層をアニールするための方法において、
    ゲート酸化物層に多結晶層を堆積するステップと、
    上記多結晶層にドーパントを注入して、ドープされた多結晶層を形成するステップと、
    上記ドープされた多結晶層を急速加熱アニールに曝すステップと、
    上記ドープされた多結晶層をレーザアニールに曝すステップと、
    を備えた方法。
  2. 上記多結晶層は、シリコン、ゲルマニウム、炭素、及びその組合せより成るグループから選択された少なくとも1つの元素を備えた、請求項1に記載の方法。
  3. 上記ドーパントは、ボロン、燐、砒素、及びその組合せより成るグループから選択される、請求項2に記載の方法。
  4. 上記ドープされた多結晶層は、ドーパント密度が約1x1019原子/cm乃至約1x1021原子/cmの範囲である、請求項3に記載の方法。
  5. 上記急速加熱アニールは、約900℃乃至約1200℃の範囲の温度であり且つ約2秒乃至約20秒の範囲の時間周期中続けられる、請求項4に記載の方法。
  6. 上記レーザアニールは、約1000℃乃至約1415℃の範囲の温度で行われる、請求項4に記載の方法。
  7. 上記レーザアニールは、約500ミリ秒以内の間、続けられる、請求項6に記載の方法。
  8. 上記ドープされた多結晶層は、電気抵抗率が400オーム/cm未満である、請求項7に記載の方法。
  9. 基板上の層をアニールするための方法において、
    格子を含む多結晶層を上記基板に堆積するステップと、
    上記多結晶層に少なくとも1つのドーパント元素をドープして、ドープされた多結晶層を形成するステップと、
    上記ドープされた多結晶層をレーザでアニールして、上記少なくとも1つのドーパント元素を上記格子に合体させるステップと、
    を備えた方法。
  10. 上記多結晶層は、シリコン、ゲルマニウム、炭素、及びその組合せより成るグループから選択された少なくとも1つの元素を備えた、請求項9に記載の方法。
  11. 上記少なくとも1つのドーパント元素は、ボロン、燐、砒素、及びその組合せより成るグループから選択される、請求項10に記載の方法。
  12. 上記ドープされた多結晶層は、ドーパント密度が約1x1019原子/cm乃至約1x1021原子/cmの範囲である、請求項11に記載の方法。
  13. 上記ドープされた多結晶層は、レーザアニールの前に急速加熱アニールに曝される、請求項12に記載の方法。
  14. 上記急速加熱アニールは、約800℃乃至約1400℃の範囲の温度において約2秒乃至約20秒の範囲の時間周期で行われる、請求項13に記載の方法。
  15. 上記レーザアニールは、約1000℃乃至約1415℃の範囲の温度で行われる、請求項12に記載の方法。
  16. 上記レーザアニールは約500ミリ秒以内の間、続けられる、請求項15に記載の方法。
  17. 上記ドープされた多結晶層は、電気抵抗率が400オーム/cm未満である、請求項16に記載の方法。
  18. 基板上のドープされたシリコン層をアニールするための方法において、
    上記基板に多結晶層を堆積するステップと、
    上記多結晶層に少なくとも1つのドーパント元素をドープして、ドープされた多結晶層を形成するステップと、
    上記ドープされた多結晶層を第1温度で急速加熱アニールに露出させるステップと、
    上記ドープされた多結晶層を約1000℃乃至約1415℃の範囲の第2温度でレーザアニールに曝されるステップと、
    を備えた方法。
  19. 上記多結晶層は、シリコン、ゲルマニウム、炭素、及びその組合せより成るグループから選択された少なくとも1つの元素を備えた、請求項18に記載の方法。
  20. 上記ドーパントは、ボロン、燐、砒素、及びその組合せより成るグループから選択される、請求項19に記載の方法。
  21. 上記ドープされた多結晶層は、ドーパント密度が約1x1019原子/cm乃至約1x1021原子/cmの範囲である、請求項20に記載の方法。
  22. 上記第1温度は、約2秒乃至約20秒の範囲の時間周期中で約800℃乃至約1400℃の範囲にある、請求項21に記載の方法。
  23. 上記レーザアニールは、約500ミリ秒以内の間続けられる、請求項22に記載の方法。
  24. 上記ドープされた多結晶層は、電気抵抗率が400オーム/cm未満である、請求項23に記載の方法。
  25. 基板に層を形成する方法において、
    基板に多結晶層を堆積するステップであって、その多結晶層がドーパント元素及び格子構造体を含むようなステップと、
    上記多結晶層をレーザでアニールして、上記ドーパント元素を上記格子構造体に合体し、ドープされた多結晶層を形成するステップと、
    を備えた方法。
  26. 上記多結晶層は、シリコン、ゲルマニウム、炭素、及びその組合せより成るグループから選択された少なくとも1つの元素を備えた、請求項25に記載の方法。
  27. 上記ドーパント元素は、ボロン、燐、砒素、及びその組合せより成るグループから選択される、請求項26に記載の方法。
  28. 上記ドープされた多結晶層は、密度が約1x1019原子/cm乃至約1x1021原子/cmの範囲のドーパント元素を含む、請求項27に記載の方法。
  29. 上記多結晶層は、レーザアニールの前に急速加熱アニールに曝される、請求項28に記載の方法。
  30. 上記急速加熱アニールは、約800℃乃至約1400℃の範囲の温度において約2秒乃至約20秒の範囲の時間周期中行われる、請求項29に記載の方法。
  31. 上記レーザアニールは、約1000℃乃至約1415℃の範囲の温度で行われる、請求項30に記載の方法。
  32. 上記レーザアニールは、約100ミリ秒以内の間、続けられる、請求項31に記載の方法。
  33. 上記ドープされた多結晶層は、電気抵抗率が400オーム/cm未満である、請求項32に記載の方法。
JP2006554137A 2004-02-23 2005-02-10 半導体製造用のゲート電極ドーパント活性化方法 Expired - Fee Related JP5028093B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/784,904 2004-02-23
US10/784,904 US7078302B2 (en) 2004-02-23 2004-02-23 Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
PCT/US2005/004318 WO2005083762A1 (en) 2004-02-23 2005-02-10 Gate electrode dopant activation method for semiconductor manufacturing

Publications (2)

Publication Number Publication Date
JP2007523491A true JP2007523491A (ja) 2007-08-16
JP5028093B2 JP5028093B2 (ja) 2012-09-19

Family

ID=34861538

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006554137A Expired - Fee Related JP5028093B2 (ja) 2004-02-23 2005-02-10 半導体製造用のゲート電極ドーパント活性化方法

Country Status (6)

Country Link
US (2) US7078302B2 (ja)
EP (1) EP1719158A1 (ja)
JP (1) JP5028093B2 (ja)
KR (1) KR101118330B1 (ja)
CN (1) CN100524630C (ja)
WO (1) WO2005083762A1 (ja)

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7812423B2 (en) * 2003-08-12 2010-10-12 Massachusetts Institute Of Technology Optical device comprising crystalline semiconductor layer and reflective element
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US8536492B2 (en) * 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
US20080090309A1 (en) 2003-10-27 2008-04-17 Ranish Joseph M Controlled annealing method
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
DE102005010080B4 (de) * 2005-03-03 2008-04-03 Qimonda Ag Verfahren zum Herstellen einer Dünnschicht-Struktur
US7550381B2 (en) * 2005-07-18 2009-06-23 Applied Materials, Inc. Contact clean by remote plasma and repair of silicide surface
WO2007035660A1 (en) * 2005-09-20 2007-03-29 Applied Materials, Inc. Method to form a device on a soi substrate
KR100716904B1 (ko) * 2005-12-28 2007-05-10 동부일렉트로닉스 주식회사 반도체 장치의 보호막 및 그 제조 방법
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
JP2007243003A (ja) * 2006-03-10 2007-09-20 Oki Electric Ind Co Ltd 半導体装置の製造方法
US7795124B2 (en) 2006-06-23 2010-09-14 Applied Materials, Inc. Methods for contact resistance reduction of advanced CMOS devices
US7522968B2 (en) * 2006-07-10 2009-04-21 Applied Materials, Inc. Scheduling method for processing equipment
US20080051930A1 (en) * 2006-07-10 2008-02-28 Oh Hilario L Scheduling method for processing equipment
WO2008008727A2 (en) * 2006-07-10 2008-01-17 Applied Materials, Inc. Scheduling method for processing equipment
US20080023732A1 (en) * 2006-07-28 2008-01-31 Felch Susan B Use of carbon co-implantation with millisecond anneal to produce ultra-shallow junctions
US7601648B2 (en) * 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
WO2008039845A2 (en) 2006-09-26 2008-04-03 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
US20080115808A1 (en) * 2006-11-20 2008-05-22 Applied Materials, Inc. In-situ chamber cleaning for an rtp chamber
US7804042B2 (en) * 2007-06-18 2010-09-28 Applied Materials, Inc. Pryometer for laser annealing system compatible with amorphous carbon optical absorber layer
US7615458B2 (en) * 2007-06-19 2009-11-10 Texas Instruments Incorporated Activation of CMOS source/drain extensions by ultra-high temperature anneals
US20090117701A1 (en) * 2007-11-01 2009-05-07 Meng-Yi Wu Method for manufacturing a mos transistor
US20090120924A1 (en) * 2007-11-08 2009-05-14 Stephen Moffatt Pulse train annealing method and apparatus
US7800081B2 (en) * 2007-11-08 2010-09-21 Applied Materials, Inc. Pulse train annealing method and apparatus
US9498845B2 (en) 2007-11-08 2016-11-22 Applied Materials, Inc. Pulse train annealing method and apparatus
US7635648B2 (en) * 2008-04-10 2009-12-22 Applied Materials, Inc. Methods for fabricating dual material gate in a semiconductor device
US7947584B2 (en) * 2008-05-02 2011-05-24 Applied Materials, Inc. Suitably short wavelength light for laser annealing of silicon in DSA type systems
US7638442B2 (en) * 2008-05-09 2009-12-29 Promos Technologies, Inc. Method of forming a silicon nitride layer on a gate oxide film of a semiconductor device and annealing the nitride layer
KR20100040455A (ko) * 2008-10-10 2010-04-20 주식회사 동부하이텍 반도체 소자의 제조 방법
CN101783298B (zh) * 2009-01-21 2012-11-14 中国科学院微电子研究所 抑制高k栅介质/金属栅结构界面层生长的方法
US8329525B2 (en) * 2010-10-04 2012-12-11 Stmicroelectronics, Inc. Method for fabricating at least three metal-oxide semiconductor transistors having different threshold voltages
US20130137244A1 (en) * 2011-05-26 2013-05-30 Solexel, Inc. Method and apparatus for reconditioning a carrier wafer for reuse
US9085045B2 (en) 2011-11-04 2015-07-21 Tokyo Electron Limited Method and system for controlling a spike anneal process
US8927423B2 (en) 2011-12-16 2015-01-06 Applied Materials, Inc. Methods for annealing a contact metal layer to form a metal silicidation layer
US8586479B2 (en) 2012-01-23 2013-11-19 Applied Materials, Inc. Methods for forming a contact metal layer in semiconductor devices
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
CN102637581A (zh) * 2012-04-06 2012-08-15 上海华力微电子有限公司 一种防止硼掺杂层释气的方法
KR102014934B1 (ko) * 2012-12-28 2019-08-28 에스케이하이닉스 주식회사 Cmos 회로 및 그 제조 방법
US9012315B2 (en) * 2013-08-09 2015-04-21 Taiwan Semiconductor Manufacturing Company Limited Methods and systems for dopant activation using microwave radiation
US9401274B2 (en) 2013-08-09 2016-07-26 Taiwan Semiconductor Manufacturing Company Limited Methods and systems for dopant activation using microwave radiation
CN105518827B (zh) 2013-09-27 2019-06-14 应用材料公司 实现无缝钴间隙填充的方法
CN105762067A (zh) * 2014-12-16 2016-07-13 中芯国际集成电路制造(上海)有限公司 栅极的制作方法及半导体器件
US9859121B2 (en) 2015-06-29 2018-01-02 International Business Machines Corporation Multiple nanosecond laser pulse anneal processes and resultant semiconductor structure
KR102427152B1 (ko) 2016-12-12 2022-07-28 어플라이드 머티어리얼스, 인코포레이티드 핀 전계 효과 트랜지스터(finfet) 디바이스 위에 등각성의 에피택셜 반도체 클래딩 재료를 형성하는 방법
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102396319B1 (ko) 2017-11-11 2022-05-09 마이크로머티어리얼즈 엘엘씨 고압 프로세싱 챔버를 위한 가스 전달 시스템
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
JP7239598B2 (ja) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
CN108922921B (zh) * 2018-07-23 2020-06-19 长江存储科技有限责任公司 三维存储器、mos场效应晶体管及其制作方法
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
JP7294858B2 (ja) * 2019-04-09 2023-06-20 株式会社Screenホールディングス 熱処理方法および熱処理装置
KR20200121941A (ko) 2019-04-16 2020-10-27 삼성디스플레이 주식회사 표시 패널 및 표시 패널의 제조 방법
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
CN111933696B (zh) * 2020-10-14 2020-12-25 南京晶驱集成电路有限公司 半导体器件的制备方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0294519A (ja) * 1988-09-30 1990-04-05 Sony Corp 半導体装置の製造方法
US5966605A (en) * 1997-11-07 1999-10-12 Advanced Micro Devices, Inc. Reduction of poly depletion in semiconductor integrated circuits
US6100171A (en) * 1998-03-03 2000-08-08 Advanced Micro Devices, Inc. Reduction of boron penetration by laser anneal removal of fluorine
JP2003110103A (ja) * 2001-07-31 2003-04-11 Internatl Business Mach Corp <Ibm> 高誘電率材料上のその場でドープされたゲートの活性化
JP2003528462A (ja) * 2000-03-17 2003-09-24 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド レーザーアニーリングおよび急速熱アニーリングにより極めて浅い接合を形成する方法

Family Cites Families (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5898917A (ja) 1981-12-09 1983-06-13 Seiko Epson Corp 原子層エビタキシヤル装置
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
JPS62171999A (ja) 1986-01-27 1987-07-28 Nippon Telegr & Teleph Corp <Ntt> 3−v族化合物半導体のエピタキシヤル結晶成長方法
JPS6362313A (ja) 1986-09-03 1988-03-18 Fujitsu Ltd 半導体装置の製造方法
JPH0639357B2 (ja) 1986-09-08 1994-05-25 新技術開発事業団 元素半導体単結晶薄膜の成長方法
US5607511A (en) 1992-02-21 1997-03-04 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
JPH01270593A (ja) 1988-04-21 1989-10-27 Fujitsu Ltd 化合物半導体層形成方法
US5112439A (en) 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
JPH02172895A (ja) 1988-12-22 1990-07-04 Nec Corp 半導体の結晶成長方法
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
EP0413982B1 (en) 1989-07-27 1997-05-14 Junichi Nishizawa Impurity doping method with adsorbed diffusion source
JPH03286522A (ja) 1990-04-03 1991-12-17 Nec Corp Siの結晶成長方法
JPH0547665A (ja) 1991-08-12 1993-02-26 Fujitsu Ltd 気相成長方法
JP2828152B2 (ja) 1991-08-13 1998-11-25 富士通 株式会社 薄膜形成方法、多層構造膜及びシリコン薄膜トランジスタの形成方法
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
JP3211394B2 (ja) 1992-08-13 2001-09-25 ソニー株式会社 半導体装置の製造方法
JPH0750690B2 (ja) 1992-08-21 1995-05-31 日本電気株式会社 ハロゲン化物を用いる半導体結晶のエピタキシャル成長方法とその装置
US5273930A (en) 1992-09-03 1993-12-28 Motorola, Inc. Method of forming a non-selective silicon-germanium epitaxial film
US5372860A (en) 1993-07-06 1994-12-13 Corning Incorporated Silicon device production
JPH07109573A (ja) 1993-10-12 1995-04-25 Semiconductor Energy Lab Co Ltd ガラス基板および加熱処理方法
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
AUPO347196A0 (en) 1996-11-06 1996-12-05 Pacific Solar Pty Limited Improved method of forming polycrystalline-silicon films on glass
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US6335280B1 (en) 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
US5908307A (en) 1997-01-31 1999-06-01 Ultratech Stepper, Inc. Fabrication method for reduced-dimension FET devices
US6118216A (en) 1997-06-02 2000-09-12 Osram Sylvania Inc. Lead and arsenic free borosilicate glass and lamp containing same
US6042654A (en) 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
US6514880B2 (en) 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6159852A (en) 1998-02-13 2000-12-12 Micron Technology, Inc. Method of depositing polysilicon, method of fabricating a field effect transistor, method of forming a contact to a substrate, method of forming a capacitor
US6797558B2 (en) 2001-04-24 2004-09-28 Micron Technology, Inc. Methods of forming a capacitor with substantially selective deposite of polysilicon on a substantially crystalline capacitor dielectric layer
US6232196B1 (en) 1998-03-06 2001-05-15 Asm America, Inc. Method of depositing silicon with high step coverage
JP4214585B2 (ja) 1998-04-24 2009-01-28 富士ゼロックス株式会社 半導体デバイス、半導体デバイスの製造方法及び製造装置
US6025627A (en) 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
US6037235A (en) 1998-09-14 2000-03-14 Applied Materials, Inc. Hydrogen anneal for curing defects of silicon/nitride interfaces of semiconductor devices
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
KR20010017820A (ko) 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
US6489241B1 (en) 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
SG99871A1 (en) 1999-10-25 2003-11-27 Motorola Inc Method for fabricating a semiconductor structure including a metal oxide interface with silicon
WO2001041544A2 (en) 1999-12-11 2001-06-14 Asm America, Inc. Deposition of gate stacks including silicon germanium layers
US6291319B1 (en) 1999-12-17 2001-09-18 Motorola, Inc. Method for fabricating a semiconductor structure having a stable crystalline interface with silicon
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
EP1123991A3 (en) 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
US6645838B1 (en) 2000-04-10 2003-11-11 Ultratech Stepper, Inc. Selective absorption process for forming an activated doped region in a semiconductor
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
US6635588B1 (en) 2000-06-12 2003-10-21 Ultratech Stepper, Inc. Method for laser thermal processing using thermally induced reflectivity switch
US6303476B1 (en) 2000-06-12 2001-10-16 Ultratech Stepper, Inc. Thermally induced reflectivity switch for laser thermal processing
JP2002198525A (ja) * 2000-12-27 2002-07-12 Toshiba Corp 半導体装置及びその製造方法
KR100393208B1 (ko) 2001-01-15 2003-07-31 삼성전자주식회사 도핑된 다결정 실리콘-저매니움막을 이용한 반도체 소자및 그 제조방법
US6528374B2 (en) 2001-02-05 2003-03-04 International Business Machines Corporation Method for forming dielectric stack without interfacial layer
EP1421607A2 (en) 2001-02-12 2004-05-26 ASM America, Inc. Improved process for deposition of semiconductor films
US7026219B2 (en) 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
KR20040008193A (ko) 2001-05-30 2004-01-28 에이에스엠 아메리카, 인코포레이티드 저온 로딩 및 소성
US6777317B2 (en) 2001-08-29 2004-08-17 Ultratech Stepper, Inc. Method for semiconductor gate doping
US7439191B2 (en) 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6784101B1 (en) * 2002-05-16 2004-08-31 Advanced Micro Devices Inc Formation of high-k gate dielectric layers for MOS devices fabricated on strained lattice semiconductor substrates with minimized stress relaxation
JP3699946B2 (ja) * 2002-07-25 2005-09-28 株式会社東芝 半導体装置の製造方法
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US6821868B2 (en) * 2002-12-27 2004-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming nitrogen enriched gate dielectric with low effective oxide thickness
US6998305B2 (en) * 2003-01-24 2006-02-14 Asm America, Inc. Enhanced selectivity for epitaxial deposition
US20040226911A1 (en) 2003-04-24 2004-11-18 David Dutton Low-temperature etching environment
US6982433B2 (en) 2003-06-12 2006-01-03 Intel Corporation Gate-induced strain for MOS performance improvement
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7045432B2 (en) * 2004-02-04 2006-05-16 Freescale Semiconductor, Inc. Method for forming a semiconductor device with local semiconductor-on-insulator (SOI)

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0294519A (ja) * 1988-09-30 1990-04-05 Sony Corp 半導体装置の製造方法
US5966605A (en) * 1997-11-07 1999-10-12 Advanced Micro Devices, Inc. Reduction of poly depletion in semiconductor integrated circuits
US6100171A (en) * 1998-03-03 2000-08-08 Advanced Micro Devices, Inc. Reduction of boron penetration by laser anneal removal of fluorine
JP2003528462A (ja) * 2000-03-17 2003-09-24 バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド レーザーアニーリングおよび急速熱アニーリングにより極めて浅い接合を形成する方法
JP2003110103A (ja) * 2001-07-31 2003-04-11 Internatl Business Mach Corp <Ibm> 高誘電率材料上のその場でドープされたゲートの活性化

Also Published As

Publication number Publication date
KR20070020426A (ko) 2007-02-21
EP1719158A1 (en) 2006-11-08
US7611976B2 (en) 2009-11-03
KR101118330B1 (ko) 2012-03-12
US20060286763A1 (en) 2006-12-21
WO2005083762A1 (en) 2005-09-09
US20050186765A1 (en) 2005-08-25
JP5028093B2 (ja) 2012-09-19
CN100524630C (zh) 2009-08-05
CN1922717A (zh) 2007-02-28
US7078302B2 (en) 2006-07-18

Similar Documents

Publication Publication Date Title
JP5028093B2 (ja) 半導体製造用のゲート電極ドーパント活性化方法
US7888747B2 (en) Semiconductor device and method of fabricating the same
US6952040B2 (en) Transistor structure and method of fabrication
JP3600399B2 (ja) コバルトシリサイドの薄い層が形成されるデバイスの作製プロセス
JP2978736B2 (ja) 半導体装置の製造方法
US20080023732A1 (en) Use of carbon co-implantation with millisecond anneal to produce ultra-shallow junctions
JP4796771B2 (ja) 半導体デバイス
US5967794A (en) Method for fabricating a field effect transistor having elevated source/drain regions
US7985985B2 (en) Semiconductor device and method of fabricating the same
JP2001024194A (ja) 半導体装置の製造方法及び半導体装置
JP2877104B2 (ja) 半導体装置の製造方法
US20130032897A1 (en) Mosfet gate electrode employing arsenic-doped silicon-germanium alloy layer
CN100382316C (zh) 具有高熔点金属栅的半导体器件及其制造方法
US6677201B1 (en) Method of fabricating thermal CVD oxynitride and BTBAS nitride sidewall spacer for metal oxide semiconductor transistors
JP4664557B2 (ja) 半導体装置の製造方法
US7208409B2 (en) Integrated circuit metal silicide method
JP2004165470A (ja) 半導体装置及びその製造方法
US20020068407A1 (en) MOS transistor fabrication method
US20060197120A1 (en) Gate electrode for semiconductor devices
US20080054370A1 (en) Semiconductor device and method of fabricating the same
JP2001210820A (ja) 半導体装置およびその製造方法
KR20040037847A (ko) 반도체소자의 제조방법
JPH1116855A (ja) 半導体装置及びその製造方法
JPH09260658A (ja) 半導体装置及びその製造方法
KR20020045263A (ko) 반도체 소자의 트랜지스터 제조 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20071219

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110307

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110329

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110628

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110705

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110727

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111101

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120130

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120529

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120625

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150629

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees