KR20070020426A - 반도체 제조를 위한 게이트 전극 도펀트 활성화 방법 - Google Patents

반도체 제조를 위한 게이트 전극 도펀트 활성화 방법 Download PDF

Info

Publication number
KR20070020426A
KR20070020426A KR1020067019046A KR20067019046A KR20070020426A KR 20070020426 A KR20070020426 A KR 20070020426A KR 1020067019046 A KR1020067019046 A KR 1020067019046A KR 20067019046 A KR20067019046 A KR 20067019046A KR 20070020426 A KR20070020426 A KR 20070020426A
Authority
KR
South Korea
Prior art keywords
polycrystalline layer
layer
annealing
doped
dopant
Prior art date
Application number
KR1020067019046A
Other languages
English (en)
Other versions
KR101118330B1 (ko
Inventor
와이 마
칼레드 제트. 아하메드
케빈 엘. 컨닝햄
로버트 씨. 맥킨토쉬
아브힐아쉬 제이. 마유르
하이판 리앙
마크 얌
토이 유 벡키 리엉
크리스토퍼 올젠
슈린 왕
마제드 포드
개리 유진 마이너
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20070020426A publication Critical patent/KR20070020426A/ko
Application granted granted Critical
Publication of KR101118330B1 publication Critical patent/KR101118330B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Electromagnetism (AREA)
  • Optics & Photonics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

일 실시예에서, 본 발명은 개괄적으로 기판에 증착된 도핑된 층을 어닐링하는 방법을 제공한다. 본 방법은 게이트 산화물층과 같은 기판 표면상에 다결정층을 증착하는 단계 및 도핑된 다결정층을 형성하기 위하여 도펀트를 이용하여 다결정층을 주입하는 단계를 포함한다. 본 방법은 다결정층을 통하여 즉시 도펀트를 분포시키기 위하여 도핑된 다결정층을 급속 열 어닐링에 노출하는 단계를 더 포함한다. 그 후, 본 방법은 다결정층의 상부에 도펀트를 활성화시키기 위하여 도핑된 다결정층을 레이저 어닐링에 노출하는 단계를 포함한다. 레이저 어닐링은 도펀트, 원자들을 다결정 재료의 결정 격자로 통합시킨다.

Description

반도체 제조를 위한 게이트 전극 도펀트 활성화 방법{GATE ELECTRODE DOPANT ACTIVATION METHOD FOR SEMICONDUCTOR MANUFACTURING}
본 발명의 실시예들은 일반적으로 반도체 제조 공정 분야에 관련된 것으로서, 보다 상세하게는, 게이트 전극들과 같은 반도체 소자들을 형성하는 실리콘 함유 박막들 내에 도펀트 활성화를 위한 방법이다.
점점 작은 크기의 트랜지스터들이 제조됨에 따라, 소자의 성능을 향상시키기 위하여 더욱 얇은 게이트 유전체 재료가 요구되고 있다. 그러나, 캐리어 고갈(carrier depletion)은 보론으로 도핑된 p 타입 폴리실리콘 또는 비소 및/또는 인으로 도핑된 n-타입 폴리실리콘과 같은 게이트 전극 재료의 반전 산화물 두께가 약 4Å이 되게 한다. 폴리 고갈(poly-depletion)을 감소시키는 것은 소자 성능을 유지시키는데 결정적이게 되었다. 종래의 공정들은 열적 예산 제한을 가지는 급속 열 어닐링(RTA: rapid thermal annealing) 공정을 포함한다. 예를 들어, 보론은 게이트 유전체 재료에 침투하여 소자 성능 및 신뢰성을 낮추기 때문에, 1,050℃ 이상의 온도는 바람직하지 않다.
100nm 이하의 CMOS(complementary metal-oxide semiconductor) 소자를 위해 30nm 이하의 접합 깊이(junction depth)가 요구됨에 따라 극도로 얕은 소스/드레인 접합부들은 생산하기가 더욱 어려워지고 있다. 열 포스트-어닐링은 향상된 도펀트 확산을 야기하기 때문에, 열 포스트-어닐링(thermal post-annealing)을 수반하는 이온 주입에 의한 종래의 도핑은 접합 깊이가 10nm의 사이즈에 접근함에 따라 덜 효과적이게 된다. 도펀트 확산은 인접한 층들을 오염시키고 소자의 불량을 초래할 수 있다.
도펀트 확산을 야기하지 않고 폴리실리콘 게이트 전극을 활성화하는 것은 생산라인 초기(FEOL: front end of line)에 있어 중요한 과제이다. 향상된 도펀트 활성화와 총체적인 도펀트 확산 사이에는 엄격한 밸런스가 존재한다. 총체적인 활성화 어닐링은 높은 캐리어 농도를 야기할 수 있으나, 도펀트는 게이트 유전층 또는 심지어 채널 영역으로까지 확산될 수 있다. 소자 제조업자들이 폴리 고갈을 극복하기 위하여 노력함에 따라 이러한 밸런스를 유지하는 것이 점점 어려워지고 있다. 폴리 고갈은 폴리실리콘층의 반전 영역 내에서 활성화된 도펀트들의 감소이다. 게이트 길이 및 게이트 유전체 두께들이 더 작아짐에 따라, 폴리-고갈은 Tox-inv(캐리어 농도/폴리 고갈)의 양을 증가시키는 원인이 된다. 130nm 및 90nm의 기판 피쳐들에 대하여, 급속 열 처리(RTP: rapid thermal processing) 및 스파이크 어닐링(spike annealing)과 같은 종래 열 공정들은 주요한 도펀트 활성화 방법들이다. 결과적인 폴리 고갈은 4-5Å의 반전 산화물 두께에 기여한다. 폴리 고갈의 1Å의 추가적인 감소는 65nm 크기의 기판 피쳐에 필수적이다. 폴리 고갈이 Å 감소할 때마다 약 3%의 구동 전류 이득이 기대된다. 종래의 열 공정들은 도펀트 확산을 일으키지 않고서는 이러한 작은 기판 피쳐들을 어닐링하는 것이 불가능하다. 또한, 도펀트 침투 및 열적으로 민감한 높은 k 재료들의 사용을 방지하는 것은 낮은 열적 예산의 활성화 어닐링을 필요로 한다.
도펀트 확산을 유도하지 않고도 높은 도펀트 활성화를 달성할 수 있는 레이저 어닐링은, 65nm 피쳐들에서의 사용을 위해 폴리 고갈에 대한 요구조건을 충족시키기 위해 개발되어왔다. 레이저 어닐링 기술은 몇 밀리초 이내에 실리콘의 녹는 점에 가까운 전이 온도(transient temperature)를 생성하여, 적은 도펀트 확산으로 높은 도펀트 활성화를 초래한다. 이것은 보론이 인 및 비소보다 빠르게 확산되기 때문에, 보론 활성화와 같은 공정으로 얻어지는 특별한 장점이다. 그러나, 실리콘을 녹이는 레이저 어닐링 온도는 소자 산출 손실을 초래할 수 있는 다결정 그레인 크기 성장을 야기하는 것으로 나타났다.
따라서, 피쳐 내의 다결정층들을 도핑하고, 이어서 최소의 도펀트 확산 또는 도펀트 확산없이 도핑된 다결정을 어닐링하고 활성화하는 프로세스가 필요하다.
일 실시예에서, 본 발명은 통상적으로 다결정층을 게이트 산화물층에 증착하는 단계, 도핑된 다결정층을 형성하기 위하여 도펀트를 다결정층에 주입하는 단계, 도핑된 다결정층을 급속 열 어닐링에 노출하는 단계 및 도핑된 다결정층을 레이저 어닐링에 노출하는 단계를 포함하는, 도핑된 층을 기판상에 어닐링하는 방법을 제공한다.
다른 실시예에서, 본 발명은 통상적으로 격자를 포함하는 다결정 층을 기판에 증착하는 단계, 도핑된 다결정 층을 형성하기 위하여 적어도 하나의 도펀트 원소를 이용하여 다결정층에 도핑하는 단계 및 격자에 적어도 하나의 도펀트 원소를 통합시키기 위하여 레이저를 이용하여 도핑된 다결정 층을 어닐링하는 단계를 포함하는, 기판에 층을 어닐링하는 방법을 제공한다.
다른 실시예에서, 본 발명은 전반적으로 다결정 층을 기판에 증착하는 단계, 도핑된 다결정 층을 형성하기 위하여 적어도 하나의 도펀트 원소를 다결정 층에 도핑하는 단계, 도핑된 다결정 층을 제1 온도에서 급속 열 어닐링에 노출하는 단계 및 도핑된 다결정 층을 약 1,000℃내지 약 1,415℃까지의 제2 온도에서 레이저 어닐링에 노출하는 단계를 포함하는, 도핑된 실리콘층을 기판에 어닐링하는 방법을 제공한다.
다른 실시예에서, 본 발명은 전반적으로 다결정 층을 기판에 증착하는 단계를 포함하는 기판에 층을 형성하는 방법을 제공한다. 다결정 층은 도펀트 원소 및 격자 구조를 포함한다. 본 방법은 도핑된 다결정 층을 형성하는 격자 구조에 도펀트 원소를 통합시키기 위하여 레이저를 이용하여 다결정 층을 어닐링하는 단계를 더 포함한다.
위에서 인용된 본 발명의 피쳐들이 상세하게 이해될 수 있도록 하기 위하여, 위에서 간략히 요약된 본 발명에 대한 더욱 상세한 설명은 실시예들을 참조할 수 있으며, 그 일부는 첨부된 도면들에 도시된다. 그러나, 첨부된 도면들은 본 발명의 전형적인 실시예들만을 도시할 뿐, 그것의 원칙을 제한하기 위함이 아니며, 본 발명에 대하여 다른 동일한 효과적인 실시예들도 가능함을 유의하라.
도 1a-1e는 게이트 스택 구조 내에서 층들의 단계적 형성을 도시하고.
도 2는 게이트 스택 내에서 도핑된 다결정층을 증착하는 과정을 도시하는 흐름도이며,
도 3a-3c는 게이트 스택 구조 내에서 층들의 형성을 도시한다.
본 발명의 실시예들은 실리콘 이산화물, 실리콘 옥시질화물 같은 유전체 재료 또는 높은 유전 상수의 재료상에 도핑된 다결정 실리콘층을 형성하는 방법을 제공한다. 일반적으로, 다결정층은 이온 주입에 의하여 도핑되고, 급속 열 어닐링(RTA) 공정 등을 이용하여 열적으로 어닐링되며, 이어서 동적 표면 어닐링(DSA: dynamic surface anneal) 공정에 의하여 도펀트들을 활성화시키기 위하여 레이저 어닐링된다.
도 1a-1e는 본 발명의 일실시예에서 개시된 공정들을 통한 게이트 스택 구조 공정의 단면도를 도시한다. 도 1a는 반도체 공정들에서 사용되는 실리콘 기판과 같은 기판(10)상에 배치된 유전체층(20)을 도시한다. 일 실시예에서, 기판(10)은 약 15Ω-cm 내지 약 20Ω-cm 범위의 저항률로 보론이 도핑된 300mm의 p 타입 실리콘 기판일 수 있고, 일반적으로 유전체층(20)의 증착에 앞서 종래의 예비 게이트 클리닝(pre-gate clean)을 이용하여 예비 클리닝된다.
유전체층(20)은 급속 열 산화(RTO), 화학적 기상 증착(CVD), 플라즈마 강화 화학적 기상 증착(PE-CVD), 물리적 기상 증착(PVD), 원자 층 증착(ALD), 원자 층 에피택시(ALE) 또는 이들의 조합과 같은 다양한 증착 공정들에 의하여 기판(10)에 증착될 수 있다. 바람직하게는, SiO2, SiOxNy와 같은 유전체 재료는 RTO 공정에 의하여 기판(20)상에 성장된다. 유전체층으로서 적합한 재료들은 실리콘 산화물, 실리콘 질화물, 실리콘 옥시질화물, 하프늄 산화물, 하프늄 규산염, 알루미늄 산화물, 알루미늄 규산염, 지르코늄 산화물, 지르코늄 규산염, 이들의 유도체 및 이들의 조합을 포함할 수 있다. 일반적으로, 유전체층(20)은 약 1Å내지 약 150Å 범위의, 바람직하게는 약 5Å내지 약 50Å 범위의 두께로 증착된다.
몇몇 실시예들에서, 유전체 재료는 질소 산화물(NO) 또는 아질산 산화물(N2O)에서의 분리 플라즈마 질화(DPN: decoupled plasma nitridation) 또는 열 질화 등을 이용하여 질화될 수 있다. 포스트 질화 어닐링은 산화물에 질소를 더욱 강력하게 접착하고, 유전체층(20)과 기판(10) 사이의 인터페이스를 개선하기 위하여 수행된다. 예를 들어, 실리콘 산화물은 약 1×1014atoms/cm2내지 약 1×1016atoms/cm2 범위 내의, 예컨대 약 1×1015atoms/cm2의, 질소 농도를 이용하여 실리콘 옥시질화물을 형성하기 위한 DPN 공정에 따른 RTO 공정에 의하여 기판(10)상에 성장될 수 있다. 다른 질화된 유전체 재료들은 알루미늄 옥시질화물, 질화된 하프늄 규산염, 하프늄 옥시질화물 및 지르코늄 옥시질화물을 포함한다.
도 2에서, 흐름도는 도 1b에 도시된 바와 같이 다결정 실리콘과 같은 폴리실리콘층(30)을 유전체층(20)상에 증착하기 위한 단계(110)를 포함하는 공정(100)을 도시한다. 폴리실리콘층(30)은 통상적으로 화학적 기상 증착(CVD), 급속 열 CVD(RT-CVD), 플라즈마 강화 CVD(PE-CVD), 물리적 기상 증착(PVD), 원자 층 증착(ALD), 원자 층 에피택시(ALE) 또는 이들의 조합에 의하여 증착된다. 폴리실리콘층(30)은 약 650℃내지 약 800℃ 범위, 바람직하게는 약 700℃내지 약 750℃ 사이의 온도에서 급속 열 CVD 공정을 이용하여 증착되는 것이 바람직하다. RT-CVD 공정 중에, 폴리실리콘층(30)의 그레인 크기의 변동을 유도하기 위하여 온도가 변동될 수 있다. 예를 들어, 평균적인 폴리실리콘 그레인 크기는 710℃보다 큰 720℃일 때, 약 50Å 이상 클 수 있다. 일반적으로, 폴리실리콘층(30)은 약 100Å내지 약 10,000Å 범위, 바람직하게는 약 500Å내지 약 2,500Å 범위, 보다 바람직하게는 약 750Å내지 약 1500Å 범위의 두께로 증착된다. 이중층 폴리실리콘은 또한 RT-CVD 공정을 이용하여 증착될 수 있다. 폴리실리콘층(30)은 일반적으로 다결정 실리콘이지만, 게르마늄 및/또는 탄소와 같은 다른 원소들을 포함할 수 있다. 따라서, 폴리실리콘층(30)은 Si, SiGe, SiC 또는 SiGeC를 포함할 수 있다. 몇몇 실시예에서, 폴리실리콘층은 얇은 지름을 갖는 원주형의 구조 또는 하부의 마이크로 그레인과 상부의 원주형 층을 포함하는 이중층 구조의 조합을 가질 수 있다.
유전체층들 및/또는 폴리실리콘층들을 증착하기 위해 사용될 수 있는 하드웨어는 캘리포니아 산타 클라라에 위치한 Applied Materials, Inc.에 의해 제공가능한 Epi Centura® 및 PolyGen® 시스템을 포함한다. 산화물들의 성장에 유용한 RT-CVD 챔버는 캘리포니아 산타 클라라에 위치한 Applied Materials, Inc.에 의해 제공가능한 Radiance® 시스템이다. 높은 k 층들 및/또는 폴리실리콘층들을 증착하기 위해 사용될 수 있는 ALD 장치는 공동으로 양도된 미국특허 공개번호 제20030079686호에 개시되어 있으며, 이는 본 발명을 설명하기 위한 목적으로 본 명세서에 참조된다. 종래 본 발명이 속하는 기술 분야에 알려진 바와 같이, 다른 장치들은 배치(batch), 고온 퍼니스(high-temperature furnace)를 포함한다.
단계(120)는 원소성 도펀트들(31)로 폴리실리콘층(30)을 도핑하는 단계를 포함한다. 도 1c는 폴리실리콘층(30)의 상부(32)에서 원소성 도펀트들(31)을 도시한다. 원소성 도펀트들은 대략 단일 원자 층으로부터 약 150Å 범위, 바람직하게는 약 70Å의 깊이에서 폴리실리콘층(30)의 상부(32)를 관통한다. 원소성 도펀트들은 보론, 비소, 인, 갈륨, 안티몬, 인듐 또는 이들의 조합물을 포함할 수 있다. 원소성 도펀트들은 폴리실리콘층(30)에서 약 1×1019atoms/cm3내지 약 1×1021atoms/cm3 범위의 농도를 갖는다. 일 실시예에서, 폴리실리콘층(30)은 약 1×1019atoms/cm3내지 약 1×1021atoms/cm3, 바람직하게는 약 1×1020atoms/cm3내지 약 5×1020atoms/cm3 범위에서의 농도로 보론의 이온 주입에 의해 p 타입으로 도핑된다. 다른 실시예에서, 폴리실리콘층(30)은 약 1×1019atoms/cm3내지 약 1×1021atoms/cm3, 바람직하게는 약 1×1020atoms/cm3내지 약 5×1020atoms/cm3 범위에서의 농도로 인의 이온 주입에 의해 n+ 타입으로 도핑된다. 다른 실시예에서, 폴리실리콘층(30)은 약 1×1015atoms/cm3내지 약 1×1019atoms/cm3 범위에서의 농도로 비소 또는 인의 확산에 의 하여 n- 타입으로 도핑된다.
공동으로 양도된 미국특허 제6,583,018호에 개시되어 있는 바와 같이 도펀트들은 이온 주입 공정을 이용하여 주입될 수 있고, 상기 문헌은 본 장치를 설명하기 위한 목적으로 본 명세서에 참조된다. 본 발명의 실시예들에 유용한 이온 주입 장치는 약 5KeV 이하, 바람직하게는 약 3 KeV 이하의, 매우 낮은 주입 에너지를 이용하여 이온을 주입하는 것이 가능하다. 본 발명의 실시예들에서 유용한 두 이온 주입 장치들은 캘리포니아 산타 클라라에 위치한 Applied Materials, Inc.에 의해 제공가능한 Quantum Ⅲ® 시스템 및 임플란트 xR LEAP® 시스템으로 제조되고 판매된다. 보론은 약 3KeV의 설정 에너지 및 약 1×1015atoms/cm2내지 약 1×1015atoms/cm2 범위에서의 설정 도즈량(dose)을 이용하여 주입될 수 있다. 일 실시예에서, 보론은 약 4×1015atoms/cm2에서 주입된다. 다른 실시예에서, 보론은 약 8×1015atoms/cm2에서 주입된다.
단계(130) 중에, 기판은 열 어닐링 공정에 노출되어, 상부(32)로부터의 원소성 도펀트(31)가 폴리실리콘층(30)을 통해 확산 분포되어 도핑된 폴리실리콘층(34)을 형성한다. 바람직한 어닐링 공정은 약 2초 내지 약 20초, 바람직하게는 약 5초 내지 10초의 범위 내에서 지속되는 급속 열 어닐링(RTA) 공정이다. RTA 공정 동안, 기판은 약 800℃ 내지 약 1400℃, 바람직하게는 약 1,000℃ 내지 약 1,200℃ 범위의 온도로 가열된다. RTA 공정의 일 실시예에서, 기판은 약 5초간 약 1,000℃ 로 가열된다. RTA 공정 동안의 온도와 시간의 정확한 조합은 원소성 도펀트들(31)이 도 1d에 도시된 바와 같이, 소자내의 인접한 피처들을 오염시키지 않고 폴리실리콘층(30)을 통하여 분포되게 한다. 본 명세서에서 설명되는 RTA 공정 중에 사용되는 공정 챔버는 캘리포니아 산타 클라라에 위치한 Applied Materials, Inc.에 의해 제공가능한 Centura RTP®이다.
단계(140) 중에, 도핑된 폴리실리콘층(34)은 동적 표면 어닐링(DSA) 공정에 의하여 레이저 어닐링된다. 원소성 도펀트들(31) 및 도핑된 폴리실리콘층(34)내의 실리콘은 도 1e에 도시된 바와 같이 DSA 공정 동안에 활성화된 도핑된 폴리실리콘층(36)을 형성하기 위하여 활성화된다. 폴리실리콘층의 결정 격자 내의 원자 사이트들은 도펀트 원자들(33)로 교체된다. 따라서, 결정 격자, 일반적인 실리콘은 보론, 비소, 인 또는 본 명세서에 개시된 다른 도펀트들과 같이 주입되는 도펀트 원소들(33)을 개방시켜 통합시킨다.
도핑된 폴리실리콘층(34)은 DSA 공정 동안 실제로는 액체로 변하지 않지만 거의 녹는 점 가까이 가열된다. 도핑된 폴리실리콘층(34)은 약 1,000℃ 내지 약 1,415℃ 범위, 바람직하게는 약 1,050℃ 내지 약 1,400℃ 범위의 온도로 가열된다. 도펀트 확산은 피쳐 내에서 다른 재료들의 오염을 야기하기 쉽기 때문에, 다결정 실리콘의 녹는 점 이상의 온도(약 1,415℃)는 바람직하지 않다. 층은 DSA 공정 중에 약 500밀리초 미만, 바람직하게는 100밀리초 미만 동안 기판에 노출될 수 있다. DSA 공정은 캘리포니아 산타 클라라에 위치한 Applied Materials, Inc.에 의해 제 공가능한 DSA 플랫폼상에 수행될 수 있다. 일반적으로 레이저는 10.6㎛ 또는 0.88㎛의 선택 파장을 가지는 빛을 방출한다.
도 3a-3c는 게이트 스택 구조를 형성하기 위하여 본 명세서의 일 실시예에서 도시된 폴리실리콘 재료의 증착을 도시한다. 유전체층(204)은 도 3a의 횡단면도에 도시된 바와 같이 기판(200)상에 증착된다. 도시되진 않았지만, 기판(200)은 도핑된 영역들을 포함하는 다양한 피처들을 포함할 수 있다. 유전체층(204)은 기판(200)상에 증착된다. 기판(200)은 쉘로우 트렌치 절연부(STIs: shallow trench isolations)(202)를 더 포함한다. 일반적으로 STI들(202)은 기판(200)속으로 에칭된 트렌치들의 측벽을 산화시키고, 그 후 고밀도 플라즈마 CVD 산화물로 트렌치들을 채움으로써 형성된다.
도 3b는 유전체층(204) 및 STI(202)상에 증착된 폴리실리콘층(206)을 도시한다. 폴리실리콘층(206)은 화학 기상 증착(CVD), 급속 열 CVD(RT-CVD), 플라즈마 강화 CVD(PE-CVD), 물리적 기상 증착(PVD), 원자 층 증착(ALD) 또는 이들의 조합에 의하여 증착될 수 있다. 일 실시예에서, 폴리실리콘층(206)은 약 650℃ 내지 약 800℃의 범위, 바람직하게는 약 700℃ 내지 약 750℃ 범위의 온도에서 RT-CVD 공정을 이용하여 증착된다. 일반적으로 폴리실리콘층(206)은 약 100Å 내지 약 10,000Å 범위, 바람직하게는 약 500Å 내지 약 2,500Å 범위, 더 바람직하게는 약 750Å 내지 약 1,500Å 범위의 두께로 증착된다. 일반적으로 폴리실리콘층(206)은 다결정 실리콘이지만, 게르마늄 및/또는 탄소와 같은 다른 원소들을 포함할 수도 있다. 그러므로, 폴리실리콘층(206)은 Si, SiGe, SiC 또는 SiGeC를 포함할 수 있다.
도 3c에 도시된 바와 같이, 폴리실리콘층(206)은 패터닝된 폴리실리콘(208)을 형성하기 위하여 패터닝 및 에칭된다. 폴리실리콘층(206)은 에칭되기에 앞서 도핑될 수 있으나, 일반적으로 패터닝된 폴리실리콘(208)이 형성된 후 도핑된다. 패터닝된 폴리실리콘(208)은 도핑, 어닐링되고, 및/또는 오프셋(offset) 스페이서(미도시)와 같이 그 상부에 더 많은 층들이 증착될 수 있다. 일 실시예에서, 패터닝된 폴리실리콘은 오프셋 스페이서의 증착을 이용하여 캡슐화되고, 그 후 주입된 도펀트들을 활성화시키기 위하여 RTA 어닐링 공정에 노출되며 DSA 공정에 노출되는 이온 주입 공정으로 도핑될 수 있다.
실험
도핑된 다결정 실리콘 게이트 전극을 시뮬레이션하기 위하여, 표 1에 도시된 바와 같이, 다결정 실리콘은 실리콘 옥시질화물 게이트 유전체층을 포함하는 8개의 기판(기판 A-H)상에 증착된다. 기판들은 15-20Ω-cm의 저항률을 가지는 300mm의 p 타입(보론이 도핑됨) 실리콘 웨이퍼들이다. 기판들은 프리 게이트 클리닝에 노출되고, 다음 급속 열 산화 공정의 노출이 이루어진다. SiO2 박막은 약 20Å 두께로 형성된다. SiO2 박막은 분리된 플라즈마 질화에 의하여 플라즈마 질화되어, 약 1×1015atoms/cm2의 질소 농도가 형성된다. 모든 기판들은 실리콘 산화물에 질소를 더욱 철저히 접착시키고 표면 인터페이스를 개선하기 위하여, 포스트 질화 어닐링에 노출된다.
기판 Poly-Si(℃) [B](×1015) RTA DSA
A 710 4 x
B 710 4 x x
C 720 4 x
D 720 4 x x
E 710 8 x
F 710 8 x x
G 720 8 x
H 720 8 x x
표 1
다결정 실리콘은 단일 웨이퍼의 급속 열 CVD 챔버로 각각의 기판상에 약 1,000Å의 두께로 증착된다. 평균 폴리 그레인 크기는 변화된다. 폴리실리콘 재료는 제1 그레인 크기를 형성하기 위하여 710℃에서 기판(A, B, E 및 F) 상에 증착되는 반면, 폴리실리콘은 더 큰 크기의 그레인을 생산하기 위하여 720℃에서 기판(C, D, G 및 H) 상에 증착된다. 보론이 3KeV의 에너지 및 4×1015atoms/cm2로 설정되는 도즈량으로 기판(A-D)에 주입되고, 8×1015atoms/cm2로 설정되는 도즈량으로 기판(E-H)에 주입된다. 모든 기판들은 약 1,000℃에서 종래의 RTA 공정을 행한다. 기판(B, D, F 및 H)은 1,350℃에서 DSA 공정에 의하여 레이저 어닐링된다.
결과적인 구조의 시트 저항(Rs) 및 스프레딩 저항(spreading resistance)이 캐리어 농도 및 활성화를 평가하기 위하여 측정된다. 도펀트(보론) 프로파일들은 제2차 이온 질량 분광기(SIMS: secondary ion mass spectroscopy)에 의하여 분석된다. 다결정 구조는 엑스레이 회절 분광기(XRD: x-ray diffraction spectroscopy) 및 횡단면 투과형 전자 현미경(TEM: cross section transmission electron microscopy)를 이용하여 분석될 수 있다.
레이저 어닐링은 오로지 도펀트 농도 증가에 의하여 달성되는 것보다 더욱 시트 저항을 감소시킨다. 예를 들어, 도펀트 농도를 두배로 하면 시트 저항이 약 10%까지 감소된다. 그러나, 도펀트 농도를 4×1015atoms/cm2에서 유지하면서, DSA 공정에 노출된 기판들의 시트 저항은 40%만큼 감소된다. 시트 저항은 기판상에 2배의 도펀트 농도 및 DSA 공정에의 노출에 의하여 50%만큼 감소된다. 폴리 그레인 구조는 시트 저항에 영향력을 덜 받는다. 720℃에서 증착된 폴리실리콘은 710℃에서 증착된 폴리실리콘보다 시트 저항 퍼센티지가 낮다. 레이저 어닐링은 추가 도펀트 확산, 폴리 그레인 구조의 변경 및 도펀트 활성화의 증가와 같은 적어도 3가지 메커니즘을 통하여 시트 저항을 감소시킨다.
SIMS에 의한 더 이상의 추가 도펀트 확산이 관찰되지 않았다. 도펀트는 RTA 공정에 의하여 완전하게 확산되어, 레이저 어닐링 공정 후 더 이상의 변화는 발생하지 않는다. 단 몇 밀리초 동안이라는 제한 시간 동안 웨이퍼상의 각각의 포인트에 레이저가 조사되어, 도펀트는 높은 온도에도 불구하고 확산될 수 있다. 폴리실리콘 그레인 구조는 레이저 어닐링 공정 후에 몇가지 사소한 변화를 보인다. XRD 분석은 DSA 공정 후에 그레인 크기가 361Å에서 370Å로 9Å 증가됨을 나타낸다. TEM 이미지들은 원주형 구조들이 잘 유지됨을 보였으나, 그레인 구조는 다소 더욱 결정성을 나타낸다. 그레인 구조의 변화는 낮은 시트 저항에 공헌해왔다. 그러나, 실리콘의 녹는 점 이상의 레이저 어닐링을 이용하여 일어날 수 있는 소자 수율을 위협하는 뚜렷한 그레인 크기의 증가가 이루어지지 않는다.
스프레딩 저항 프로파일들은 캐리어 농도가 폴리실리콘을 통한 레이저 어닐링 온도를 이용하여 증가되었음을 보인다. 도펀트 활성화 증가는 폴리실리콘과 옥시질화물층 사이에 인터페이스에서 특히 크다. 높은 캐리어 농도는 폴리-고갈을 감소시킨다. 레이저 어닐링은 도펀트 활성화의 증가를 통하여 시트 저항을 감소시킬 수 있다. 요컨대, 높은 온도의 레이저 어닐링은 폴리실리콘 박막에서 더 많은 캐리어들을 만들어낸다.
앞서 본 발명의 실시예들을 설명하였지만, 본 발명의 원칙이나 정신에서 벗어나지 않으면서 본 실시예를 변형할 수 있을 것이며, 발명의 범위는 첨부된 청구항에 의해 정해질 것이다.

Claims (33)

  1. 기판상에 도핑된 층을 어닐링하는 방법으로서,
    게이트 산화물층 상에 다결정층을 증착하는 단계;
    도핑된 다결정층을 형성하기 위하여 상기 다결정층에 도펀트를 주입하는 단계;
    상기 도핑된 다결정층을 급속 열 어닐링에 노출시키는 단계; 및
    상기 도핑된 다결정층을 레이저 어닐링에 노출시키는 단계
    를 포함하는 것을 특징으로 하는 기판상에 도핑된 층을 어닐링하는 방법.
  2. 제1항에 있어서,
    상기 다결정층은 실리콘, 게르마늄, 탄소 및 이들의 조합물들로 구성되는 그룹으로부터 선택된 적어도 하나의 원소를 포함하는 것을 특징으로 하는 기판상에 도핑된 층을 어닐링하는 방법.
  3. 제2항에 있어서,
    상기 도펀트는 보론, 인, 비소 및 이들의 조합물들로 구성되는 그룹으로부터 선택되는 것을 특징으로 하는 기판상에 도핑된 층을 어닐링하는 방법.
  4. 제3항에 있어서,
    상기 도핑된 다결정층은 약 1×1019atoms/cm3 내지 약 1×1021atoms/cm3 범위의 도펀트 농도를 갖는 것을 특징으로 하는 기판상에 도핑된 층을 어닐링하는 방법.
  5. 제4항에 있어서,
    상기 급속 열 어닐링은 약 900℃내지 약 1,200℃ 범위의 온도에서 수행되며, 약 2초 내지 약 20초 범위의 시간 주기 동안 지속되는 것을 특징으로 하는 기판상에 도핑된 층을 어닐링하는 방법.
  6. 제4항에 있어서,
    상기 레이저 어닐링은 약 1,000℃ 내지 약 1,415℃ 범위의 온도에서 수행되는 것을 특징으로 하는 기판상에 도핑된 층을 어닐링하는 방법.
  7. 제6항에 있어서,
    상기 레이저 어닐링은 약 500밀리초 이하 동안 지속되는 것을 특징으로 하는 기판상에 도핑된 층을 어닐링하는 방법.
  8. 제7항에 있어서,
    상기 도핑된 다결정층은 400ohms/cm2 미만의 전기적 저항률을 갖는 것을 특 징으로 하는 기판상에 도핑된 층을 어닐링하는 방법.
  9. 기판상에 층을 어닐링하는 방법으로서,
    기판상에 격자를 포함하는 다결정층을 증착하는 단계;
    도핑된 다결정층을 형성하기 위하여 적어도 하나의 도펀트 원소로 상기 다결정층을 도핑하는 단계; 및
    상기 적어도 하나의 도펀트 원소를 상기 격자에 통합시키기 위해, 레이저를 이용하여 상기 도핑된 다결정층을 어닐링하는 단계
    를 포함하는 것을 특징으로 하는 기판상에 층을 어닐링하는 방법.
  10. 제9항에 있어서,
    상기 다결정층은 실리콘, 게르마늄, 탄소 및 이들의 조합물들로 구성되는 그룹으로부터 선택된 적어도 하나의 원소를 포함하는 것을 특징으로 하는 기판상에 층을 어닐링하는 방법.
  11. 제10항에 있어서,
    상기 도펀트는 보론, 인, 비소 및 이들의 조합물들로 구성되는 그룹으로부터 선택되는 것을 특징으로 하는 기판상에 층을 어닐링하는 방법.
  12. 제11항에 있어서,
    상기 도핑된 다결정층은 약 1×1019atoms/cm3 내지 약 1×1021atoms/cm3 범위의 도펀트 농도를 갖는 것을 특징으로 하는 기판상에 층을 어닐링하는 방법.
  13. 제12항에 있어서,
    상기 도핑된 다결정층은 상기 레이저 어닐링에 앞서 급속 열 어닐링에 노출되는 것을 특징으로 하는 기판상에 층을 어닐링하는 방법.
  14. 제13항에 있어서,
    상기 급속 열 어닐링은 약 800℃ 내지 약 1,400℃ 범위의 온도에서, 약 2초 내지 약 20초 범위의 시간 주기 동안 수행되는 것을 특징으로 하는 기판상에 층을 어닐링하는 방법.
  15. 제12항에 있어서,
    상기 레이저 어닐링은 약 1,000℃ 내지 약 1,415℃ 범위의 온도에서 수행되는 것을 특징으로 하는 기판상에 층을 어닐링하는 방법.
  16. 제15항에 있어서,
    상기 레이저 어닐링은 약 500밀리초 이하의 시간 동안 지속되는 것을 특징으로 하는 기판상에 층을 어닐링하는 방법.
  17. 제16항에 있어서,
    상기 도핑된 다결정층은 400ohms/cm2 미만의 전기적 저항률을 갖는 것을 특징으로 하는 기판상에 층을 어닐링하는 방법.
  18. 기판상에 도핑된 실리콘층을 어닐링하는 방법으로서,
    상기 기판상에 다결정층을 증착하는 단계;
    도핑된 다결정층을 형성하기 위하여 상기 다결정층에 적어도 하나의 도펀트 원소를 이용하여 도핑하는 단계;
    상기 도핑된 다결정층을 제1 온도에서 급속 열 어닐링에 노출시키는 단계; 및
    상기 도핑된 다결정층을 약 1,000℃ 내지 약 1,415℃ 범위의 제2 온도에서 레이저 어닐링에 노출시키는 단계
    를 포함하는 것을 특징으로 하는 기판상에 도핑된 실리콘층을 어닐링하는 방법
  19. 제18항에 있어서,
    상기 다결정층은 실리콘, 게르마늄, 탄소 및 이들의 조합물들로 구성되는 그룹으로부터 선택된 적어도 하나의 원소를 포함하는 것을 특징으로 하는 기판상에 도핑된 실리콘층을 어닐링하는 방법.
  20. 제19항에 있어서,
    상기 도펀트는 보론, 인, 비소 및 이들의 조합물들로 구성되는 그룹으로부터 선택되는 것을 특징으로 하는 기판상에 도핑된 실리콘층을 어닐링하는 방법.
  21. 제20항에 있어서,
    상기 도핑된 다결정층은 약 1×1019atoms/cm3 내지 약 1×1021atoms/cm3 범위의 도펀트 농도를 갖는 것을 특징으로 하는 기판상에 도핑된 실리콘층을 어닐링하는 방법.
  22. 제21항에 있어서,
    상기 제1 온도의 범위는 약 2초 내지 약 20초 범위의 시간 주기 동안 약 800℃ 내지 약 1,400℃인 것을 특징으로 하는 기판상에 도핑된 실리콘층을 어닐링하는 방법.
  23. 제22항에 있어서,
    상기 레이저 어닐링은 약 500밀리초 이하의 시간 동안 지속되는 것을 특징으로 하는 기판상에 도핑된 실리콘층을 어닐링하는 방법.
  24. 제23항에 있어서,
    상기 도핑된 다결정층은 400ohms/cm2 미만의 전기적 저항률을 갖는 것을 특징으로 하는 기판상에 도핑된 층을 어닐링하는 방법.
  25. 기판상에 층을 형성하는 방법으로서,
    기판상에 다결정층을 증착하는 단계, -상기 다결정층은 도펀트 원소 및 격자 구조를 포함함-; 및
    도핑된 다결정층을 형성하는 상기 격자 구조에 상기 도펀트 원소를 통합시키기 위해, 레이저를 이용하여 상기 다결정층을 어닐링하는 단계
    를 포함하는 것을 특징으로 하는 기판상에 층을 형성하는 방법.
  26. 제 25항에 있어서,
    상기 다결정층은 실리콘, 게르마늄, 탄소 및 이들의 조합물들로 구성되는 그룹으로부터 선택된 적어도 하나의 원소를 포함하는 것을 특징으로 하는 기판상에 층을 형성하는 방법.
  27. 제26항에 있어서,
    상기 도펀트 원소는 보론, 인, 비소 및 이들의 조합물들로 구성되는 그룹으 로부터 선택되는 것을 특징으로 하는 기판상에 층을 형성하는 방법.
  28. 제27항에 있어서,
    상기 도핑된 다결정층은 약 1×1019atoms/cm3 내지 약 1×1021atoms/cm3 범위의 농도를 가지는 상기 도펀트 원소를 갖는 것을 특징으로 하는 기판상에 층을 형성하는 방법.
  29. 제28항에 있어서,
    상기 다결정층은 상기 레이저 어닐링에 앞서 급속 열 어닐링에 노출되는 것을 특징으로 하는 기판상에 층을 형성하는 방법.
  30. 제29항에 있어서,
    상기 급속 열 어닐링은 약 800℃ 내지 약 1,400℃ 범위의 온도에서, 약 2초 내지 약 20초 범위의 시간 주기동안 수행되는 것을 특징으로 하는 기판상에 층을 형성하는 방법.
  31. 제30항에 있어서,
    상기 레이저 어닐링은 약 1000℃ 내지 약 1,415℃ 범위의 온도에서 수행되는 것을 특징으로 하는 기판상에 층을 형성하는 방법.
  32. 제31항에 있어서,
    상기 레이저 어닐링은 약 100밀리초 이하의 시간 동안 지속되는 것을 특징으로 하는 기판상에 층을 형성하는 방법.
  33. 제32항에 있어서,
    상기 도핑된 다결정층은 400ohms/cm2 미만의 전기적 저항률을 갖는 것을 특징으로 하는 기판상에 층을 형성하는 방법.
KR1020067019046A 2004-02-23 2005-02-10 반도체 제조를 위한 게이트 전극 도펀트 활성화 방법 KR101118330B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/784,904 US7078302B2 (en) 2004-02-23 2004-02-23 Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
US10/784,904 2004-02-23
PCT/US2005/004318 WO2005083762A1 (en) 2004-02-23 2005-02-10 Gate electrode dopant activation method for semiconductor manufacturing

Publications (2)

Publication Number Publication Date
KR20070020426A true KR20070020426A (ko) 2007-02-21
KR101118330B1 KR101118330B1 (ko) 2012-03-12

Family

ID=34861538

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067019046A KR101118330B1 (ko) 2004-02-23 2005-02-10 반도체 제조를 위한 게이트 전극 도펀트 활성화 방법

Country Status (6)

Country Link
US (2) US7078302B2 (ko)
EP (1) EP1719158A1 (ko)
JP (1) JP5028093B2 (ko)
KR (1) KR101118330B1 (ko)
CN (1) CN100524630C (ko)
WO (1) WO2005083762A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150018367A (ko) * 2013-08-09 2015-02-23 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 마이크로파 복사를 이용하는 도펀트 활성화 방법 및 시스템
US9401274B2 (en) 2013-08-09 2016-07-26 Taiwan Semiconductor Manufacturing Company Limited Methods and systems for dopant activation using microwave radiation

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7812423B2 (en) * 2003-08-12 2010-10-12 Massachusetts Institute Of Technology Optical device comprising crystalline semiconductor layer and reflective element
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US8536492B2 (en) * 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
US20080090309A1 (en) 2003-10-27 2008-04-17 Ranish Joseph M Controlled annealing method
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
DE102005010080B4 (de) * 2005-03-03 2008-04-03 Qimonda Ag Verfahren zum Herstellen einer Dünnschicht-Struktur
US7550381B2 (en) * 2005-07-18 2009-06-23 Applied Materials, Inc. Contact clean by remote plasma and repair of silicide surface
TW200713455A (en) * 2005-09-20 2007-04-01 Applied Materials Inc Method to form a device on a SOI substrate
KR100716904B1 (ko) * 2005-12-28 2007-05-10 동부일렉트로닉스 주식회사 반도체 장치의 보호막 및 그 제조 방법
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
JP2007243003A (ja) * 2006-03-10 2007-09-20 Oki Electric Ind Co Ltd 半導体装置の製造方法
US7795124B2 (en) 2006-06-23 2010-09-14 Applied Materials, Inc. Methods for contact resistance reduction of advanced CMOS devices
US7522968B2 (en) * 2006-07-10 2009-04-21 Applied Materials, Inc. Scheduling method for processing equipment
US20080051930A1 (en) * 2006-07-10 2008-02-28 Oh Hilario L Scheduling method for processing equipment
WO2008008727A2 (en) * 2006-07-10 2008-01-17 Applied Materials, Inc. Scheduling method for processing equipment
WO2008016851A1 (en) * 2006-07-28 2008-02-07 Applied Materials, Inc. Use of carbon co-implantation with millisecond anneal to produce ultra-shallow junctions
US7601648B2 (en) * 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7902018B2 (en) 2006-09-26 2011-03-08 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
US20080115808A1 (en) * 2006-11-20 2008-05-22 Applied Materials, Inc. In-situ chamber cleaning for an rtp chamber
US7804042B2 (en) * 2007-06-18 2010-09-28 Applied Materials, Inc. Pryometer for laser annealing system compatible with amorphous carbon optical absorber layer
US7615458B2 (en) * 2007-06-19 2009-11-10 Texas Instruments Incorporated Activation of CMOS source/drain extensions by ultra-high temperature anneals
US20090117701A1 (en) * 2007-11-01 2009-05-07 Meng-Yi Wu Method for manufacturing a mos transistor
US20090120924A1 (en) * 2007-11-08 2009-05-14 Stephen Moffatt Pulse train annealing method and apparatus
US7800081B2 (en) * 2007-11-08 2010-09-21 Applied Materials, Inc. Pulse train annealing method and apparatus
US9498845B2 (en) 2007-11-08 2016-11-22 Applied Materials, Inc. Pulse train annealing method and apparatus
US7635648B2 (en) * 2008-04-10 2009-12-22 Applied Materials, Inc. Methods for fabricating dual material gate in a semiconductor device
US7947584B2 (en) * 2008-05-02 2011-05-24 Applied Materials, Inc. Suitably short wavelength light for laser annealing of silicon in DSA type systems
US7638442B2 (en) * 2008-05-09 2009-12-29 Promos Technologies, Inc. Method of forming a silicon nitride layer on a gate oxide film of a semiconductor device and annealing the nitride layer
KR20100040455A (ko) * 2008-10-10 2010-04-20 주식회사 동부하이텍 반도체 소자의 제조 방법
CN101783298B (zh) * 2009-01-21 2012-11-14 中国科学院微电子研究所 抑制高k栅介质/金属栅结构界面层生长的方法
US8329525B2 (en) * 2010-10-04 2012-12-11 Stmicroelectronics, Inc. Method for fabricating at least three metal-oxide semiconductor transistors having different threshold voltages
US20130137244A1 (en) * 2011-05-26 2013-05-30 Solexel, Inc. Method and apparatus for reconditioning a carrier wafer for reuse
US9085045B2 (en) 2011-11-04 2015-07-21 Tokyo Electron Limited Method and system for controlling a spike anneal process
US8927423B2 (en) 2011-12-16 2015-01-06 Applied Materials, Inc. Methods for annealing a contact metal layer to form a metal silicidation layer
US8586479B2 (en) 2012-01-23 2013-11-19 Applied Materials, Inc. Methods for forming a contact metal layer in semiconductor devices
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
CN102637581A (zh) * 2012-04-06 2012-08-15 上海华力微电子有限公司 一种防止硼掺杂层释气的方法
KR102014934B1 (ko) * 2012-12-28 2019-08-28 에스케이하이닉스 주식회사 Cmos 회로 및 그 제조 방법
CN105518827B (zh) 2013-09-27 2019-06-14 应用材料公司 实现无缝钴间隙填充的方法
CN105762067A (zh) * 2014-12-16 2016-07-13 中芯国际集成电路制造(上海)有限公司 栅极的制作方法及半导体器件
US9859121B2 (en) 2015-06-29 2018-01-02 International Business Machines Corporation Multiple nanosecond laser pulse anneal processes and resultant semiconductor structure
TWI748021B (zh) 2016-12-12 2021-12-01 美商應用材料股份有限公司 形成應變通道層的方法
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
SG11202003355QA (en) 2017-11-11 2020-05-28 Micromaterials Llc Gas delivery system for high pressure processing chamber
WO2019099255A2 (en) 2017-11-17 2019-05-23 Applied Materials, Inc. Condenser system for high pressure processing system
SG11202008256WA (en) 2018-03-09 2020-09-29 Applied Materials Inc High pressure annealing process for metal containing materials
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
CN108922921B (zh) * 2018-07-23 2020-06-19 长江存储科技有限责任公司 三维存储器、mos场效应晶体管及其制作方法
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
JP7294858B2 (ja) * 2019-04-09 2023-06-20 株式会社Screenホールディングス 熱処理方法および熱処理装置
KR20200121941A (ko) 2019-04-16 2020-10-27 삼성디스플레이 주식회사 표시 패널 및 표시 패널의 제조 방법
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
CN111933696B (zh) * 2020-10-14 2020-12-25 南京晶驱集成电路有限公司 半导体器件的制备方法

Family Cites Families (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5898917A (ja) 1981-12-09 1983-06-13 Seiko Epson Corp 原子層エビタキシヤル装置
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
JPS62171999A (ja) 1986-01-27 1987-07-28 Nippon Telegr & Teleph Corp <Ntt> 3−v族化合物半導体のエピタキシヤル結晶成長方法
JPS6362313A (ja) 1986-09-03 1988-03-18 Fujitsu Ltd 半導体装置の製造方法
JPH0639357B2 (ja) 1986-09-08 1994-05-25 新技術開発事業団 元素半導体単結晶薄膜の成長方法
US5607511A (en) 1992-02-21 1997-03-04 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
JPH01270593A (ja) 1988-04-21 1989-10-27 Fujitsu Ltd 化合物半導体層形成方法
JP2764727B2 (ja) * 1988-09-30 1998-06-11 ソニー株式会社 半導体装置の製造方法
US5112439A (en) 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
JPH02172895A (ja) 1988-12-22 1990-07-04 Nec Corp 半導体の結晶成長方法
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
AU5977190A (en) 1989-07-27 1991-01-31 Nishizawa, Junichi Impurity doping method with adsorbed diffusion source
JPH03286522A (ja) 1990-04-03 1991-12-17 Nec Corp Siの結晶成長方法
JPH0547665A (ja) 1991-08-12 1993-02-26 Fujitsu Ltd 気相成長方法
JP2828152B2 (ja) 1991-08-13 1998-11-25 富士通 株式会社 薄膜形成方法、多層構造膜及びシリコン薄膜トランジスタの形成方法
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
JP3211394B2 (ja) 1992-08-13 2001-09-25 ソニー株式会社 半導体装置の製造方法
JPH0750690B2 (ja) 1992-08-21 1995-05-31 日本電気株式会社 ハロゲン化物を用いる半導体結晶のエピタキシャル成長方法とその装置
US5273930A (en) 1992-09-03 1993-12-28 Motorola, Inc. Method of forming a non-selective silicon-germanium epitaxial film
US5372860A (en) 1993-07-06 1994-12-13 Corning Incorporated Silicon device production
JPH07109573A (ja) 1993-10-12 1995-04-25 Semiconductor Energy Lab Co Ltd ガラス基板および加熱処理方法
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
AUPO347196A0 (en) 1996-11-06 1996-12-05 Pacific Solar Pty Limited Improved method of forming polycrystalline-silicon films on glass
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US6335280B1 (en) 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
US5908307A (en) 1997-01-31 1999-06-01 Ultratech Stepper, Inc. Fabrication method for reduced-dimension FET devices
US6118216A (en) 1997-06-02 2000-09-12 Osram Sylvania Inc. Lead and arsenic free borosilicate glass and lamp containing same
US5966605A (en) * 1997-11-07 1999-10-12 Advanced Micro Devices, Inc. Reduction of poly depletion in semiconductor integrated circuits
US6042654A (en) 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
US6514880B2 (en) 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6159852A (en) 1998-02-13 2000-12-12 Micron Technology, Inc. Method of depositing polysilicon, method of fabricating a field effect transistor, method of forming a contact to a substrate, method of forming a capacitor
US6797558B2 (en) 2001-04-24 2004-09-28 Micron Technology, Inc. Methods of forming a capacitor with substantially selective deposite of polysilicon on a substantially crystalline capacitor dielectric layer
US6100171A (en) 1998-03-03 2000-08-08 Advanced Micro Devices, Inc. Reduction of boron penetration by laser anneal removal of fluorine
KR100652909B1 (ko) 1998-03-06 2006-12-01 에이에스엠 아메리카, 인코포레이티드 하이 스텝 커버리지를 갖는 실리콘 증착 방법
JP4214585B2 (ja) 1998-04-24 2009-01-28 富士ゼロックス株式会社 半導体デバイス、半導体デバイスの製造方法及び製造装置
US6025627A (en) 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
US6037235A (en) 1998-09-14 2000-03-14 Applied Materials, Inc. Hydrogen anneal for curing defects of silicon/nitride interfaces of semiconductor devices
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
KR20010017820A (ko) 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
US6489241B1 (en) 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
TW468212B (en) 1999-10-25 2001-12-11 Motorola Inc Method for fabricating a semiconductor structure including a metal oxide interface with silicon
WO2001041544A2 (en) 1999-12-11 2001-06-14 Asm America, Inc. Deposition of gate stacks including silicon germanium layers
US6291319B1 (en) 1999-12-17 2001-09-18 Motorola, Inc. Method for fabricating a semiconductor structure having a stable crystalline interface with silicon
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
EP1123991A3 (en) 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
CN1222016C (zh) 2000-03-17 2005-10-05 瓦里安半导体设备联合公司 通过激光退火和快速加温退火形成超浅结的方法
US6645838B1 (en) 2000-04-10 2003-11-11 Ultratech Stepper, Inc. Selective absorption process for forming an activated doped region in a semiconductor
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
US6635588B1 (en) 2000-06-12 2003-10-21 Ultratech Stepper, Inc. Method for laser thermal processing using thermally induced reflectivity switch
US6303476B1 (en) 2000-06-12 2001-10-16 Ultratech Stepper, Inc. Thermally induced reflectivity switch for laser thermal processing
JP2002198525A (ja) 2000-12-27 2002-07-12 Toshiba Corp 半導体装置及びその製造方法
KR100393208B1 (ko) 2001-01-15 2003-07-31 삼성전자주식회사 도핑된 다결정 실리콘-저매니움막을 이용한 반도체 소자및 그 제조방법
US6528374B2 (en) 2001-02-05 2003-03-04 International Business Machines Corporation Method for forming dielectric stack without interfacial layer
US7026219B2 (en) 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
KR101027485B1 (ko) 2001-02-12 2011-04-06 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US7108748B2 (en) 2001-05-30 2006-09-19 Asm America, Inc. Low temperature load and bake
US20030025167A1 (en) * 2001-07-31 2003-02-06 International Business Machines Corporation Activating in-situ doped gate on high dielectric constant materials
US6777317B2 (en) 2001-08-29 2004-08-17 Ultratech Stepper, Inc. Method for semiconductor gate doping
US7439191B2 (en) 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6784101B1 (en) * 2002-05-16 2004-08-31 Advanced Micro Devices Inc Formation of high-k gate dielectric layers for MOS devices fabricated on strained lattice semiconductor substrates with minimized stress relaxation
JP3699946B2 (ja) * 2002-07-25 2005-09-28 株式会社東芝 半導体装置の製造方法
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US6821868B2 (en) * 2002-12-27 2004-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming nitrogen enriched gate dielectric with low effective oxide thickness
US6998305B2 (en) 2003-01-24 2006-02-14 Asm America, Inc. Enhanced selectivity for epitaxial deposition
US20040226911A1 (en) 2003-04-24 2004-11-18 David Dutton Low-temperature etching environment
US6982433B2 (en) 2003-06-12 2006-01-03 Intel Corporation Gate-induced strain for MOS performance improvement
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7045432B2 (en) 2004-02-04 2006-05-16 Freescale Semiconductor, Inc. Method for forming a semiconductor device with local semiconductor-on-insulator (SOI)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150018367A (ko) * 2013-08-09 2015-02-23 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 마이크로파 복사를 이용하는 도펀트 활성화 방법 및 시스템
US9401274B2 (en) 2013-08-09 2016-07-26 Taiwan Semiconductor Manufacturing Company Limited Methods and systems for dopant activation using microwave radiation
US9627212B2 (en) 2013-08-09 2017-04-18 Taiwan Semiconductor Manufacturing Company Limited Methods and systems for dopant activation using microwave radiation
US10522356B2 (en) 2013-08-09 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and systems for dopant activation using microwave radiation

Also Published As

Publication number Publication date
US20050186765A1 (en) 2005-08-25
JP5028093B2 (ja) 2012-09-19
EP1719158A1 (en) 2006-11-08
WO2005083762A1 (en) 2005-09-09
US7611976B2 (en) 2009-11-03
US7078302B2 (en) 2006-07-18
CN100524630C (zh) 2009-08-05
US20060286763A1 (en) 2006-12-21
JP2007523491A (ja) 2007-08-16
CN1922717A (zh) 2007-02-28
KR101118330B1 (ko) 2012-03-12

Similar Documents

Publication Publication Date Title
KR101118330B1 (ko) 반도체 제조를 위한 게이트 전극 도펀트 활성화 방법
KR100642627B1 (ko) 다결정 실리콘 구조물의 제조 방법
US7312500B2 (en) Manufacturing method of semiconductor device suppressing short-channel effect
US20080023732A1 (en) Use of carbon co-implantation with millisecond anneal to produce ultra-shallow junctions
US20090283842A1 (en) Semiconductor device and method of fabricating the same
US7985985B2 (en) Semiconductor device and method of fabricating the same
US5943565A (en) CMOS processing employing separate spacers for independently optimized transistor performance
US6207586B1 (en) Oxide/nitride stacked gate dielectric and associated methods
JP2877104B2 (ja) 半導体装置の製造方法
US5296387A (en) Method of providing lower contact resistance in MOS transistor structures
US6677201B1 (en) Method of fabricating thermal CVD oxynitride and BTBAS nitride sidewall spacer for metal oxide semiconductor transistors
US6265293B1 (en) CMOS transistors fabricated in optimized RTA scheme
JP4664557B2 (ja) 半導体装置の製造方法
JPH0951040A (ja) 半導体装置の製造方法
KR100187729B1 (ko) 균일한 두께를 갖는 고융점 금속 실리사이드 막의 형성 공정
TW202129976A (zh) 半導體結構與其製作方法
WO2004114413A1 (ja) 半導体装置及びその製造方法
US20020068407A1 (en) MOS transistor fabrication method
US6524904B1 (en) Method of fabricating semiconductor device
US7015088B2 (en) High-K gate dielectric defect gettering using dopants
US6630386B1 (en) CMOS manufacturing process with self-amorphized source/drain junctions and extensions
US20060197120A1 (en) Gate electrode for semiconductor devices
US20030045081A1 (en) MOSFET having a stacked silicon structure and method
KR20040037847A (ko) 반도체소자의 제조방법
JPH11330271A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee