JP2007502014A - プログラマブルシステムオンチップ - Google Patents

プログラマブルシステムオンチップ Download PDF

Info

Publication number
JP2007502014A
JP2007502014A JP2006522035A JP2006522035A JP2007502014A JP 2007502014 A JP2007502014 A JP 2007502014A JP 2006522035 A JP2006522035 A JP 2006522035A JP 2006522035 A JP2006522035 A JP 2006522035A JP 2007502014 A JP2007502014 A JP 2007502014A
Authority
JP
Japan
Prior art keywords
block
circuit
programmable
analog
chip integrated
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006522035A
Other languages
English (en)
Inventor
グレゴリー・バッカー
カーレッド・エル−アヤト
テオドアー・スピアーズ
リミン・シュー
ブライアン・シュバート
ラビンドラナス・バラスブラマニアン
カート・コルカインド
トーマス・エフ・バラ−ザ
ヴェンカテシュ・ナラヤナン
ジョン・マッコラム
ウィリアム・シー・プランツ
Original Assignee
アクテル・コーポレイシヨン
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アクテル・コーポレイシヨン filed Critical アクテル・コーポレイシヨン
Publication of JP2007502014A publication Critical patent/JP2007502014A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/02Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components
    • H03K19/173Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components
    • H03K19/177Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components arranged in matrix form
    • H03K19/17748Structural details of configuration resources
    • H03K19/1776Structural details of configuration resources for memories
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01KMEASURING TEMPERATURE; MEASURING QUANTITY OF HEAT; THERMALLY-SENSITIVE ELEMENTS NOT OTHERWISE PROVIDED FOR
    • G01K7/00Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements
    • G01K7/01Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements using semiconducting elements having PN junctions
    • G01K7/015Measuring temperature based on the use of electric or magnetic elements directly sensitive to heat ; Power supply therefor, e.g. using thermoelectric elements using semiconducting elements having PN junctions using microstructures, e.g. made of silicon
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • G06F1/08Clock generators with changeable or programmable clock frequency
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/28Supervision thereof, e.g. detecting power-supply failure by out of limits supervision
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/30Means for acting in the event of power-supply failure or interruption, e.g. power-supply fluctuations
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/76Architectures of general purpose stored program computers
    • G06F15/78Architectures of general purpose stored program computers comprising a single central processing unit
    • G06F15/7839Architectures of general purpose stored program computers comprising a single central processing unit with memory
    • G06F15/7842Architectures of general purpose stored program computers comprising a single central processing unit with memory on one IC chip (single chip microcontrollers)
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/76Architectures of general purpose stored program computers
    • G06F15/78Architectures of general purpose stored program computers comprising a single central processing unit
    • G06F15/7867Architectures of general purpose stored program computers comprising a single central processing unit with reconfigurable architecture
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03FAMPLIFIERS
    • H03F3/00Amplifiers with only discharge tubes or only semiconductor devices as amplifying elements
    • H03F3/45Differential amplifiers
    • H03F3/45071Differential amplifiers with semiconductor devices only
    • H03F3/45076Differential amplifiers with semiconductor devices only characterised by the way of implementation of the active amplifying circuit in the differential amplifier
    • H03F3/45475Differential amplifiers with semiconductor devices only characterised by the way of implementation of the active amplifying circuit in the differential amplifier using IC blocks as the active amplifying circuit
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K17/00Electronic switching or gating, i.e. not by contact-making and –breaking
    • H03K17/22Modifications for ensuring a predetermined initial state when the supply voltage has been applied
    • H03K17/223Modifications for ensuring a predetermined initial state when the supply voltage has been applied in field-effect transistor switches
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/02Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components
    • H03K19/173Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components
    • H03K19/177Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components arranged in matrix form
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/02Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components
    • H03K19/173Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components
    • H03K19/177Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components arranged in matrix form
    • H03K19/17724Structural details of logic blocks
    • H03K19/17732Macroblocks
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03FAMPLIFIERS
    • H03F2203/00Indexing scheme relating to amplifiers with only discharge tubes or only semiconductor devices as amplifying elements covered by H03F3/00
    • H03F2203/45Indexing scheme relating to differential amplifiers
    • H03F2203/45136One differential amplifier in IC-block form being shown
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03FAMPLIFIERS
    • H03F2203/00Indexing scheme relating to amplifiers with only discharge tubes or only semiconductor devices as amplifying elements covered by H03F3/00
    • H03F2203/45Indexing scheme relating to differential amplifiers
    • H03F2203/45166Only one input of the dif amp being used for an input signal

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Mathematical Physics (AREA)
  • General Physics & Mathematics (AREA)
  • Computing Systems (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Logic Circuits (AREA)

Abstract

プログラマブルシステムオンチップ集積回路デバイスは、プログラマブルロジックブロックと、不揮発性メモリブロックと、アナログサブシステムと、アナログ入出力回路ブロックと、デジタル入出力回路ブロックとを備えている。プログラマブル相互接続構造は、プログラマブル素子と相互接続導体を備えている。プログラマブルロジックブロックの入力及び出力、不揮発性メモリブロック、アナログ回路ブロック、アナログ入出力回路ブロック、及び前記デジタル入出力回路ブロックがプログラム可能に相互接続され得るように、プログラマブル素子の内の1つが、プログラマブルロジックブロック、不揮発性メモリブロック、アナログサブシステム、アナログ入出力回路ブロック、デジタル入出力回路ブロック、及び相互接続導体に接続される。

Description

本発明は、集積回路に関するものである。更に特に、本発明は、プログラマブルロジックブロック、少なくとも1つのユーザ不揮発性メモリブロック、及び1つの半導体集積回路チップ、フリップチップ(flip chip)、対面配置(face-to-face)、または他の複数のダイ構造上のアナログ回路を備える、システムオンチップ(system-on-a-chip)集積回路デバイスに関するものである。
フィールドプログラマブルゲートアレイ(FPGA)集積回路が、当該技術において知られている。FPGAは、あらゆる数のロジックモジュール、相互接続配線構造、及び選択的にロジックモジュールを相互に相互接続すると共に、ロジックモジュールの機能を定義するようにプログラムされ得るプログラマブル素子を備える。特定の回路機能を実装するために、回路は、アレイ(配列)にマップされると共に、適切なプログラマブル素子が、ユーザ回路を形成する必要な配線接続を実装するようにプログラムされる。
FPGAは、その機能がプログラム可能である、セルまたはロジックブロックと呼ばれる汎用のロジック回路のアレイを備える。プログラマブルバスは、セルを相互に連結する。セルタイプは、複数変数のブール関数を実現することが可能である、小さな多機能回路(あるいは、設定可能な機能ブロック、または機能グループ)であり得る。セルタイプは、ゲートに制限されない。例えば、設定可能な機能ブロックは、一般的に、加算、減算等のようなロジック関数を構成するために使用され得るメモリセル及び接続トランジスタをFPGAの内部に備える。セルは、同様に、複数のフリップフロップを備えることができる。FPGAデバイスにおいて見られる2種類のロジックセルは、マルチプレクサに基づくロジックセルと、プログラマブル読取専用メモリ(PROM)のテーブル索引メモリに基づくロジックセルである。消去可能なFPGAは、何度も再プログラムされ得る。この技術は、新しい製品、及び小規模の製造に関するプロトタイプ設計を、開発及びデバッグする時に、とりわけ重宝する。
FPGA回路は、実質的にデジタル関数のあらゆるセットを実行するようにプログラムされ得る。入力信号は、プログラムされた回路によって、出力の所望のセットを生成するように処理される。ユーザシステムから提供されるそのような入力の流れは、入力バッファを通ると共に、回路を通り、出力バッファによって、最後にはユーザシステムに戻っていく。入力バッファ及び出力バッファは、入出力ポート(I/O)と言われる。そのようなバッファは、以下の電圧利得、電流利得、レベル変換、遅延、信号分離または信号ヒステリシスのような入出力(I/O)機能の一部もしくは全部を提供する。入出力ポートは、チップ間の通信のためのアクセスポイントを提供する。I/Oポートは、FPGAに応じて複雑さが変わる。
ユーザプログラマブル相互接続技術における最近の進歩は、多種多様な組み合わせのロジック機能、及び順次的なロジック機能を実行するようにユーザによってカスタマイズされ得るFPGAの開発に帰着した。そのような集積回路に関する多数の構造が知られている。そのような構造の例は、“Freeman”に対する米国特許第4,870,302号明細書、“E1 Gamal”等に対する米国特許第4,758,745号明細書、“McCollum”等に対する米国特許第5,132,571号明細書において開示されているのが発見される。特別なFPGA集積回路に使用される構造は、集積回路に配置された様々な回路素子の間で生成され得ると共に、その実用性に深く影響を及ぼす、可能な相互接続の豊富度、及び密度を決定することになる。

米国特許第4,870,302号明細書 米国特許第4,758,745号明細書 米国特許第5,132,571号明細書 米国特許第6,150,837号明細書 米国特許第5,821,776号明細書
伝統的に、FPGA、及び他のプログラマブルロジックデバイス(PLD)は、ユーザによりプログラム可能なデジタルロジック機能を提供することを制限された。しかしながら、最近、FPGA製造業者は、彼らのデバイスに特定用途向け集積回路(ASIC)ブロックを加えるための実験をした(例えば、米国特許第6,150,837号明細書を参照)。そのようなASICブロックは、アナログ回路を備えていた(米国特許第5,821,776号明細書を参照)。更に、ASIC製造業者は、他の方法でハードウェア化されたデバイスに対してプログラム可能な機能性を加えるために、プログラマブルロジックブロックを彼らのデバイスに埋め込んだ(例えば、“Triscend Corporation”、“Adaptive Silicon Inc.”、及び“Chameleon Systems”によって提供された(または、以前は提供された)デバイスを参照)。
プログラマブルシステムオンチップ集積回路デバイスは、プログラマブルロジックブロックと、少なくとも1つの不揮発性メモリブロックと、アナログサブシステムと、アナログ入出力回路ブロックと、デジタル入出力回路ブロックとを備えている。プログラマブル相互接続構造は、プログラマブル素子と相互接続導体を備えている。プログラマブルロジックブロックの入力及び出力、不揮発性メモリブロック、アナログ回路ブロック、アナログ入出力回路ブロック、及び前記デジタル入出力回路ブロックがプログラム可能に相互接続され得るように、プログラマブル素子の内の1つが、プログラマブルロジックブロック、不揮発性メモリブロック、アナログサブシステム、アナログ入出力回路ブロック、デジタル入出力回路ブロック、及び相互接続導体に接続される。
本発明の特徴、及び利点の更に良い理解は、本発明の原理が利用される実例となる実施例を説明した、以下の本発明の詳細な説明、及び添付図面の参照によって得られることになる。
当業者は、本発明の以下の説明が、実例となるだけで、決して制限しないことを認識することになる。本発明の他の実施例は、そのような当業者に容易に提案されることになる。
用語“システムオンチップ”、または“SOC”は、一般に、回路が個別のシリコンウエハー上で伝統的に構成された種類の回路である、1つのダイ上の複数の種類の集積回路を備える集積回路デバイスに関連する。
本発明の設計に基づいたSOC10は、一般に、その主要な構成要素を示す、図1に描かれている構造レベルのブロック図において示される。図1において示されたように、本発明の実例となる実施例は、プログラマブルロジックブロック12、少なくとも1つの不揮発性メモリブロック14、アナログASIC回路ブロック16a〜16f、デジタルASIC回路ブロック18a〜18f、デジタル入出力(“I/O”)回路ブロック20、及びアナログI/O回路ブロック22を備える、システムオンチップ集積回路10である。ASICは、“特定用途向け集積回路”に関連すると共に、プログラム可能であるか、書き込むことができるか、もしくは、デバイスの製造の後で別の方法で修正されるか、または設定されることができる回路ブロックと対照的に大規模にハードウェア化された回路ブロックを参照するために使用される。システムオンチップ集積回路10は、同様に、システムコントローラ回路ブロック24、及びクロック回路26を備える。
プログラマブルロジックブロック12は、FPGAアレイであり得る。FPGAアレイは、当該技術において良く知られていると共に、本発明の目的に関して、あらゆる種類のFPGA回路ブロックが、本発明のシステムオンチップ集積回路10内で使用されるように意図される。データ入力、及びデータ出力の数、そして、実装可能な組み合わせのロジック機能、及び順次的なロジック機能の数は、FPGAアレイに使用されるFPGA回路の特定の設計によって変わることになる。当業者は、コンプレックスプログラマブルロジックデバイス(CPLD:complex programmable logic device)のような他のプログラマブルロジックブロック、及び他のプログラマブルロジックブロックが本発明に使用され得るということを認識することになる。
不揮発性メモリブロック14は、制限しない例として、フラッシュメモリセルのアレイ、及びアレイのためのメモリコントローラから形成され得る。フラッシュメモリセルは、当該技術において良く知られていると共に、本発明は、あらゆる特定の種類のフラッシュメモリセル、またはナノクリスタル(nanocrystal)、SONOS、固体電解質スイッチングデバイス、及び当業者によって評価されるであろう他の種類の技術のような、他の不揮発性メモリ技術の使用に制限されない。当業者は、本発明のいくつかの実施例において、不揮発性メモリブロック14は、各々がそれ自身のメモリコントローラを備えて別々にアドレス指定できる複数のアレイに分割され得るということを認識することになる。データ入力、及びデータ出力の数は、そしてアドレス入力の数は、使用されるアレイのサイズによって変わることになる。
アナログASIC回路ブロック16a〜16fが図1で例証されるが、当業者は、図1における6つのアナログASIC回路ブロック16a〜16fの提供が、単なる実例であって、決して制限しないということに気付くことになる。本発明に基づくシステムオンチップ集積回路の実際の実施例は、任意の数のアナログASIC回路ブロックを備えることができる。アナログASIC回路ブロック16a〜16fは、もう1つの方法として“ハードウェア化された”回路あるいは回路ブロック、“マスクプログラマブル(mask programmable)”回路あるいは回路ブロック、または“ASIC”回路あるいは回路ブロックとして説明され得る。これらのアナログブロックは、同様に“アナログ周辺装置”と言われると共に、制限しない例として、デジタル/アナログ変換器(DAC)、アナログ/デジタル変換器(ADC)、アナログパルス幅変調器(PWM)、MOSFETコントローラ、電圧基準回路、低−降圧出力(LDO)レギュレータ、アナログマルチプレクサ(MUX)、またはRFトランシーバを備えることができる。更に多くの汎用タイプの上述のアナログブロックに加えて、上述のように、更に特定の機能のための単独のアナログ回路ブロックが提供され得る。例えば、ハードウェア化された単独の電流監視回路、ハードウェア化された単独の温度監視回路、またはハードウェア化された単独の電圧監視回路が、提供され得る。単独のハードウェアのアナログブロックは、I/O回路を備えることができる。
埋め込まれたアナログ周辺装置は、同様に、プログラマブルロジックブロックにプログラムされたプログラマブル“ソフト”プロセッサコアによって、一般的なマイクロコントローラ(“MCU”)機能を拡張するために使用され得る。当業者によって認識されることになるように、個々のアナログASIC回路ブロック16a〜16fの入力及び出力の数、そして入力及び出力の種類は、使用される回路の機能的な性質によって変わることになる。
デジタルASIC回路ブロック18a〜18fが図1で例証されるが、当業者は、図1における6つのデジタルASIC回路ブロック18a〜18fの提供が、単なる実例であって、決して制限しないということに気付くことになる。本発明に基づくシステムオンチップ集積回路の実際の実施例は、任意の数のデジタルASC回路ブロックを備えることができる。デジタルASIC回路ブロック18a〜18fは、それらに制限されないが、状態機械(ステートマシーン)、アナログシーケンサ、マイクロプロセッサ、デジタルシグナルプロセッサ(DSP)のような回路ブロックを備えることができる。ハードウェアのデジタルブロックは、とりわけ、プログラマブルロジックと、デバイス上のメモリブロックとの間のインタフェースのようなインタフェースを実現するのに有益である。FPGA/メモリインタフェースは、不揮発性メモリコントローラについて説明する段落で、更に詳細に説明される。ハードウェアのデジタルブロックは、同様に、プログラマブルロジックまたはメモリブロックと、ハードウェアのアナログブロックとの間のインタフェースを実現するために使用されることができる。ハードウェアのデジタルブロックは、不揮発性メモリブロックの制御ブロックとして使用される。不揮発性メモリコントローラは、ここで更に詳細に説明される。
そのようなデジタルブロックは、そのようなデジタルブロックが現在の特定用途向け集積回路(ASIC)において実装される方法と類似した方法で実現され得る。ハードウェアのデジタル回路ブロックとして実装されることに加えて、各々のこれらの種類のブロックの全て、または一部分は、プログラマブルロジックに実装されることができ、“ソフトウェア”実装と呼ばれることもある。当業者によって認識されることになるように、個々のデジタルASIC回路ブロック18a〜18fの入力及び出力の数、そして入力及び出力の種類は、使用される回路の機能的な性質によって変わることになる。
システムオンチップ集積回路10は、同様に、デジタルI/O回路ブロック20を備える。デジタルI/O回路ブロック20は、既知のFPGA、及び同様の集積回路に一般的に使用されるような、従来のデジタルI/O回路構成を備えることができる。
システムオンチップ集積回路10は、同様に、アナログI/O回路ブロック22を備える。アナログI/O回路ブロック22は、当該技術において良く知られている多数のアナログバッファ回路の内のいずれかを備えることができる。
システムオンチップ集積回路10は、同様に、システムコントローラ回路ブロック24を備える。システムコントローラ回路ブロック24は、システムオンチップの様々な構成要素の電源投入順序、及び相互動作を管理することを含む、SOCデバイス内の他のブロックに関する主制御機能を提供する。更に、システムコントローラ回路ブロック24は、リセット信号及びイネーブル信号のような、本発明のデバイスのデジタルI/O、またはアナログI/Oにより出力された信号によって、外部デバイスを制御することができる。システムコントローラ回路ブロック24は、SOCデバイスの異なる機能を管理するための様々な回路を備える。いくつかの実施例において、これらの回路は、全てハードウェア化された回路ブロックで実現されることができ、一方、他の実施例において、いくつかの回路は、プログラマブルロジックブロック12のプログラマブルロジックの部分において実現されることができる。プログラマブルロジックに制御機能を実装することの利点は、ユーザが、制御機能をユーザのアプリケーションに適応させることができることである。もし、ユーザが、チップデバイス上のシステムの外部にあるユーザのシステムの素子を制御するために、チップデバイス上のプログラマブルシステムを使用することを望む場合、これは、とりわけ有益である。
図1におけるシステムコントローラの実施例において、システムコントローラの回路の一部分は、ハードウェア化されたブロック内に実現されると共に、一部分はプログラマブルロジック内に実現される。図1において示されるシステムコントローラ回路ブロック24は、電源投入制御回路、アナログ電源回路、電圧基準回路、及びシステム監視回路を備える。電源投入制御回路は、以下で更に詳細に説明されるように、電源投入の間SOCデバイスを管理するための回路構成を備える。
システムオンチップ集積回路10は、同様に、クロック回路26を備える。クロック回路26は、1つ以上のクロックソース、及びクロック信号分配システムを備えることができる。本発明に従って製作されたあらゆるシステムオンチップ集積回路上で供給される、そのようなクロックの数は、設計上の選択に関わる問題である。そのような回路、及びシステムは、当該技術において良く知られている。
プログラマブルロジックブロック12、不揮発性メモリブロック14、アナログASIC回路ブロック16a〜16d、デジタルASIC回路ブロック18a〜18d、デジタル入出力(“I/O”)回路ブロック20及びアナログI/O回路ブロック22、システムコントローラ回路ブロック24、そしてクロック回路26の様々な回路素子の入力、及び出力は、システムオンチップ集積回路上で提供されるプログラム可能な相互接続導体のネットワークを通じてそれらの様々な入力、及び出力をプログラム的に相互に接続することにより、ユーザによって相互に接続されることができる。
図1のシステムオンチップ集積回路に使用され得る、実例となるプログラマブル相互接続構造の一部分の略図が図2に示される。図2は、実例となる相互接続構造の一部分を例証する。当業者は、図2は、大部分は概略的であると共に、事実上単純化され、そして本発明を、描写された特定の相互接続構造に決して制限しないということを理解することになる。
図2の検討から分かるように、本発明によって実現され得る実例となる相互接続構造は、システムオンチップ集積回路10を備えるシリコンダイの表面上に配置された金属相互接続階層において垂直方向及び水平方向に走る相互接続導体を備えることができる。垂直方向及び水平方向の両方の相互接続導体は、図1のシステムオンチップ集積回路10に配置された様々な回路素子の入力と出力との間の相互接続の形成における汎用性を許すために、分割されることができる。当該技術において知られているように、様々な相互接続導体は、可変長の導体であるか、または変更された長さに分割され得る。更に、フラットな相互接続システム、または階層的な相互接続システムが使用され得る。
垂直方向及び水平方向の相互接続導体のセグメントは、図2で示される丸で囲まれた“X”記号によって示されるユーザプログラマブル相互接続素子によって、プログラム的に結合されることができる。垂直方向及び水平方向の相互接続導体の個別の1つにより形成された交点は、同様に、ユーザプログラマブル相互接続素子によって生成される。ユーザプログラマブル相互接続素子は、当該技術において知られているような、1回限りプログラム可能なアンチヒューズ(antifuse)素子の形式であり得るか、または当該技術において知られているような、再プログラム可能なスイッチの形式であり得る。近頃の再プログラム可能な相互接続スイッチは、フラッシュメモリ、SRAM、及び他の知られている相互接続スイッチ技術のような技術を使用できる。
図2において示されるように、分割された水平方向の相互接続導体のグループ30は、交点を形成するように、分割された垂直方向の相互接続導体のグループ32を横切っているのが示される。当業者は、垂直方向の相互接続導体、及び水平方向の相互接続導体が、システムオンチップ集積回路の異なる金属相互接続階層に配置されるということを認識することになる。図2に示されるように、典型的な個々の水平方向の相互接続導体は、セグメント34a、セグメント34b、セグメント34c、及びセグメント34dから構成されると示されると共に、各隣接するセグメントは、ユーザプログラマブル相互接続素子36、ユーザプログラマブル相互接続素子38、及びユーザプログラマブル相互接続素子40によって相互に接続される。図2に示されるように、同様に、典型的な個々の垂直方向の相互接続導体は、セグメント42a、セグメント42b、セグメント42c、及びセグメント42dから構成されると示されると共に、各隣接するセグメントは、ユーザプログラマブル相互接続素子44、ユーザプログラマブル相互接続素子46、及びユーザプログラマブル相互接続素子48によって相互に接続される。
水平方向の相互接続導体セグメント34dは、垂直方向の相互接続導体セグメント42bと交差しているのが示される。これらの2つの相互接続導体セグメントの交差は、ユーザプログラマブル相互接続素子50によって生成される。
ユーザプログラマブル相互接続素子の適切な1つをプログラミングすることよって、システムオンチップ集積回路上の回路素子の内の1つの出力と、システムオンチップ集積回路上の回路素子の内の別の1つの入力との間で、それらの間に接続を形成するように、導電路が形成され得る。当業者は、図2において描写された実例となる相互接続構造が、図1のロジックブロック12のFPGAアレイのような領域上で、多かれ少なかれ規則的に出現し得ると共に、要求された接続機会に適合するように、図1のシステムオンチップ集積回路10の他の領域、及び回路ブロックに関して、密度、パターン、及び/または方向の点で異なり得るということを認識することになる。
図3は、図1で示されるシステムオンチップ集積回路10と類似した、その中にプログラマブルロジックブロック62と、不揮発性メモリブロック64と、アナログASIC回路ブロック66a〜66fと、デジタルASIC回路ブロック68a〜68fと、デジタル入出力(“I/O”)回路ブロック70と、アナログI/O回路ブロック72と、システムコントローラ回路ブロック74と、クロック回路76とを備える、システムオンチップ集積回路60の構成図である。システムオンチップ集積回路60は、同様に、揮発性メモリブロック78(例えば、SRAMブロック)を備える。図1において例証された実施例と同様に、図3において示された構成は、システムオンチップ集積回路の特徴セットを分かり易く示唆すると共に、回路ブロック、レイアウト、及び他の設計上の選択機能の数及び配置に関して制限することを意図していない。
FPGAベースのシステムオンチップ集積回路80の別の実施例が、図4において示される。図1及び図3で示された実施例と同様に、図4で示されたFPGAベースのシステムオンチップは、プログラマブルロジックブロック82、不揮発性メモリブロック84、アナログASIC回路ブロック86a〜86f、デジタルASIC回路ブロック88a〜88f、デジタル入出力(“I/O”)回路ブロック90及びアナログI/O回路ブロック92、システムコントローラ回路ブロック94、そして、クロック回路96を備える。図4のシステムオンチップ集積回路80は、同様に、SRAMブロック98を備える。図4のシステムオンチップ集積回路80は、同様に、マイクロプロセッサ100を備える。図4の実施例において、フラッシュメモリブロック84、及びSRAMブロック98は、マイクロプロセッサの完全利用を可能にするのに十分に大きい。そのような実施例の例は、64キロバイトのSRAM、及び64キロバイトのフラッシュメモリを備える、“8051ハードウェア組み込みコア”(16ビットアドレス空間を有する1970年代に一般的であった8ビットマイクロプロセッサ)のようなマイクロプロセッサを備えることができる。本発明の1つの特徴によれば、SRAMブロック98、及びフラッシュメモリブロック84を個別の小さなブロック(例えば、1キロバイト、2キロバイト、または4キロバイト)に構成すると共に、マイクロプロセッサ100のアドレス空間に要望通りにプログラムされることを可能にすることは、有利であろう。そのような実施例において、プロセッサによって使用されないメモリブロックは、回路のFPGAブロック82による使用のために割当てられるであろう。
本発明の他の特徴によれば、更に洗練されたマイクロプロセッサ、またはマイクロコントローラ、システムバス、及びタイマ、UART、SRAM、またはDRAMポート等のような他の機能が提供され得る。SRAMは、マイクロプロセッサのためのDMAモードの下で動作することができる。マイクロプロセッサ(ソフトウェアによる、もしくはハードウェアによる)を含むFPGA、もしくは他のプログラマブルロジックデバイスは、プログラム記憶のためにメモリを必要とする。プログラム記憶メモリが決して変わらないので、オンボードPROMプログラム記憶ブロックは、このタスクにとって有益である。PROMメモリには、それがSRAMよりはるかに密度が高いので、利点があると共に、いくらかの外部のソースからロードされる必要がない。しかしながら、PROMは非常に遅いかもしれず、従って、PROM全体がSRAMに複写される必要がないように(恐らく並列に、もしくはバックグラウンドにおいて)PROMプログラムの記憶内容がロードされるSRAMキャッシュが、高速プロセッサに提供されることができる。プロセッサが、メモリのブロックを、それらが必要とされるキャッシュにダウンロードするための良く知られた方法がある。
SRAMベースのFPGAは、1つのチップ内にマイクロコントローラ、及びフラッシュFPGAを統合することによって、一般的に、不揮発性メモリに格納されるビットストリームによって構成される。マイクロコントローラは、電源投入、もしくはオンザフライのシステム動作の間、特定のアプリケーションに対するFPGA再構成の制御を必要とし得る。一方では、FPGAにマイクロコントローラ(例えば)をセットアップさせるために、構成手順は逆転されることができ、例えば、もしシステムが動作中にタイムアウトするか、またはハングアップする場合、FPGAは、マイクロコントローラへ、ハードリセットを要求する代りに、ソフトリセットを送ることができる。マイクロコントローラと、FPGAブロックの両方は、同期的にアクセスされるためのデュアルポートSRAMとして設計され得る、オンチップSRAMを共有する。データの待ち時間を減少させるために、オンチップSRAMは、マイクロコントローラのためのDMAモードの下で動作することができる。強力なフラッシュベースのFPGAオンチッププロセッサプラットホームを造るために、カスタマイズされた命令が、フラッシュメモリに実装され得るか、またはオンチップマイクロコントローラ、または外部のホストのいずれかを通じて、FPGAブロックが、コプロセッサとして再構成され得る。
本発明の1つの特別な実施例は、非常に功を奏するフラッシュFPGA構造を用いて、図1のプログラマブルロジックブロック12として構成されることができる。そのような構造の例は、カリフォルニアのマウンテンビューにある“Actel社”から入手できるFPGA集積回路のProASICラインにおいて発見され得る。進化したフラッシュFPGAコアを、埋め込まれたフラッシュメモリブロック、またはアナログ周辺装置と結合することによって、本発明に基づくシステムオンチップデバイスは、システム設計を非常に単純化すると共に、その結果、ボードスペースと、全体のシステムコストの両方を節約する。最先端のフラッシュメモリ技術は、非常に高密度の統合されたフラッシュアレイを提供すると共に、その結果、伝統的な代替手段であるSRAMベースのFPGAを構成するために、外部フラッシュチップの使用に関してかなりのコスト節減を提供する。複数のアナログ回路ブロックは、伝統的なFPGAアプリケーションを、単なるデジタル領域から、混合信号のアプリケーションに拡張する。十分に機能的なフラッシュマイクロコントローラユニット(MCU)を実現するために、埋め込まれたフラッシュメモリ、及び統合されたアナログ回路ブロックが、統合されたソフト(すなわち、プログラマブルロジックから構成される)プロセッサと共に使用され得るか、または、進歩したアナログ回路ブロックが、システム、及び電源管理能力を提供するために、高速のFPGAロジックと共に使用され得る。
本発明のそのような実施例は、図5の構成図において例証される。SOC110は、ロジックタイル、ルーティング、及びフラッシュセルスイッチ、及びプログラミング構造、及び当該技術において知られている技術を有するFPGAコア112を含むフィールドプログラマブルゲートアレイ(FPGA)を備える。当該技術において知られているように、汎用の入出力(GPIO)回路116は、I/Oタイル114を通じて、FPGAコア112に接続されることができる。
クロック発生回路ブロック118、及び分配システムは、クロック信号に関するオンチップ信号源を提供するために備えられる。クロック発生回路118は、RC発振器を備えることができる。これらの適度な精度(1〜2[%])のクロック信号源の1つ以上は、完全にSOC110の中に含まれ得ると共に、内部のチャージポンプ、及びNVM(不揮発性メモリ)のイレース/プログラムタイミングのために使用されることができる。それらは、同様に、正確な周波数制御が必要とされないFPGA、及び/または外部システムのためのクロックの信号源であり得る。本発明のSOC110におけるクロック発生回路ブロック118は、同様に、水晶発振器回路を備えることができる。この比較的高精度のクロック信号源(−100[PPM])は、図5で示された1組のI/Oピンを通じて、SOC110に接続され得る、安い外部のクリスタルを必要とする。クロック発生回路ブロック118は、全てのFPGA、及びシステムクロック要求のために使用され得る。SOCは、更に1つ以上のフェーズロックドループ(PLL)120を備えることができる。
更に、図5のSOC110のクロック発生回路ブロック118は、複数の内部の、もしくは外部のクロック信号源の間でのクリーンな切り替えを可能にするために、同様に、グリッチのない(glitchless)クロックマルチプレクサを備えることができる。グリッチのないクロックマルチプレクサは、オンチップのクロックで動作するシステムに選択可能な低電力(低周波)モードを提供するために、もしくはあらゆる他のアプリケーション理由でクロック間を切り替えるために、使用されることができる。これは、SOCが使用されるシステムにおいて、ボードスペースを節約し得ると共に、クリティカルシステム管理機能に信頼できるクロック信号源を提供する。実例となるクロックマルチプレクサは、図6を参照して説明されることになる。
FPGAをプログラミングするためのフラッシュプログラミング回路122は、当該技術において知られているFPGA回路の構成を定義するためのプログラミングデータをJTAGポート126を通じて入力するために、JTAG標準に従って設計されたJTAG TAPコントローラ124に接続される。暗号化アルゴリズム/復号化アルゴリズムを実行する安全性回路が提供され得る。例えば、復号化回路128は、AES暗号化/復号化標準を実行するように設計されている回路であり得る。次世代標準暗号(“AES”:Advanced Encryption Standard)(“FIPS PUB 197”)国立標準技術研究所(NIST),“Gaithersburg”,“Maryland州”は、全米技術情報局(NITS),“5285 Port Royal Road”,“Springfield”,“Virginia州22161”から利用可能である。次世代標準暗号は、電子データを保護するために使用され得る暗号化アルゴリズムを指定する。AESアルゴリズムは、情報をコード化(暗号化)して、復号化(解読)し得る対称的なブロック暗号である。AESアルゴリズムは、128ビットのブロックデータを暗号化して、復号化するために、128ビット、192ビット、及び256ビットの暗号化キーを使用することが可能である。この標準において指定されたアルゴリズムは、ソフトウェア、ファームウェア、ハードウェア、または、それのあらゆる組み合わせにおいて実行されることができる。特定の実行は、アプリケーション、環境、使用される技術等のような、いくつかの要素によって変わり得る。暗号化は、FPGA構成情報、不揮発性メモリの内容等を保護するために使用され得る。
図1において、通常、FPGAコアの低い方のエッジに沿って配置されるであろうGPIO回路は、FPGAコア112と他のSOC回路ブロックとの間の接続を生成するための内部インタフェース回路130によって置き換えられて示される。本発明の1つの特徴によれば、これらのGPIO回路、及びインタフェースブロックは、同一のフットプリントを有する“タイル”の形式であり得る。
SOC110は、同様に、少なくとも1つの不揮発性メモリ(NVM)ブロックを備える。図5で示された本発明の実例となる実施例において、独立型のフラッシュメモリアレイである2個のNVMブロック132、及びNVMブロック134が存在する。本発明の別の特徴によれば、フラッシュメモリアレイは、好ましくは約64[キロバイト]から約512[キロバイト]の間のサイズで分類される。フラッシュメモリアレイ132、及びフラッシュメモリアレイ134の各々は、それぞれがもう一方から独立して動作することを、及びFPGAコアから独立して動作することを可能にする、ビルトインの既知のチャージポンプ、及びプログラミング回路を備えている。1つより多いフラッシュメモリブロックの供給は、図5のSOC110が、同時に不揮発性メモリを使用する2つの個別のシステム機能を実行することを可能にする。図5で示された本発明の実例となる実施例において、正確な/信頼できるプログラミングのため、及び各フラッシュメモリアレイ132、及び各フラッシュメモリアレイ134の消去のために、オンチップクロック発生回路118からフラッシュメモリブロックに対してタイミング入力が提供される。
本発明の別の特徴によれば、FPGAコア112内のデータソースからFPGAを通るパス、GPIO116を通じてFPGAコア112外のデータソースからFPGAコアを通るパスを含む、NVMブロック132、及びNVMブロック134のためのいくらかの可能なNVMプログラミングパスに関する設備が、(FPGAプログラマのような)外部のJTAGマスタからJTAGポート124を通じて直接的に生成されると共に、JTAGデータソースからAESブロック126によって復号化されたデータストリームに関する設備が生成される。NVMモジュールインタフェースに対するFPGAは、JTAGに十分なバウンダリスキャンレジスタ(boundary scan register)のキャプチャ機能及び制御機能を提供する。バウンダリスキャンレジスタは、直接、NVM入力に対する全てのコアを制御及びキャプチャできると共に、ある意味ではバウンダリスキャン技術において知られている全てのNVM出力をキャプチャできる。
本発明の別の特徴によれば、NVMブロック132、及びNVMブロック134は、FPGAメモリの電力投入の初期化、アナログ/デジタル変換器(ADC)機能、システム全体の電力投入機能、マイクロコントローラ、またはマイクロプロセッサのためのプログラム保存、及び当該技術において知られているような一般的なデータ保存を提供するデータを適切に記憶するのに利用され得る。
図5のSOC110は、同様に、ADC136を備える。本発明の1つの実例となる実施例によれば、ADCは、複数の多重化されたアナログ入力の内の1つから選択することができると共に、印加された電圧を同等のデジタル値に変換する。ADCは、同様に、選択可能な変換分解能(例えば、8ビット、10ビット、または12ビット変換)を有することができる。本発明の1つの実例となる実施例によれば、ADC136の入力における電圧基準入力は、フルスケールの出力と対応する。更にここで開示されることになるように、ADC136は、複数のアナログ電圧源が使用されることを可能にするために、その入力に接続されたマルチプレクサを備えることができる。ADC136は、アナログI/O138に接続される。更にここで十分に開示されることになるように、アナログI/O138は、同様に、インタフェースタイル130に接続される。
図5のSOC110は、同様に、システム監視マスタブロック140を備える。システム監視マスタブロック140は、オンチップ電源投入ブロック142、アナログ電圧源チャージポンプ144、オンチップ電圧基準146、及びシステム監視ブロック148を備える。
図6を参照すると、本発明のSOCで使用するのに適当であり、実例となるグリッチのないクロックマルチプレクサ150が示される。グリッチのないクロックマルチプレクサ150は、選択ライン156の使用によって、ライン152のクロックA入力とライン154のクロックB入力との間を選択するために使用されることができる。クロックA入力152は、データラッチ158、及びデータラッチ160のクロック入力、そしてANDゲート162の1つの入力を駆動する。選択ライン156は、その出力がデータラッチ158のデータ入力を駆動するANDゲート164の1つの入力に接続される。
同様に、クロックB入力154は、データラッチ166、及びデータラッチ168のクロック入力、そしてANDゲート170の1つの入力を駆動する。データラッチ168のデータ出力は、ANDゲート170のもう一方の入力を駆動する。選択ライン156は、その出力がデータラッチ166のデータ入力を駆動するANDゲート172の1つの(反転)入力に接続される。ANDゲート170の出力は、ANDゲート164のもう一方の(反転)入力を駆動すると共に、ANDゲート162の出力は、ANDゲート172のもう一方の(反転)入力を駆動する。ANDゲート162、及びANDゲート170の出力は、ORゲート174の入力を駆動する。当業者は、図6の回路が、ORゲート174の出力においてあらゆるグリッチを生成することなしに、クロック入力Aとクロック入力Bとの間を選択することを可能にするということに気付くことになる。
上述のように、図5におけるアナログI/O回路138は、ADC136に対する入力及び出力を提供するために使用される。図7において示された本発明の1つの実例となる実施例によれば、アナログI/O機能は、セットに集められることができる。アナログI/O回路138は、多くのこれらのセットを備えることができる。
図7で示されたアナログI/O回路のセットは4つの部材を備えている。当業者は、図7は単に実例となるだけであると共に、実際のSOCにおけるI/O回路は、更に少ない、または更に多い部材を備えることができるということを認識することになる。図7で例証された実施例において、セットの第1の部材180は、I/Oパッド182に接続された電圧監視入力ブロックであり得る。I/Oパッド182は、ADC136の入力(または、その入力マルチプレクサの1つの入力)に直接的な接続を有することができるか、またはバッファされたプリスケーラ回路184を通じてそれに接続されることができる。プリスケーラ回路184は、更にここで開示されることになるように、n個の選択可能な値の内の1つにセットされた、プログラム可能な利得を有することができる。マルチプレクサ186は、直接入力と事前に増減された入力との間を選択するために使用される。マルチプレクサ186の選択入力は、FPGAから制御されることができる。本発明のSOCの別の特徴によれば、I/Oパッド182は、FPGAコアに対する低性能デジタル入力として、デジタル入力回路188を通るように設定され得る。
セットの第2の部材190は、I/Oパッド192に接続された電流監視入力ブロックであり得る。I/Oパッド182のように、I/Oパッド192は、ADC136の入力(または、その入力マルチプレクサの1つの入力)に直接的な接続を有することができるか、またはバッファされたプリスケーラ回路194を通じてそれに接続されることができる。プリスケーラ184のように、プリスケーラ194は、更にここで開示されることになるように、n個の選択可能な値の内の1つにセットされた、プログラム可能な利得を有することができる。マルチプレクサ196は、I/Oパッド192からの直接入力と事前に増減された入力との間を選択するために使用される。マルチプレクサ196の選択入力は、FPGAから制御されることができる。I/Oパッド182のように、I/Oパッド192は、FPGAコアに対する低性能デジタル入力として、デジタル入力回路198を通るように設定され得る。
I/Oパッド182に関する機能と同じである機能に加えて、セットの部材190は、I/Oパッド182と、I/Oパッド192との間の差異を測定するために使用されることができる。これは、外部電源と直列に接続された小さな抵抗を横断する電圧降下を測定するために使用されることができる。I/Oパッド192は、増幅器200の1つの入力に接続される。増幅器200のもう一方の入力は、I/Oパッド182に接続される。増幅器200の出力は、マルチプレクサ196の第3の入力に提示される。もし低い値(例えば0.1[オーム])の抵抗(図7に図示せず)が、I/Oパッド182とI/Oパッド192との間に接続され、電圧源の電位がI/Oパッド182に接続されると共に、負荷がI/Oパッド192に続される場合、その抵抗を横断する電圧降下は、増幅器200によって感知されて増幅され得る。その電圧降下は、抵抗を流れる電流と直接比例する。増幅器200に関する実例となる構成は、図9を参照して示されると共に説明される。
セットの第3の部材210は、I/Oパッド212に接続された温度監視入力ブロックであり得る。I/Oパッド182のように、I/Oパッド212は、ADC136の入力(または、その入力マルチプレクサの1つの入力)に直接的な接続を有することができるか、またはバッファされたプリスケーラ回路214を通じてそれに接続されることができる。プリスケーラ184のように、プリスケーラ214は、更にここで開示されることになるように、n個の選択可能な値の内の1つにセットされた、プログラム可能な利得を有することができる。マルチプレクサ216は、I/Oパッド210からの直接入力と事前に増減された入力との間を選択するために使用される。マルチプレクサ216の選択入力は、FPGAから制御されることができる。I/Oパッド182のように、I/Oパッド212は、FPGAコアに対する低性能デジタル入力として、デジタル入力回路218を通るように設定され得る。
I/Oパッド182に関する機能と同じである機能に加えて、セットの部材210は、I/Oパッド212上の信号に関する温度監視回路として使用されることができる。このセットの部材210は、ダイオードのI−V特性の温度動作を利用することによって、外部のダイオードの温度を測定するように構成されることができる。温度監視回路220は、同様に、マルチプレクサ216の入力に接続されることができる。本発明のSOCは、複数の温度監視回路を供給されることができる。本発明の一実施例によれば、多くの温度センサは、外部の温度(例えば、他のICのジャンクション温度、または気温)を測定するためのものであると共に、1つはそれ自身のジャンクション温度を測定するためのものである。温度監視回路220は、図10を参照して、更に詳細に説明される。
セットの第4の部材230は、I/Oパッド232に接続されたゲートドライバ出力ブロックであり得る。パワーMOSFETゲートドライバ回路234は、FPGAからI/Oパッド232を駆動する。各々、I/Oパッド232は、プルアップ抵抗236、及びプルアップ抵抗238のいずれかを通じて、I/Oパッド192、及び/またはI/Oパッド212に接続されることができる。当業者は、抵抗236及び抵抗238が、任意であることを認識することになる。任意のランプ抵抗240は、I/Oパッド232、またはI/Oパッド212に接続されることができる。ゲートドライバ回路234は、図11を参照して、更に詳細に説明される。
本発明の一実施例によれば、プリスケーラ回路184、プリスケーラ回路194、及びプリスケーラ回路214は、外部の電圧を昇圧するか、または降圧するために提供される。図8を参照すると、プリスケーラ回路は、8つの要素の内の1つによって外部電圧を増減し得ることが示される。プリスケーラ回路は、電圧監視機能において、もしくはあらゆるアナログ入力機能において使用され得る。プリスケーラ回路は、カレントミラー回路に基づくことができる。カレントミラー回路の一次側は、I/Oパッド192とダイオード接続されたn−チャンネルMOSトランジスタ252との間に接続された抵抗250を備える。ダイオード接続されたn−チャンネルMOSトランジスタ252のゲート、及びドレインは、n−チャンネルMOSトランジスタ254、n−チャンネルMOSトランジスタ256、n−チャンネルMOSトランジスタ258、及びn−チャンネルMOSトランジスタ260のゲートに接続される。ダイオード接続されたn−チャンネルMOSトランジスタ252の幅と、n−チャンネルMOSトランジスタ254、n−チャンネルMOSトランジスタ256、n−チャンネルMOSトランジスタ258、及びn−チャンネルMOSトランジスタ260の幅の比率は、所望の倍率を生じさせるために選択される。
n−チャンネルMOSトランジスタ254、n−チャンネルMOSトランジスタ256、n−チャンネルMOSトランジスタ258、及びn−チャンネルMOSトランジスタ260のソースは、それぞれ、イネーブルn−チャンネルトランジスタ262、イネーブルn−チャンネルトランジスタ264、イネーブルn−チャンネルトランジスタ266、及びイネーブルn−チャンネルトランジスタ268を通じて、アースのような固定電位に接続される。イネーブルn−チャンネルトランジスタ262、イネーブルn−チャンネルトランジスタ264、イネーブルn−チャンネルトランジスタ266、及びイネーブルn−チャンネルトランジスタ268のゲートは、デコーダ回路270から駆動される。デコーダ回路270の制御ラインは、FPGAアレイから駆動される。n−チャンネルMOSトランジスタ254、n−チャンネルMOSトランジスタ256、n−チャンネルMOSトランジスタ258、及びn−チャンネルMOSトランジスタ260のドレインは、演算増幅器272の非反転入力に一緒に接続される。抵抗274は、演算増幅器272の利得を設定する。
本発明の1つの特徴によれば、以下の電圧倍率“0.20161”、“0.40322”、“0.80645”、“1.6129”、“3.2258”、“6.45161”、“12.90322”、及び“25.80645”が特に有益であることが発見された。これは、図5のADC136のフルスケールの電圧が3.3[V]である場合に、特に有益である。これらの8つの倍率の選択は、FPGAから提供される3ビットの2進制御信号によって制御される。これらのファクタを用いると、16[V]は、倍率“0.20161”を使用して3.3[V]に降圧され得る(16×0.20161=3.3)と共に、125[mV]は、倍率“25.80645”を使用して3.3[V]に昇圧され得る(0.125×25.80645=3.3)。同様に、プリスケーラは、負の電圧を正の電圧に増減し得る(すなわち、“−16[V]”は、3.3[V]に変換され得る)。従って、プリスケーラの機能は、ADCによって受け入れられる範囲に入力電圧を変換することである。ここで説明された典型的な倍率を使用する理由は、ADCのデジタル出力と1ミリボルトステップとの間の一致を達成するユーザ利便のためである。この開示の検討から、当業者は、あらゆる数の異なる倍率が選択され得ると認識することになる。
図7の増幅器200に関する実例となる構成は、図9を参照して示されると共に説明される。外部抵抗280は、I/Oパッド182とI/Oパッド192との間に接続されることが示される。スイッチ282、スイッチ284、スイッチ286、及びスイッチ288の初期位置(図8に示される)によって、演算増幅器290のオフセット値がコンデンサ292に格納され、従って演算増幅器290の出力は近似的にアース電位である。同様にコンデンサ294、及びコンデンサ296は、外部抵抗280の右側の電圧レベルに充電されると共に、演算増幅器290の非反転入力はアース電位である。演算増幅器290の反転入力は、仮想アース電位である。スイッチ282、スイッチ284、スイッチ286、及びスイッチ288は、その次に、順番に切り替えられる(最初にスイッチ282、次にスイッチ284、次にスイッチ286、次にスイッチ288を切り替える)。全てのスイッチ282、スイッチ284、スイッチ286、及びスイッチ288がそれらの新しいポジションになった後で、外部抵抗280を横断する電圧降下は、“(C256+C258)/C258”によって定義された利得によって、演算増幅器290により増幅される。演算増幅器290の出力電圧はADCの入力に印加される。外部抵抗の値が知られているので、外部抵抗を流れる電流は知られている。図9の回路の構成は、演算増幅器290の電圧オフセットを増幅することを回避するという利点を有する。
図10を参照して、温度監視回路220が更に詳細に説明される。この回路は、ダイオードに2つの異なる電流を強制的に流すと共に、ダイオードを横断する電圧降下の差異を測定する。その場合に、それはこの電圧を5倍に増幅すると共に、それをADCに送る。この増幅された電圧差異は、温度(ケルビン熱力学温度“°K”で表された)と直接一致する。
例えば、図10で示されたように、(25[℃]のダイオード温度に一致する)電圧差異59.6[mV]は、10[μA]及び100[μA]の電流を、順次にダイオード300を横断して強制的に流すことによって測定される。これは、5倍に増幅され、298[°K](25[℃])と対応する298[mV]を与える。ダイオードは、I/Oパッド212に接続される。ダイオード300がどちらの方向でも方向付けられることを可能にする、2つの電流の生成回路が示される。電流の信号源である第1の電流生成回路は、p−チャンネルMOSトランジスタ302、p−チャンネルMOSトランジスタ304、及びp−チャンネルMOSトランジスタ306を備えると共に、“+3.3VDC”の供給電位とI/Oパッド212との間に直列に接続される第1の区間を有する。トランジスタ302のゲートは、正のバイアス電位に接続される。トランジスタ304のゲートは、アースに接続されると共に、トランジスタ306のゲートは、“Source/Sink”制御信号に接続される。トランジスタ302、トランジスタ304、及びトランジスタ306のサイズ、及び正のバイアス電位の値は、ダイオード300に10[μA]の電流を流れさせる(供給する)ように選択される。
第1の電流生成回路の第2の区間は、p−チャンネルMOSトランジスタ308、p−チャンネルMOSトランジスタ310、及びp−チャンネルMOSトランジスタ312を備えると共に、“+3.3VDC”の供給電位とI/Oパッド212との間に直列に接続される。トランジスタ308のゲートは、正のバイアス電位に接続される。トランジスタ310のゲートは、制御信号“S”に接続されると共に、トランジスタ312のゲートは、“Source/Sink”制御信号に接続される。トランジスタ308、トランジスタ310、及びトランジスタ312のサイズ、及び正のバイアス電位の値は、ダイオード300に追加の90[μA]の電流を流れさせる(供給する)ように選択される。
同様に、電流を引き込む第2の電流生成回路は、n−チャンネルMOSトランジスタ314、n−チャンネルMOSトランジスタ316、及びn−チャンネルMOSトランジスタ318を備えると共に、“−3.3VDC”の供給電位とI/Oパッド212との間に直列に接続される第1の区間を有する。トランジスタ314のゲートは、負のバイアス電位に接続される。トランジスタ316のゲートは、正の電圧に接続されると共に、トランジスタ318のゲートは、“Source/Sink”制御信号に接続される。トランジスタ314、トランジスタ316、及びトランジスタ318のサイズ、及び負のバイアス電位の値は、ダイオード300に10[μA]の電流を流れさせる(引き込む)ように選択される。
第2の電流生成回路の第2の区間は、n−チャンネルMOSトランジスタ320、n−チャンネルMOSトランジスタ322、及びn−チャンネルMOSトランジスタ324を備えると共に、“−3.3VDC”の供給電位とI/Oパッド212との間に直列に接続される。トランジスタ320のゲートは、負のバイアス電位に接続される。トランジスタ322のゲートは、制御信号“S”に接続されると共に、トランジスタ324のゲートは、“Source/Sink”制御信号に接続される。トランジスタ320、トランジスタ322、及びトランジスタ324のサイズ、及び負のバイアス電位の値は、ダイオード300に90[μA]の電流を流れさせる(引き込む)ように選択される。
もし“Source/Sink”制御信号が“ロウ”である場合、電流供給トランジスタが動作する。もし“Source/Sink”制御信号が“ハイ”である場合、電流引き込みトランジスタが動作する。どちらの場合においても、回路の第1の区間(トランジスタ302、トランジスタ304、及びトランジスタ306、またはトランジスタ314、トランジスタ316、及びトランジスタ318のいずれか)はターンオンされ、10[μA]の供給電流もしくは引き込み電流がダイオード300を流れる。“S”(または“Sのバー”)信号がアサートされる場合、回路の第2の区間(トランジスタ308、トランジスタ310、及びトランジスタ312、またはトランジスタ320、トランジスタ322、及びトランジスタ324のいずれか)が同様にターンオンされ、合計で100[μA]の供給電流もしくは引き込み電流がダイオード300を流れる。
回路の残りの構成要素は、非反転入力がアースに接続された演算増幅器326、I/Oパッド212と演算増幅器326の反転入力との間に接続されたコンデンサ328、演算増幅器326の反転入力と、n−チャンネルMOSトランジスタ332を通じて演算増幅器326の出力との間に接続されたコンデンサ330を含む。図10の例において、コンデンサ328は、コンデンサ330の容量の5倍の容量であると共に、回路の利得を決定する容量を有している。コンデンサ330は、演算増幅器326のオフセットを記憶し、従ってオフセットをキャンセルする。
コンデンサ330、及びトランジスタ332の共通接続部分は、n−チャンネルMOSトランジスタ334を通じてアースに接続される。トランジスタ332のゲートは、制御信号“Yのバー”に接続されると共に、トランジスタ334のゲートは、制御信号Yに接続される。n−チャンネルMOSトランジスタ336は、演算増幅器326の反転入力と出力との間に接続されると共に、制御信号Xに接続されたそのゲートを備える。n−チャンネルMOSトランジスタ338は、演算増幅器326の反転入力に接続されると共に、制御信号“Xのバー”に接続されたそのゲートを備える。制御信号X、Y、“Yのバー”、及び“S”の相対的なタイミングは、図10の右側に示される。タイミングシーケンスの前後におけるダイオード電圧の差異は、回路利得によって増幅されると共に、絶対温度に対応して、演算増幅器326の出力に現れる。
当業者は、回路動作の性質を変えずに、実際の電流供給レベル及び電流引き込みレベル、供給電圧値、回路利得が変えられ得るということを認識することになる。更に、そのような当業者は、シングルエンド形回路が図10で示される一方、ダイオードを横断する電圧を測定するために、差動回路が使用され得るということを認識することになる。
ここで図11を参照すると、図7のゲートドライブ回路234の動作が更に詳細に説明される。外部のパワーMOSFET340は、供給電位342に接続された信号源を備えている。そのゲートは、I/Oパッド232に接続されると共に、そのドレインは、I/Oパッド212、またはI/Oパッド192に接続される(図7参照)。もし供給電位342が正の値である場合、パワーMOSFET340は、p−チャンネルデバイスとすることになると共に、もし供給電位342が負の値である場合、パワーMOSFET340は、n−チャンネルデバイスとすることになる。抵抗236または抵抗238(再び図7を参照)は、SOCデバイスに配置されることができると共に、ゲートドライブ信号がI/Oパッド232に供給されない限りパワーMOSFET340がターンオフされることになるということを保証するために使用される。
演算増幅器344は、p−チャンネルMOSゲートドライブトランジスタ346のゲートを駆動する。p−チャンネルMOSゲートドライブトランジスタ346のドレインは、I/Oパッド232に接続される。p−チャンネルMOSゲートドライブトランジスタ346のソースは、p−チャンネルMOSイネーブルトランジスタ348を通じて、正の供給電位に接続される。演算増幅器344の非反転の入力は、抵抗350を通じて、I/Oパッド192(或いは、I/Oパッド212)経由でパワーMOSFET340のドレインに接続される。演算増幅器344の反転入力は、定電流源354によって駆動されるコンデンサ352に接続される。
同様に、演算増幅器356は、n−チャンネルMOSゲートドライブトランジスタ358のゲートを駆動する。n−チャンネルMOSゲートドライブトランジスタ358のドレインはI/Oパッド232に接続される。n−チャンネルMOSトランジスタゲートドライブ358のソースは、n−チャンネルMOSイネーブルトランジスタ360を通じて、負の供給電位に接続される。演算増幅器356の反転入力は、抵抗350を通じて、I/Oパッド192(或いはI/Oパッド212)経由で、パワーMOSFET340のドレインに接続される。演算増幅器356の非反転入力は、定電流源364によって駆動されるコンデンサ362に接続される。演算増幅器344の非反転入力、及び演算増幅器356の反転入力は、抵抗366を通じてアースに接続される。
図11で示された例において、n−チャンネルMOSパワートランジスタ340が駆動される必要がある場合、p−チャンネルイネーブルトランジスタ348がターンオンされる。n−チャンネルMOSパワートランジスタ340をターンオンするために、電流源354がターンオンされると共に、直線比率でコンデンサ352を充電する。コンデンサ352上の電圧は、演算増幅器348の出力において減少するランプ電圧を生じさせる負の利得によって増幅される。これは、p−チャンネルMOSパワートランジスタ340をターンオンするために、p−チャンネルゲートドライブトランジスタ346のドレインにおいて減少するランプ電圧を生じさせる。MOSパワートランジスタ340上の最終のゲート電圧は、ゲートソース間抵抗236、またはゲートソース間抵抗238を横断するIR電圧降下(IR drop)によって確立されると共に、p−チャンネルイネーブルトランジスタ348を流れる電流によって決定される。もしp−チャンネルMOSパワートランジスタをターンオンすることが望まれる場合、n−チャンネルイネーブルトランジスタ360がターンオンされると共に、電流源364がターンオンされ、そして直線比率でコンデンサ362を充電する。コンデンサ362上の電圧は、演算増幅器356の出力において増加するランプ電圧を生じさせる正の利得によって増幅される。これは、p−チャンネルMOSパワートランジスタをターンオンするために、n−チャンネルゲートドライブトランジスタ358のドレインにおいて増加するランプ電圧を生じさせる。抵抗350を通じて演算増幅器344、及び演算増幅器356に供給されたフィードバックは、負荷上の制御された傾斜比率(ramp rate)を保証する。
図12をここで参照すると、特に本発明のSOCにとって有益である内部インタフェース回路130(図5)の実例となる実施例が示される。当業者は、図12に示される回路が、実例となるだけで、決して制限しないことに気付くことになる。そのような当業者は、他のインタフェース回路が使用され得ることを認識することになる。
内部インタフェース回路130(図5)は、それぞれが複数の異なる種類のインタフェース回路を有している複数の“タイル(tile)”を備えることができる。この開示の意図に関して、“タイル”は、モジュラーチップ設計を可能にするために入力及び出力が同じ物理的位置に配置されるレイアウトサブユニット(layout subunit)である。各タイプの回路の内の1つより多くが各タイルに含まれることができ、その正確な数は設計上の選択に関わる問題である。
例えば、一組のバッファ370、及びバッファ372が提供され得る。一緒に接続されたそれらの入力を有すると共に、独立して接続可能なそれらの出力を有するバッファ370、及びバッファ372が図12で示される。バッファ370、及びバッファ372は、FPGAコアのための入力バッファとして動作する。内部インタフェース回路130は、同様に、入力ノード376と出力ノード378との間に配置される反転バッファ374を備えることができる。第1のプログラマブル素子380は、バッファ374の入力と出力ノード378との間に接続される。第2のプログラマブル素子382は、バッファ374の出力と出力ノード378との間に接続される。バッファ374をバイパスするために、プログラマブル素子380がプログラムされ、プログラマブル素子382がプログラムされない状態に維持されて、入力ノード376は直接的に出力ノード378に接続される。バッファ374を回路に配置するために、プログラマブル素子382がプログラムされ、プログラマブル素子380がプログラムされない状態に維持されて、入力ノード376はバッファ374を通じて出力ノード378に接続される。バッファ374は、FPGAコアのための出力バッファとして動作する。
更に、一組のプログラマブル素子384、及びプログラマブル素子386は、論理的な“ハイ”の電位と、論理的な“ロウ”の電位と間に、直列に接続されることができる。これらのプログラマブル素子の間の共通接続部分は、例えば図11におけるトランジスタ348またはトランジスタ360のゲート、あるいは図7のマルチプレクサ186、マルチプレクサ196、マルチプレクサ216の制御ラインの内の少なくとも1つを駆動するための出力ノード388として使用される。
本発明の実例となる実施例によれば、ADC136のアナログ部分のための電力は、3.3[V]であり得る。アナログI/O回路は、同様に、“+/−3.3[V]”電源を使用することができる。これらの供給電圧は、ある意味では当該技術において知られているチャージポンプ回路を使用して、1.5[V]のVcc電源からチップ上で生成されることができる。もう一つの方法として、3.3[ボルト]がSOCに供給されることができると共に、1.5[ボルト]は3.3[ボルト]から調整的に降圧することによってチップ上で生成されることができる。
高精度電圧が、ADC136に対する基準電圧入力として必要とされるか、もしくはADC136の中で生成されることができる。この電圧は、既知の手法を使用するオンチップバンドギャップ(Bandgap)電圧源から一定の基準で増減されることができる。そのようなバンドギャップ基準は、図13で示される。第1のベース接地PNPトランジスタ390は、そのエミッタと演算増幅器394の出力との間に接続される抵抗392を備えている。第2のベース接地PNPトランジスタ396は、そのエミッタと演算増幅器394の出力との間に接続された一組の抵抗398及び抵抗400を備えている。トランジスタ390のエミッタは、演算増幅器394の非反転入力に接続されると共に、抵抗398と抵抗400の共通接続部分は、演算増幅器394の反転入力に接続される。演算増幅器394の出力電圧Vrefは、図13で示された式によって与えられる。
バンドギャップ基準のための個別の電源は、FPGA信号源からの結合ノイズの危険性を減少させるのに有益である。バンドギャップ基準の出力は、同様に、チップ上で生成されたアナログ電源のレベルを制御するために使用されることができる。バンドギャップ基準の出力は、もし使用される特別な不揮発性メモリ(NVM)が(例えばセンス増幅器のための)安定した基準電圧を必要とする場合、不揮発性メモリ(NVM)ブロックに供給されることができる。バンドギャップ基準回路は、図5のADC136と同様に、他のアナログブロックによって使用されることになる基準電圧を生成するために使用される。演算増幅器394は、必ずしも必要ではないが、3.3[V]チャージポンプによって有利に動作する。回路のVref出力は、電圧−非依存、プロセス−非依存、そして温度−非依存であるが、演算増幅器に必須の最低の電圧源は、約1.35[V]である。従って、それは、好ましくは、1.5[V]のVccではなく、3.3[V]チャージポンプによって供給される。
図14を参照すると、図5のADC136が更に詳細に示される。ADC136は、当該技術において知られているコンデンサベースの逐次比較型(SAR)ADCであり得る。ADC136は、2つの部分、すなわちアナログ部分410とデジタル部分412とに分割される。アナログ部分410は、アナログマルチプレクサ414、コンデンサアレイ416、及び比較器418を備える。デジタル部分は、逐次比較レジスタ420、クロック分周器422、及び変換制御ロジック424を備える。同様に、当該技術において知られているように、校正ロジック426は、校正コンデンサアレイ428に接続される。
図14で示された実例において、アナログマルチプレクサ414は、32個の入力チャネルの内の1つを選択する。マルチプレクサの選択ラインを用いて一度チャンネルが選択されれば、それはサンプル位相の間にメインコンデンサアレイ416を充電する。その後で、コンデンサアレイを充電したサンプルされた入力は、既知の電圧と比較されると共に、比較結果に基づいて、それらのコンデンサは逐次比較アルゴリズムに従って切り替えられる。比較器418の2つの入力が等しいとき、逐次比較レジスタ420内のデータは、アナログ入力に相当するデジタル値である。クロック分周器、及びサンプル時間(それはプログラム可能である)は、この変換のスピードを決定する。
再び図5を参照すると、システム監視マスタブロック140は、全てのチップレベル、及びシステムレベルの電源投入/初期化/リセット機能を提供することを目的としている。電源投入制御回路は、以下で更に詳細に説明されることになるように、電源投入の間にSOCデバイスを管理するための回路構成を備える。
アナログ電源回路は、SOCデバイスのプログラマブル素子をプログラムするための異なる電圧と同様に、SOCデバイスの様々な回路ブロックの動作に必要とされる電圧を供給するために、既知の電源、及び管理回路を備えることができる。図5で示された実施例において、プログラマブルロジックブロック、及びデジタルでハードウェア化されたブロックは、システムコントローラ回路ブロックから分離されたそれら自身の電源回路を備えている。この実施例において、システムコントローラ回路は、アナログ電源回路ブロック144を備えている。アナログ電源回路ブロック144は、SOCデバイス上の全てのブロックに対する電源入力を監視するための電源監視機能を実行するばかりでなく、電源をアナログブロックに供給する。アナログ電源回路は、電圧監視回路、チャージポンプ、及び電圧源回路を備える。これらの種類の回路は、全て当該技術において知られていると共に、ASICのような他の種類の半導体素子上で使用される。
アナログ電源回路144は、例えば、電圧基準回路、チャージポンプ、スイッチング電源、スイッチングレギュレータ、降圧/昇圧レギュレータ、及び電圧レギュレータを含むことができる電圧変換及び供給回路ブロックを備える。そのような回路の使用は、当業者によって知られている。SOCデバイスにおける異なる回路ブロックは、異なる電圧を必要とする可能性があり、これらの電圧は、SOCデバイスが一部分であるシステムによって供給される電圧と異なる可能性がある。従って、必要とされる電源を様々な構成要素に提供するために、当該技術において知られているように、電圧変換及び供給回路が実装されることができる。もし上述の回路によって必要とされ、一度、装置への電圧入力が昇圧されたか、もしくは降圧された場合、必要とされた電圧は、ハードウェアに組み込まれている電源ラインを経由してSOCデバイスの様々な構成要素に提供される。
この開示の実例において、デバイスへの電圧入力は、3.3[V]であり得るが、しかしハードウェア化されたアナログ回路ブロックは、1.5[V]を必要とする可能性がある。従って、電圧変換及び供給回路は、適切な電圧をデジタル回路に供給するために、1.5[V]までデバイス入力電圧(Vcc)を降圧する。これに代る方法として、1.5[V]がSOCデバイスに供給されると共に、3.3[V]まで昇圧されるであろう。図で示された実施例において、プログラマブルロジックブロック、及び不揮発性メモリブロックのようなSOCの他の素子は、システムコントローラ回路ブロックに含まれない個別の電圧変換及び供給回路を備えている。
アナログ電源回路144は、当該技術において知られているように、同様に、入力電圧を基準電圧と比較するための電圧監視回路を備えている。電圧監視回路は、入力として電圧基準信号(例えば、以下で説明する電圧基準回路からのバンドギャップ基準信号)を受信すると共に、SOCデバイス上の他の電圧源(例えば、プログラマブルロジックブロック電圧源、不揮発性メモリ電圧源、及びアナログ電圧源)をバンドギャップ基準と比較するためにそれを使用する。もし、監視された電圧源の電圧が基準電圧に対して劣っている(すなわち、所定の誤差範囲外にある)場合、電圧監視回路は、問題を示す信号を出力することができる。出力誤差信号は、SOCデバイスの、起動を遅らせるか、電源の切断を誘発するか、1つ以上のリセットを生成するか、割り込みをアサートするか、または動作を停止するために使用され得るであろう。
システムコントローラ回路に含まれる電圧基準回路146は、例えば、以前に図13を参照して説明されたようなバンドギャップ基準回路か、または、正確な基準電圧を供給するために当業者において知られている他の種類の回路であり得る。バンドギャップ基準回路は、SOCデバイス上の他の回路による参照のための絶対的電圧出力を提供する。他の電源は、上述のように、基準電圧と比較され得る。電圧基準回路146は、電圧のレベルを変えるために、例えば演算増幅器、及びバッファのような他の回路を備えることができる。例えば、特定のSOCデバイスにおける使用に応用され得る“California州”の“Sunnyvale”の“QualCore Logic社”から入手可能なバンドギャップ電圧基準回路のような、図13で例証されたもの以外のバンドギャップ電圧基準回路が、本発明の他の実施例に使用されることができる。ADC回路のような構成要素に高精度電圧入力を提供すると共に、他の回路からの結合ノイズの危険性を減少させるために、このバンドギャップ電圧基準回路は、プログラマブルロジックブロックのようなSOCデバイス上の他の回路ブロックのために使用される一般的なバンドギャップ電圧源から分離されることができる。
電源投入制御回路142は、SOCデバイスの内部電源投入シーケンスを制御する。電源投入シーケンスは、電源がデバイスに供給されると、回路が適切な順番で適切な初期化を受けることを保証するために使用される。典型的な電源投入シーケンスは、図15Aの状態機械の図において示される。そのようなシーケンスの使用は、不適当な電圧(例えば、スパイクが原因による不十分な電圧、または過度の電圧)における回路動作、もしくは不適当なシーケンス(例えば、まだ初期化されない回路と通信しようとする動作中の回路)による回路動作に起因するエラー、またはSOCデバイスに対するダメージの可能性を減少させる。電源投入制御回路142は、電源投入の間に回路をアクティブにするための十分な電圧が存在するかどうかを判定する回路を備える。これらの回路は、電源投入の間に電圧を監視することに加えて、同様に、SOCデバイスの動作の間に同じ電圧を監視するために使用され得る。典型的な起動シーケンスを示すタイミング図が図15Bにおいて示される。
ここに示された例のような電源投入シーケンスを実行する電源投入制御回路142は、ハードウェア化された回路、またはハードウェア化された回路とプログラマブルロジックとの組み合わせにおいて実施されることができる。当該技術において知られているように、レギュレータ回路、チャージポンプ、電圧基準ジェネレータ等が、ハードウェア化された回路で実施されなければならず、一方シーケンス及び制御回路は、ハードウェア化された回路で実施されるか、もしくは、プログラマブルロジックブロックがアクティブにされる前にそれらが使用されることを必要とされない限り、プログラマブルロジックにおいてプログラムされることができる。
図5のシステム監視マスタブロックの更に詳細な構成図が図16において示されたように、電源投入制御回路142は、適切な条件(例えば、タイミング、十分な電圧等)が満たされるとき、SOCデバイスの様々な素子をアクティブにするようにという信号を提供するために、標準の回路素子を使用する回路から構成されると示される。図16で示された実施例において、電源投入制御回路は、基準電圧を供給する回路がアクティブであると共に、所定のパラメータの中で機能していることを示すための電圧基準良好回路440を備える。電源投入制御回路は、同様に、各電圧源(示された例における3.3[V]、及び1.5[V])が良好である(アクティブであることを意味し、所定のパラメータの中で機能している)ことを示すための回路442及び回路444を備える。電源投入制御回路は、同様に、SOCデバイスの様々な構成要素に供給された電圧をフィルタ処理するために、例えば図16で示されるVddフィルタ回路446のような電圧フィルタ回路を備えることができる。
電源を点検すると共に、管理することに加えて、電源投入制御回路は、プログラマブルロジックブロックをアクティブにするための回路(回路448)、及び不揮発性メモリブロックをアクティブにするための回路(回路450)のような、SOCデバイスの様々な構成要素をアクティブにするための回路を備え、その構成要素がアクティブになったかどうかを判定すると共に、その回路がアクティブになったことを示す信号を出力する。構成要素がアクティブであることを示す信号は、電源投入シーケンスにおいて、次のステップをアクティブにするために使用されることができる。図16において同様に示されるのは、ADCの電源投入機能を管理するための回路である。明確に、ADC基準良好回路452は、ADCに対する基準電圧入力が正確であることを示すと共に、ADC校正回路454は、ADCが校正されていることを示す。
本発明の実施例の実際の実施例に使用される特別な回路は、特別なデバイスにおいて使用されるプログラマブルロジック、メモリ、アナログ及びデジタルでハードウェア化されたブロックの詳細によって変わることになる。電源投入制御機能を実行するように適合され得る標準の回路の例は、当該技術において知られていると共に、“California州”の“Sunnyvale”の“QualCore Logic社”、“California州”の“San Francisco”の“TriCN社”、または“Utah州”の“Salt Lake City”の“SliceX社”のような回路設計、及びIP技術供与会社から入手可能である、マルチプレクサ、制御回路、電源監視回路、水晶発振器、バンドギャップ基準回路、演算増幅器、機器増幅器、チャージポンプ、フィルタ、電源レギュレータである。
図17は、電源投入シーケンスにおける機能を早く実行するための電源投入制御回路460の一部分を示す。図17において同様に示されるのは、電源投入回路と通信する、図13の電圧基準(バンドギャップ)回路である。説明目的のために、図17で示された電源投入回路は、1.5[ボルト]をSOCデバイスのデジタル回路に供給するための1.5ボルトレギュレータ回路462、SOCデバイスのハードウェア化されたアナログ回路に負の電圧を供給するための−Veチャージポンプ回路464、フィルタ処理された電圧を必要とする回路(例えば、バンドギャップ電圧レギュレータ回路)にフィルタ処理された3.3[V]電圧源を供給するためのVddフィルタ回路466である。
更に特に、3.3[V]は、I/Oパッド468を通じてSOCに供給されると共に、示されるように、1.5ボルトレギュレータ回路462に供給される。図17から分かるように、I/Oパッド468は、同様に、−Veチャージポンプ回路464、及びVddフィルタ回路466に接続される。1.5ボルトレギュレータ回路462の1.5[V]出力は、I/Oパッド472を通じて、外部のエミッタフォロアNPNトランジスタ470のベースを駆動する。外部のトランジスタ470の出力は、I/Oパッド474によって、1.5ボルトレギュレータ回路462にフィードバックされる。比較器476は、I/Oパッド474上の電圧が1.5ボルトレギュレータ462からの電圧によって設定された値を越えているとき、3.3[V]電圧源良好信号を生成する。比較器478は、I/Oパッド474上の電圧がI/Oパッド474の電圧から得られた設定値を越えているとき、1.5[V]電圧源良好信号を生成する。
−Veチャージポンプ回路464の出力は、I/Oパッド480に提示されると共に、Vddフィルタ回路466の出力は、I/Oパッド482に提示される。
図15Aの電源投入シーケンスフローチャートにおいて示されたように、電源投入シーケンスにおいて生成された第1の信号は、バンドギャップ良好信号である。この信号は、バンドギャップ基準回路が、それが設計されている正確な、調整された電圧を出力しているということを示す。この電圧がデバイス上の他の回路のための基準であるので、それは、電源投入の間、最初に使用できることが要求される。バンドギャップ回路に対する電源入力が電源投入の間に増加するので、バンドギャップ回路によって出力された電圧は、バンドギャップ回路が出力するように設計されている電圧(基準電圧)以上に入力電圧が上昇するまで、ほぼ正確に入力電圧に一致する。デバイスのための基準電圧は、一般にSOCデバイスの入力電圧(Vcc)を下回っている。例えば、SOCデバイスのVccが3.3[ボルト]である場合、SOCデバイスのための基準電圧は、1.2[V]であり得る。
図17で示された電源投入制御回路の一部分は、同様に、いつバンドギャップ基準回路488が正しいバンドギャップ出力電圧を出力しているかを示すためのしきい値p−チャンネルMOSトランジスタ484、及び小さな電流源486を備える。図17で示された例において、バンドギャップ回路488は、Vddフィルタ回路466からの電圧入力を受けると共に、バンドギャップ出力490経由で制御された電圧を出力する。しきい値トランジスタ484のソースは、Vddフィルタ回路出力に接続されると共に、バッファ500及び電流源486は、しきい値トランジスタ484のドレインに接続される。しきい値トランジスタ484のゲートは、バンドギャップ出力490に接続される。この構成において、バンドギャップ回路488に対する電圧入力が、バンドギャップ回路出力をp‐チャンネルしきい値トランジスタ484のしきい値以上に越えるとき、しきい値トランジスタ484はターンオンすることになる。一度、しきい値トランジスタ484がターンオンすると、電流がしきい値トランジスタ484を通じて流れると共に、バッファ500によってバンドギャップ良好信号がアクティブにされる。これは、バンドギャップ回路488が適切な基準電圧を出力しているときまで、バンドギャップ回路488がアクティブと表示されないことを保証する。
しきい値トランジスタ484のしきい値は、当該技術において知られているように、トランジスタの配置、及び材料を変えることによって、所定の値に設計されることができる。正確なしきい値が温度によって異なるかもしれないので、トランジスタは、従って、分散が回路の基礎的な機能性を全く妨害しないように設計され得る。デバイスのための一般的な入力電圧が、基準電圧を十分に越える限り、この機能性は保たれ得る。バッファ500とアースとの間に接続される、1[μA]のオーダの小さな電流源486は、しきい値トランジスタ484を流れる電流が、バンドギャップ良好信号がバッファ500の出力においてアクティブにされる前に十分であることを保証する。一度、バンドギャップ良好信号がアクティブにされれば、デバイス上に利用可能な正確な基準電圧があることを示しており、電源投入制御回路における他の回路が、例えばそれらの入力電圧を既知の良好な基準電圧と比較することによって、それらの動作を開始することができる。
システムコントローラ回路ブロック140は、同様に、システム監視回路を備える。システム監視回路は、ハードウェア化された回路で実施されるか、プログラマブルロジックにプログラムされるか、または両方の組み合わせで実現されることができる。システム監視回路ブロック148は、SOCデバイスの電源投入に続いて、オンチップ(内蔵)信号及びオフチップ(外部)信号を管理する。一度、SOCデバイスが電源投入され、アクティブにされると、システム監視回路ブロックは、本発明のSOCデバイスが一部分であるシステムの電源投入管理を実行することができると共に、動作中のシステム電圧を監視するための電圧監視回路の管理のような、他のシステム管理機能を提供する。システム監視ブロックは、例えば、システムコントローラ回路ブロック140内に備えられるハードウェア化されたJTAGインタフェース回路ブロック124、別のインタフェース標準に従って設計されているハードウェア化されたインタフェース、またはSOCデバイスの汎用のI/Oによって、外部デバイスと通信することができる。
システム監視ブロック148は、当業者に知られている回路設計、及びプログラミング技術を使用して、ハードウェア化されるか、もしくはSOCデバイスのプログラマブルロジック部分にプログラムされるかのいずれかである、例えば、マイクロプロセッサ、マイクロコントローラ、またはシステムコントロール状態機械のような、既知の回路を使用することができる。当業者に知られているように、これらの既知の回路は、外部デバイスの電源投入の順序付け、システムクロックの有効化、及びシステムリセットのようなシステム管理機能を実行する。更に、システムコントローラに機能性を追加するために、既知のレベル比較回路、フィルタ回路、外部デバイスコントロール回路が、プログラマブルロジックに実装され得るか、またはSOCデバイス内にハードウェア化され得る。システム監視回路の特別な実施例は、ユーザシステムに非常に依存することになると共に、従って、回路の大部分をプログラマブルロジックに実装することが望ましい。例えば、異なるユーザシステムは、様々な電圧で動作する、監視されるべき異なる数の電源を備えることができる。ユーザは、システム監視回路を、ユーザの特別なシステムのパラメータに適合するように構成することができる。
例えば、システム監視回路148は、ADCシーケンサ回路と呼ばれる、電源投入、及び電源監視のための専門のマイクロコントローラタイプの回路を備えるように構成されることができる。システム電圧は、基準電圧と同様に、電圧をプログラマブルロジックブロックに入力されるデジタル値に変換するADCに入力されることができる。プログラマブルロジックブロックにプログラムされたADCシーケンサ回路は、デジタル値を比較すると共に、SOCデバイスから出力される信号によってシステム素子を制御するために比較結果を使用することができる。
本発明の実施例、及びアプリケーションが示されると共に説明された一方、上述のものより更に多くの変更が、ここでの発明概念からはずれずに可能であるということは、当業者にとって明白であろう。従って、本発明は、添付されたクレームの精神を除いて制限されるべきではない。
本発明の1つの特徴に基づいたシステムオンチップの1つの実例となる実施例の構成図である。 ユーザ回路システムを形成するように、図1のシステムオンチップの様々な回路素子の入力及び出力を相互接続するために使用され得る、実例となる相互接続構造の一部分の略図である。 SRAMブロックのような揮発性メモリブロックを備えるシステムオンチップの別の実例となる実施例の構成図である。 プログラマブルロジックブロックに対する非常に功を奏するフラッシュFPGA構造の使用に基づく、システムオンチップの別の実例となる実施例の構成図である。 プログラマブルロジックブロックに対するフラッシュFPGA構造の使用に基づく、システムオンチップの別の実例となる実施例の構成図である。 本発明のSOCで使用するのに適当な実例となるグリッチがないクロックマルチプレクサの構成図である。 本発明の1つの実例となる実施例に基づく、セットに集められたアナログI/O機能回路を示す図5のSOCの一部分の構成図である。 8つの要素の内の1つによって外部の電圧を増減し得るプリスケーラ回路の図である。 図7の増幅器に関する実例となる構成の図である。 図7のアナログI/O機能回路において有効に使用され得る実例となる温度監視回路の図である。 図7のアナログI/O機能回路において有効に使用され得る実例となるゲートドライブ回路の図である。 本発明のSOCにとって特に有益である、図5で提供される内部インタフェース回路の実例となる実施例の図である。 本発明のSOCで使用され得る実例となるバンドギャップ基準の構成図である。 図5で示されたアナログ/デジタル変換器の更に詳細な図である。 典型的なSOC内部電源投入シーケンスを示す電源投入シーケンス状態機械フローチャートである。 典型的なSOC内部電源投入シーケンスを示すタイミング図である。 図5で提供されたシステム監視マスタブロック140の更に詳細な構成図である。 本発明のSOCの電源投入シーケンスにおける機能を実行するための実例となる電源投入制御回路を示す図である。
符号の説明
10 システムオンチップ集積回路
12 プログラマブルロジックブロック
14 不揮発性メモリブロック
16a〜16f アナログASIC回路ブロック
18a〜18f デジタルASIC回路ブロック
20 デジタル入出力(“I/O”)回路ブロック
22 アナログI/O回路ブロック
24 システムコントローラ回路ブロック
26 クロック回路
30 水平方向の相互接続導体のグループ
32 垂直方向の相互接続導体のグループ
34a、34b、34c、34d セグメント
36、38、40 ユーザプログラマブル相互接続素子
42a、42b、42c、42d セグメント
44、46、48 ユーザプログラマブル相互接続素子
60 システムオンチップ集積回路
62 プログラマブルロジックブロック
64 不揮発性メモリブロック
66a〜66f アナログASIC回路ブロック
68a〜68f デジタルASIC回路ブロック
70 デジタル入出力(“I/O”)回路ブロック
72 アナログI/O回路ブロック
74 システムコントローラ回路ブロック
76 クロック回路
78 揮発性メモリブロック
80 システムオンチップ集積回路
82 プログラマブルロジックブロック
84 不揮発性メモリブロック
86a〜86f アナログASIC回路ブロック
88a〜88f デジタルASIC回路ブロック
90 デジタル入出力(“I/O”)回路ブロック
92 アナログI/O回路ブロック
94 システムコントローラ回路ブロック
96 クロック回路
98 SRAMブロック
100 マイクロプロセッサ
110 SOC
112 FPGAコア
114 I/Oタイル
116 汎用の入出力(GPIO)回路
118 クロック発生回路ブロック
120 フェーズロックドループ(PLL)
122 フラッシュプログラミング回路
124 JTAG TAPコントローラ
126 JTAGポート(AESブロック)
128 復号化回路
130 内部インタフェース回路(インタフェースタイル)
132、134 NVMブロック(フラッシュメモリアレイ)
136 ADC
138 アナログI/O
140 システム監視マスタブロック
142 オンチップ電源投入ブロック
144 アナログ電圧源チャージポンプ
146 オンチップ電圧基準
148 システム監視ブロック
150 クロックマルチプレクサ
152 クロックA入力ライン
154 クロックB入力ライン
156 選択ライン
158、160、166、168 データラッチ
162、164、170、172 ANDゲート
174 ORゲート
180 電圧監視入力ブロック
182 I/Oパッド
184 プリスケーラ回路
186 マルチプレクサ
188 デジタル入力回路
190 電流監視入力ブロック
192 I/Oパッド
194 プリスケーラ回路
196 マルチプレクサ
198 デジタル入力回路
200 増幅器
210 温度監視入力ブロック
212 I/Oパッド
214 プリスケーラ回路
216 マルチプレクサ
218 デジタル入力回路
220 温度監視回路
230 ゲートドライバ出力ブロック
232 I/Oパッド
234 パワーMOSFETゲートドライバ回路
236、238 プルアップ抵抗
240 ランプ抵抗
250 抵抗
252、254、256、258、260 n−チャンネルMOSトランジスタ
262、264、266、268 イネーブルn−チャンネルトランジスタ
270 デコーダ回路
272 演算増幅器
274 抵抗
280 外部抵抗
282、284、286、288 スイッチ
290 演算増幅器
292、294、296 コンデンサ
300 ダイオード
302、304、306 トランジスタ
308、310、312 p−チャンネルMOSトランジスタ
314、316、318、320、322、324 n−チャンネルMOSトランジスタ
326 演算増幅器
328、330 コンデンサ
332 トランジスタ
334、336、338 n−チャンネルMOSトランジスタ
340 パワーMOSFET
342 供給電位
344 演算増幅器
346 p−チャンネルMOSゲートドライブトランジスタ
348 p−チャンネルMOSイネーブルトランジスタ
350 抵抗
352 コンデンサ
354 定電流源
356 演算増幅器
358 n−チャンネルMOSゲートドライブトランジスタ
360 n−チャンネルMOSイネーブルトランジスタ
362 コンデンサ
364 定電流源
366 抵抗
370、372 バッファ
374 反転バッファ
376 入力ノード
378 出力ノード
380 第1のプログラマブル素子
382 第2のプログラマブル素子
384、386 プログラマブル素子
388 出力ノード
390 ベース接地PNPトランジスタ
392 抵抗
394 演算増幅器
396 ベース接地PNPトランジスタ
398 抵抗
400 抵抗
410 アナログ部分
412 デジタル部分
414 アナログマルチプレクサ
416 コンデンサアレイ
418 比較器
420 逐次比較レジスタ
422 クロック分周器
424 変換制御ロジック
426 校正ロジック
428 校正コンデンサアレイ
440 電圧基準良好回路
442、444 回路
446 Vddフィルタ回路
448 PLB良好回路
452 ADC基準良好回路
454 ADC校正回路
460 電源投入制御回路
462 1.5ボルトレギュレータ回路
464 −Veチャージポンプ回路
466 Vddフィルタ回路
468 I/Oパッド
470 エミッタフォロアNPNトランジスタ
472、474 I/Oパッド
476、478 比較器
480、482 I/Oパッド
484 しきい値p−チャンネルMOSトランジスタ
486 小さな電流源
488 バンドギャップ基準回路
490 バンドギャップ出力
500 バッファ

Claims (26)

  1. プログラマブルロジックブロックと、
    デジタル入出力回路ブロックと、
    不揮発性メモリブロックと、
    アナログ回路ブロックと、
    アナログ入出力回路ブロックと、
    プログラマブル素子及び相互接続導体を有するプログラマブル相互接続構造とを備え、
    前記プログラマブルロジックブロックの入力及び出力、前記不揮発性メモリブロック、前記アナログ回路ブロック、前記アナログ入出力回路ブロック、及び前記デジタル入出力回路ブロックがプログラム可能に相互接続され得るように、前記プログラマブル素子の内の1つが、前記プログラマブルロジックブロック、前記不揮発性メモリブロック、前記アナログ回路ブロック、前記アナログ入出力回路ブロック、前記デジタル入出力回路ブロック、及び前記相互接続導体に接続される
    ことを特徴とするプログラマブルシステムオンチップ集積回路デバイス。
  2. スタティックランダムアクセスメモリブロックを更に備え、
    前記プログラマブル相互接続構造が、前記スタティックランダムアクセスメモリブロックに接続される
    ことを特徴とする請求項1に記載のプログラマブルシステムオンチップ集積回路デバイス。
  3. 前記スタティックランダムアクセスメモリブロックが、少なくとも2つのサブブロックに設定される
    ことを特徴とする請求項2に記載のプログラマブルシステムオンチップ集積回路デバイス。
  4. マイクロコントローラブロックと、
    スタティックランダムアクセスメモリブロックとを更に備え、
    前記プログラマブル相互接続構造が、前記マイクロコントローラブロックと、前記スタティックランダムアクセスメモリブロックとに接続される
    ことを特徴とする請求項1に記載のプログラマブルシステムオンチップ集積回路デバイス。
  5. 前記スタティックランダムアクセスメモリブロックが、少なくとも2つのサブブロックに設定される
    ことを特徴とする請求項4に記載のプログラマブルシステムオンチップ集積回路デバイス。
  6. マイクロプロセッサブロックと、
    スタティックランダムアクセスメモリブロックとを更に備え、
    前記プログラマブル相互接続構造が、前記マイクロプロセッサブロックと、前記スタティックランダムアクセスメモリブロックとに接続される
    ことを特徴とする請求項1に記載のプログラマブルシステムオンチップ集積回路デバイス。
  7. 前記スタティックランダムアクセスメモリブロックが、少なくとも2つのサブブロックに設定される
    ことを特徴とする請求項6に記載のプログラマブルシステムオンチップ集積回路デバイス。
  8. 前記不揮発性メモリブロックが、フラッシュメモリを備える
    ことを特徴とする請求項1に記載のプログラマブルシステムオンチップ集積回路デバイス。
  9. 前記のアナログ回路ブロックが、アナログ/デジタル変換器を備える
    ことを特徴とする請求項1に記載のプログラマブルシステムオンチップ集積回路デバイス。
  10. 前記アナログ回路ブロックが、I/Oパッドに接続された少なくとも1つの温度感知回路を更に備える
    ことを特徴とする請求項9に記載のプログラマブルシステムオンチップ集積回路デバイス。
  11. 前記少なくとも1つの温度感知回路が、プログラマブル電圧プリスケーラ回路を備える
    ことを特徴とする請求項10に記載のプログラマブルシステムオンチップ集積回路デバイス。
  12. 前記I/Oパッドに接続されたデジタル入力回路を更に備える
    ことを特徴とする請求項10に記載のプログラマブルシステムオンチップ集積回路デバイス。
  13. 前記アナログ回路ブロックが、I/Oパッドに接続された少なくとも1つの電圧感知回路を更に備える
    ことを特徴とする請求項9に記載のプログラマブルシステムオンチップ集積回路デバイス。
  14. 前記少なくとも1つの電圧感知回路が、プログラマブル電圧プリスケーラ回路を備える
    ことを特徴とする請求項13に記載のプログラマブルシステムオンチップ集積回路デバイス。
  15. 前記I/Oパッドに接続されたデジタル入力回路を更に備える
    ことを特徴とする請求項13に記載のプログラマブルシステムオンチップ集積回路デバイス。
  16. 前記アナログ回路ブロックが、第1のI/Oパッド及び第2のI/Oパッドに接続された少なくとも1つの電流感知回路を更に備える
    ことを特徴とする請求項9に記載のプログラマブルシステムオンチップ集積回路デバイス。
  17. 前記少なくとも1つの電流感知回路が、プログラマブル電圧プリスケーラ回路を備える
    ことを特徴とする請求項16に記載のプログラマブルシステムオンチップ集積回路デバイス。
  18. 前記第1のI/Oパッド及び前記第2のI/Oパッドの内の少なくとも1つに接続されたデジタル入力回路を更に備える
    ことを特徴とする請求項16に記載のプログラマブルシステムオンチップ集積回路デバイス。
  19. 前記アナログ回路ブロックが、I/Oパッドに接続された少なくとも1つのプログラマブルMOSFETゲートドライブ回路を更に備える
    ことを特徴とする請求項9に記載のプログラマブルシステムオンチップ集積回路デバイス。
  20. 前記アナログ回路ブロックが、
    第1のI/Oパッドに接続された少なくとも1つのMOSFETゲートドライブ回路と、
    第2のI/Oパッドに接続された少なくとも1つの電圧感知回路と、
    前記第2のI/Oパッド及び第3のI/Oパッドに接続された少なくとも1つの電流感知回路と、
    第4のI/Oパッドに接続された少なくとも1つの温度感知回路とを更に備える
    ことを特徴とする請求項9に記載のプログラマブルシステムオンチップ集積回路デバイス。
  21. 前記第2のI/Oパッド、前記第3のI/Oパッド、及び前記第4のI/Oパッドの内の1つに接続されたデジタル入力回路を更に備える
    ことを特徴とする請求項20に記載のプログラマブルシステムオンチップ集積回路デバイス。
  22. 前記不揮発性メモリブロックが、
    ユーザ設定の回路に対してプログラム可能に接続され得る第1のセグメントと、
    ユーザ設定の回路に対してプログラム可能に接続され得ない第2のセグメントとを備える
    ことを特徴とする請求項1に記載のプログラマブルシステムオンチップ集積回路デバイス。
  23. バンドギャップ基準回路を更に備える
    ことを特徴とする請求項1に記載のプログラマブルシステムオンチップ集積回路デバイス。
  24. プログラマブルロジックブロックと、
    デジタル入出力回路ブロックと、
    不揮発性メモリブロックと、
    アナログ回路ブロックと、
    アナログ入出力回路ブロックと、
    プログラマブル素子及び相互接続導体を有するプログラマブル相互接続構造と、
    前記プログラマブルロジックブロック、前記デジタル入出力回路ブロック、前記不揮発性メモリブロック、前記アナログ回路ブロック、及び前記アナログ入出力回路ブロックに所定の順序でシステム電源を印加するように構成された電源投入コントローラを有するシステムコントローラブロックとを備え、
    前記プログラマブルロジックブロックの入力及び出力、前記不揮発性メモリブロック、前記アナログ回路ブロック、前記アナログ入出力回路ブロック、及び前記デジタル入出力回路ブロックがプログラム可能に相互接続され得るように、前記プログラマブル素子の内の1つが、前記プログラマブルロジックブロック、前記不揮発性メモリブロック、前記アナログ回路ブロック、前記アナログ入出力回路ブロック、前記デジタル入出力回路ブロック、及び前記相互接続導体に接続される
    ことを特徴とするプログラマブルシステムオンチップ集積回路デバイス。
  25. 前記システムコントローラブロックが、
    前記プログラマブルロジックブロック、前記デジタル入出力回路ブロック、前記不揮発性メモリブロック、前記アナログ回路ブロック、及び前記アナログ入出力回路ブロックの内の少なくとも1つに接続されると共に、プログラマブルシステムオンチップ集積回路デバイス外部の少なくとも1つの回路の少なくとも1つの機能を監視するように構成されるシステム監視ブロックを更に備える
    ことを特徴とする請求項24に記載のプログラマブルシステムオンチップ集積回路デバイス。
  26. 前記システム監視ブロックが、更に、前記プログラマブルシステムオンチップ集積回路デバイス外部の前記少なくとも1つの回路の少なくとも1つの機能を制御するように構成される
    ことを特徴とする請求項25に記載のプログラマブルシステムオンチップ集積回路デバイス。
JP2006522035A 2003-07-31 2004-07-28 プログラマブルシステムオンチップ Pending JP2007502014A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US49178803P 2003-07-31 2003-07-31
US10/843,701 US7170315B2 (en) 2003-07-31 2004-05-10 Programmable system on a chip
PCT/US2004/024362 WO2005034175A2 (en) 2003-07-31 2004-07-28 Programmable system on a chip

Publications (1)

Publication Number Publication Date
JP2007502014A true JP2007502014A (ja) 2007-02-01

Family

ID=34425817

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006522035A Pending JP2007502014A (ja) 2003-07-31 2004-07-28 プログラマブルシステムオンチップ

Country Status (4)

Country Link
US (26) US7170315B2 (ja)
EP (1) EP1649600A4 (ja)
JP (1) JP2007502014A (ja)
WO (1) WO2005034175A2 (ja)

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006310869A (ja) * 2005-04-29 2006-11-09 Taiwan Semiconductor Manufacturing Co Ltd 半導体回路、半導体回路設計方法及びsocユニット
JP2007195191A (ja) * 2006-01-19 2007-08-02 Altera Corp モジュール式i/oバンクアーキテクチャ
US7579895B2 (en) 2003-07-31 2009-08-25 Actel Corporation Clock-generator architecture for a programmable-logic-based system on a chip
US7586299B2 (en) 2005-08-11 2009-09-08 Sharp Kabushiki Kaisha Power-supply semiconductor integrated circuit, power-supply semiconductor integrated circuit system, development assisting system for power-supply circuit, and program and storage medium therefor
WO2013153851A1 (ja) * 2012-04-11 2013-10-17 太陽誘電株式会社 再構成可能な半導体装置
JP2014506073A (ja) * 2011-01-13 2014-03-06 ザイリンクス インコーポレイテッド 集積回路における電力マネジメント
KR101519440B1 (ko) 2007-10-04 2015-05-13 삼성전자주식회사 구성 가능한 수직 입출력 라인을 가지는 적층된 반도체 장치 및 그 방법
JP2016062351A (ja) * 2014-09-18 2016-04-25 日本電気株式会社 モニタ回路とロジック解析端末及びシステムと遅延測定方法並びにプログラム
JP2016206906A (ja) * 2015-04-21 2016-12-08 株式会社デンソー マイクロコンピュータ及び電子制御装置
JP2017536041A (ja) * 2014-11-12 2017-11-30 ザイリンクス インコーポレイテッドXilinx Incorporated 集積回路のための電力管理システム
JP2018018561A (ja) * 2017-11-06 2018-02-01 ルネサスエレクトロニクス株式会社 半導体装置及び電子システム
JP2018523458A (ja) * 2015-07-22 2018-08-16 セフェィド 改善された粒度のエンコーダなしモータと使用方法
JP2020074091A (ja) * 2016-02-02 2020-05-14 ザイリンクス インコーポレイテッドXilinx Incorporated アクティブ・バイ・アクティブプログラマブルデバイス
JP7064060B1 (ja) 2019-04-23 2022-05-09 アーバー・カンパニー・エルエルエルピイ 二重機能セルアレイを再構成するためのシステムおよび方法
USRE49163E1 (en) 2016-02-02 2022-08-09 Xilinx, Inc. Active-by-active programmable device
US11435800B2 (en) 2019-04-23 2022-09-06 Arbor Company, Lllp Systems and methods for reconfiguring dual-function cell arrays
US11463524B2 (en) 2020-06-29 2022-10-04 Arbor Company, Lllp Mobile IoT edge device using 3D-die stacking re-configurable processor module with 5G processor-independent modem

Families Citing this family (364)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6724220B1 (en) 2000-10-26 2004-04-20 Cyress Semiconductor Corporation Programmable microcontroller architecture (mixed analog/digital)
US8176296B2 (en) 2000-10-26 2012-05-08 Cypress Semiconductor Corporation Programmable microcontroller architecture
US7309998B2 (en) * 2002-12-02 2007-12-18 Burns Lawrence M Process monitor for monitoring an integrated circuit chip
US7205758B1 (en) * 2004-02-02 2007-04-17 Transmeta Corporation Systems and methods for adjusting threshold voltage
US7068068B2 (en) * 2003-03-18 2006-06-27 Innovel Technology, Inc. Re-configurable mixed-mode integrated circuit architecture
US7937595B1 (en) * 2003-06-27 2011-05-03 Zoran Corporation Integrated encryption/decryption functionality in a digital TV/PVR system-on-chip
US7521960B2 (en) * 2003-07-31 2009-04-21 Actel Corporation Integrated circuit including programmable logic and external-device chip-enable override control
US7298178B1 (en) * 2003-07-31 2007-11-20 Actel Corporation Clock-generator architecture for a programmable-logic-based system on a chip
US8768642B2 (en) 2003-09-15 2014-07-01 Nvidia Corporation System and method for remotely configuring semiconductor functional circuits
US8775997B2 (en) 2003-09-15 2014-07-08 Nvidia Corporation System and method for testing and configuring semiconductor functional circuits
US8732644B1 (en) 2003-09-15 2014-05-20 Nvidia Corporation Micro electro mechanical switch system and method for testing and configuring semiconductor functional circuits
US7498836B1 (en) 2003-09-19 2009-03-03 Xilinx, Inc. Programmable low power modes for embedded memory blocks
US7098689B1 (en) 2003-09-19 2006-08-29 Xilinx, Inc. Disabling unused/inactive resources in programmable logic devices for static power reduction
US7581124B1 (en) 2003-09-19 2009-08-25 Xilinx, Inc. Method and mechanism for controlling power consumption of an integrated circuit
US7504854B1 (en) 2003-09-19 2009-03-17 Xilinx, Inc. Regulating unused/inactive resources in programmable logic devices for static power reduction
US7549139B1 (en) 2003-09-19 2009-06-16 Xilinx, Inc. Tuning programmable logic devices for low-power design implementation
US8711161B1 (en) 2003-12-18 2014-04-29 Nvidia Corporation Functional component compensation reconfiguration system and method
US8250295B2 (en) 2004-01-05 2012-08-21 Smart Modular Technologies, Inc. Multi-rank memory module that emulates a memory module having a different number of ranks
US7190190B1 (en) * 2004-01-09 2007-03-13 Altera Corporation Programmable logic device with on-chip nonvolatile user memory
US7816742B1 (en) 2004-09-30 2010-10-19 Koniaris Kleanthes G Systems and methods for integrated circuits comprising multiple body biasing domains
US7859062B1 (en) 2004-02-02 2010-12-28 Koniaris Kleanthes G Systems and methods for integrated circuits comprising multiple body biasing domains
US7109752B1 (en) * 2004-02-14 2006-09-19 Herman Schmit Configurable circuits, IC's, and systems
US7193440B1 (en) 2004-02-14 2007-03-20 Herman Schmit Configurable circuits, IC's, and systems
US7425841B2 (en) * 2004-02-14 2008-09-16 Tabula Inc. Configurable circuits, IC's, and systems
US7284222B1 (en) 2004-06-30 2007-10-16 Tabula, Inc. Method and apparatus for identifying connections between configurable nodes in a configurable integrated circuit
US7167025B1 (en) 2004-02-14 2007-01-23 Herman Schmit Non-sequentially configurable IC
US7299099B1 (en) * 2004-02-18 2007-11-20 Divelbiss Corporation Programmable logic controller contained on a chip
US8782654B2 (en) 2004-03-13 2014-07-15 Adaptive Computing Enterprises, Inc. Co-allocating a reservation spanning different compute resources types
WO2005089241A2 (en) 2004-03-13 2005-09-29 Cluster Resources, Inc. System and method for providing object triggers
US7937557B2 (en) * 2004-03-16 2011-05-03 Vns Portfolio Llc System and method for intercommunication between computers in an array
US20050262391A1 (en) * 2004-05-10 2005-11-24 Prashant Sethi I/O configuration messaging within a link-based computing system
US7138824B1 (en) 2004-05-10 2006-11-21 Actel Corporation Integrated multi-function analog circuit including voltage, current, and temperature monitor and gate-driver circuit blocks
US20070266388A1 (en) 2004-06-18 2007-11-15 Cluster Resources, Inc. System and method for providing advanced reservations in a compute environment
US7312630B2 (en) * 2004-06-30 2007-12-25 Tabula, Inc. Configurable integrated circuit with built-in turns
US7193438B1 (en) * 2004-06-30 2007-03-20 Andre Rohe Configurable integrated circuit with offset connection
US7408382B2 (en) 2004-06-30 2008-08-05 Tabula, Inc. Configurable circuits, IC's, and systems
US7282950B1 (en) 2004-11-08 2007-10-16 Tabula, Inc. Configurable IC's with logic resources with offset connections
US7439766B2 (en) * 2004-06-30 2008-10-21 Tabula, Inc. Configurable logic circuits with commutative properties
US7449915B2 (en) * 2004-06-30 2008-11-11 Tabula Inc. VPA logic circuits
US7463999B2 (en) * 2004-07-13 2008-12-09 Qian Li Method and device for monitoring computer case and power supply unit
US8176490B1 (en) 2004-08-20 2012-05-08 Adaptive Computing Enterprises, Inc. System and method of interfacing a workload manager and scheduler with an identity manager
EP1630657A1 (en) * 2004-08-30 2006-03-01 STMicroelectronics S.r.l. Embedded storage device with integrated data-management functions and storage system incorporating it
US8723231B1 (en) 2004-09-15 2014-05-13 Nvidia Corporation Semiconductor die micro electro-mechanical switch management system and method
US20060069457A1 (en) * 2004-09-24 2006-03-30 Texas Instruments Incorporated Dynamically adjustable shared audio processing in dual core processor
US8711156B1 (en) 2004-09-30 2014-04-29 Nvidia Corporation Method and system for remapping processing elements in a pipeline of a graphics processing unit
US7509504B1 (en) 2004-09-30 2009-03-24 Transmeta Corporation Systems and methods for control of integrated circuits comprising body biasing systems
JP2006107127A (ja) * 2004-10-05 2006-04-20 Nec Electronics Corp 半導体集積回路装置
JP2006114668A (ja) * 2004-10-14 2006-04-27 Sony Corp 半導体集積回路およびその製造方法
US7498839B1 (en) * 2004-10-22 2009-03-03 Xilinx, Inc. Low power zones for programmable logic devices
US7301242B2 (en) 2004-11-04 2007-11-27 Tabula, Inc. Programmable system in package
JP2006133056A (ja) * 2004-11-05 2006-05-25 Nec Electronics Corp 半導体装置および半導体素子
US20070244958A1 (en) * 2004-11-08 2007-10-18 Jason Redgrave Configurable IC's with carry bypass circuitry
US7295037B2 (en) 2004-11-08 2007-11-13 Tabula, Inc. Configurable IC with routing circuits with offset connections
US7317331B2 (en) 2004-11-08 2008-01-08 Tabula, Inc. Reconfigurable IC that has sections running at different reconfiguration rates
US7917559B2 (en) 2004-11-08 2011-03-29 Tabula, Inc. Configurable IC's with configurable logic circuits that perform adder and/or subtractor operations
US7743085B2 (en) 2004-11-08 2010-06-22 Tabula, Inc. Configurable IC with large carry chains
US7330050B2 (en) 2004-11-08 2008-02-12 Tabula, Inc. Storage elements for a configurable IC and method and apparatus for accessing data stored in the storage elements
US7276933B1 (en) 2004-11-08 2007-10-02 Tabula, Inc. Reconfigurable IC that has sections running at different looperness
US7573296B2 (en) * 2004-11-08 2009-08-11 Tabula Inc. Configurable IC with configurable routing resources that have asymmetric input and/or outputs
CA2586763C (en) 2004-11-08 2013-12-17 Cluster Resources, Inc. System and method of providing system jobs within a compute environment
US7259587B1 (en) 2004-11-08 2007-08-21 Tabula, Inc. Configurable IC's with configurable logic resources that have asymetric inputs and/or outputs
US7224181B1 (en) * 2004-11-08 2007-05-29 Herman Schmit Clock distribution in a configurable IC
US7301368B2 (en) * 2005-03-15 2007-11-27 Tabula, Inc. Embedding memory within tile arrangement of a configurable IC
US7242216B1 (en) 2004-11-08 2007-07-10 Herman Schmit Embedding memory between tile arrangement of a configurable IC
KR100662867B1 (ko) * 2004-11-09 2007-01-02 삼성전자주식회사 아날로그 오디오의 디지털 변환장치
US7236009B1 (en) 2004-12-01 2007-06-26 Andre Rohe Operational time extension
US7242218B2 (en) * 2004-12-02 2007-07-10 Altera Corporation Techniques for combining volatile and non-volatile programmable logic on an integrated circuit
US7180813B2 (en) * 2004-12-15 2007-02-20 Stmicroelectronics, Inc. Programmable system device having a shared power supply voltage generator for FLASH and PLD modules
US8015395B1 (en) * 2004-12-22 2011-09-06 Rmt, Inc. Computer having reconfigurable field programmable gate array
US7119398B1 (en) * 2004-12-22 2006-10-10 Actel Corporation Power-up and power-down circuit for system-on-a-chip integrated circuit
US7112993B2 (en) * 2004-12-23 2006-09-26 Actel Corporation Non-volatile memory configuration scheme for volatile-memory-based programmable circuits in an FPGA
US7919979B1 (en) * 2005-01-21 2011-04-05 Actel Corporation Field programmable gate array including a non-volatile user memory and method for programming
US8863143B2 (en) 2006-03-16 2014-10-14 Adaptive Computing Enterprises, Inc. System and method for managing a hybrid compute environment
US8201124B1 (en) * 2005-03-15 2012-06-12 Tabula, Inc. System in package and method of creating system in package
US7530033B2 (en) 2005-03-15 2009-05-05 Tabula, Inc. Method and apparatus for decomposing functions in a configurable IC
US7230869B1 (en) 2005-03-15 2007-06-12 Jason Redgrave Method and apparatus for accessing contents of memory cells
US7825684B2 (en) 2005-03-15 2010-11-02 Tabula, Inc. Variable width management for a memory of a configurable IC
US7310003B2 (en) 2005-03-15 2007-12-18 Tabula, Inc. Configurable IC with interconnect circuits that have select lines driven by user signals
US7298169B2 (en) * 2005-03-15 2007-11-20 Tabula, Inc Hybrid logic/interconnect circuit in a configurable IC
US9231886B2 (en) 2005-03-16 2016-01-05 Adaptive Computing Enterprises, Inc. Simple integration of an on-demand compute environment
US7861190B1 (en) * 2005-03-17 2010-12-28 Altera Corporation Power-driven timing analysis and placement for programmable logic
EP1872249B1 (en) 2005-04-07 2016-12-07 Adaptive Computing Enterprises, Inc. On-demand access to compute resources
US7337342B1 (en) * 2005-04-28 2008-02-26 Summit Microelectronics, Inc. Power supply sequencing distributed among multiple devices with linked operation
US7292063B2 (en) * 2005-05-02 2007-11-06 Lsi Corporation Method of interconnect for multi-slot metal-mask programmable relocatable function placed in an I/O region
GB0509738D0 (en) 2005-05-12 2005-06-22 Cambridge Consultants Processor and interface
WO2006124953A2 (en) * 2005-05-16 2006-11-23 Georgia Tech Research Corporation Systems and methods for programming large-scale field-programmable analog arrays
US7904695B2 (en) 2006-02-16 2011-03-08 Vns Portfolio Llc Asynchronous power saving computer
US7359811B1 (en) * 2005-06-16 2008-04-15 Altera Corporation Programmable logic device with power supply noise monitoring
US7453294B1 (en) * 2005-06-28 2008-11-18 Altera Corporation Dynamic frequency divider with improved leakage tolerance
JP4208864B2 (ja) * 2005-06-30 2009-01-14 日本テキサス・インスツルメンツ株式会社 チューナー用半導体装置及びダイバーシティ受信機
US7512850B2 (en) 2005-07-15 2009-03-31 Tabula, Inc. Checkpointing user design states in a configurable IC
JP4675714B2 (ja) * 2005-08-17 2011-04-27 富士通セミコンダクター株式会社 初期化回路を自動構築するリコンフィグ可能な集積回路装置
US7653448B2 (en) * 2005-09-30 2010-01-26 Freescale Semiconductor, Inc. NICAM processing method
DE102005051411B4 (de) * 2005-10-27 2007-07-19 Atmel Germany Gmbh Bussystem für integrierten Schaltkreis
US7288975B2 (en) * 2005-10-27 2007-10-30 International Business Machines Corporation Method and apparatus for fail-safe and restartable system clock generation
US7498835B1 (en) 2005-11-04 2009-03-03 Xilinx, Inc. Implementation of low power standby modes for integrated circuits
US7765249B1 (en) 2005-11-07 2010-07-27 Tabula, Inc. Use of hybrid interconnect/logic circuits for multiplication
US7372297B1 (en) 2005-11-07 2008-05-13 Tabula Inc. Hybrid interconnect/logic circuits enabling efficient replication of a function in several sub-cycles to save logic and routing resources
US8463836B1 (en) 2005-11-07 2013-06-11 Tabula, Inc. Performing mathematical and logical operations in multiple sub-cycles
US7818361B1 (en) 2005-11-07 2010-10-19 Tabula, Inc. Method and apparatus for performing two's complement multiplication
US8032766B2 (en) * 2005-11-14 2011-10-04 Zippy Technology Corp. Machine boot up protection structure for parallel power supply equipment
CN100349108C (zh) * 2005-11-21 2007-11-14 北京中星微电子有限公司 与非门快闪存储器的物理接口、接口方法和管理设备
US7370135B2 (en) * 2005-11-21 2008-05-06 Intel Corporation Band configuration agent for link based computing system
US7679401B1 (en) 2005-12-01 2010-03-16 Tabula, Inc. User registers implemented with routing circuits in a configurable IC
US8417838B2 (en) * 2005-12-12 2013-04-09 Nvidia Corporation System and method for configurable digital communication
US8412872B1 (en) 2005-12-12 2013-04-02 Nvidia Corporation Configurable GPU and method for graphics processing using a configurable GPU
TWI307221B (en) * 2005-12-12 2009-03-01 Novatek Microelectronics Corp Apparatus and method for generating spread spectrum clock signal with constant spread ratio
US20070136640A1 (en) * 2005-12-14 2007-06-14 Jarrar Anis M Defect detection and repair in an embedded random access memory
EP1811415A1 (en) * 2005-12-23 2007-07-25 Nagracard S.A. Secure system-on-chip
US8656191B2 (en) 2005-12-23 2014-02-18 Nagravision S.A. Secure system-on-chip
EP1802030A1 (en) * 2005-12-23 2007-06-27 Nagracard S.A. Secure system-on-chip
US7345944B1 (en) 2006-01-11 2008-03-18 Xilinx, Inc. Programmable detection of power failure in an integrated circuit
US20070240013A1 (en) * 2006-01-27 2007-10-11 Sony Computer Entertainment Inc. Methods And Apparatus For Managing Defective Processors Through Clock Programming
US7966481B2 (en) 2006-02-16 2011-06-21 Vns Portfolio Llc Computer system and method for executing port communications without interrupting the receiving computer
US7934075B2 (en) * 2006-02-16 2011-04-26 Vns Portfolio Llc Method and apparatus for monitoring inputs to an asyncrhonous, homogenous, reconfigurable computer array
US7904615B2 (en) 2006-02-16 2011-03-08 Vns Portfolio Llc Asynchronous computer communication
US7747911B1 (en) * 2006-02-27 2010-06-29 Cypress Semiconductor Corporation Self verification of non-volatile memory
US20070200550A1 (en) * 2006-02-28 2007-08-30 Corredoura Paul L Trigger architecture, measurement system and method of use
US7930046B2 (en) * 2006-03-01 2011-04-19 Freescale Semiconductor, Inc. Codec integrated circuit, codec and methods for use therewith
US7797497B1 (en) 2006-03-08 2010-09-14 Tabula, Inc. System and method for providing more logical memory ports than physical memory ports
US7694083B1 (en) 2006-03-08 2010-04-06 Tabula, Inc. System and method for providing a virtual memory architecture narrower and deeper than a physical memory architecture
US7669097B1 (en) 2006-03-27 2010-02-23 Tabula, Inc. Configurable IC with error detection and correction circuitry
US7891865B2 (en) * 2006-05-03 2011-02-22 International Business Machines Corporation Structure for bolometric on-chip temperature sensor
JP5039322B2 (ja) * 2006-05-09 2012-10-03 ローム株式会社 起動回路、方法ならびにそれを用いた低電圧誤動作防止回路、電源回路および電子機器
US7516428B2 (en) * 2006-05-11 2009-04-07 Sige Semiconductor (Europe) Limited Microwave circuit performance optimization by on-chip digital distribution of operating set-point
US7631223B1 (en) * 2006-06-06 2009-12-08 Lattice Semiconductor Corporation Programmable logic device methods and system for providing multi-boot configuration data support
US7725769B1 (en) * 2006-06-07 2010-05-25 Zilog, Inc. Latent VBO reset circuit
US7268515B1 (en) * 2006-06-20 2007-09-11 Delta Electronics, Inc. Three-in-one AC servo drive
US7616508B1 (en) * 2006-08-10 2009-11-10 Actel Corporation Flash-based FPGA with secure reprogramming
US7725742B2 (en) * 2006-08-15 2010-05-25 Mitac International Corp. Remote monitor module for power initialization of computer system
US7624303B2 (en) * 2006-08-23 2009-11-24 Micrel, Inc. Generation of system power-good signal in hot-swap power controllers
JP2008060444A (ja) * 2006-09-01 2008-03-13 Seiko Epson Corp 集積回路装置
US7881323B2 (en) * 2006-11-09 2011-02-01 Avago Technologies Ecbu Ip (Singapore) Pte. Ltd. Configurable ASIC for use with a programmable I/O module
US7802020B2 (en) 2006-11-09 2010-09-21 Avago Technologies Ecbu Ip (Singapore) Pte. Ltd. I/O module with configurable ASICs that include a matrix switch
US7930666B1 (en) 2006-12-12 2011-04-19 Tabula, Inc. System and method of providing a memory hierarchy
US7622950B2 (en) * 2007-01-03 2009-11-24 Apple Inc. GPIO mux/dynamic port configuration
US7797659B2 (en) * 2007-01-29 2010-09-14 Cadence Design Systems, Inc. Analog/digital partitioning of circuit designs for simulation
US7479802B2 (en) * 2007-03-09 2009-01-20 Quadric, Inc Programmable logic integrated circuit for digital algorithmic functions
US8316158B1 (en) 2007-03-12 2012-11-20 Cypress Semiconductor Corporation Configuration of programmable device using a DMA controller
EP2597776A3 (en) 2007-03-20 2014-08-20 Tabula, Inc. Configurable IC having a routing fabric with storage elements
US8112468B1 (en) 2007-03-22 2012-02-07 Tabula, Inc. Method and apparatus for performing an operation with a plurality of sub-operations in a configurable IC
US8060661B1 (en) 2007-03-27 2011-11-15 Cypress Semiconductor Corporation Interface circuit and method for programming or communicating with an integrated circuit via a power supply pin
US8026739B2 (en) 2007-04-17 2011-09-27 Cypress Semiconductor Corporation System level interconnect with programmable switching
US7937683B1 (en) 2007-04-30 2011-05-03 Innovations Holdings, L.L.C. Method and apparatus for configurable systems
US20080284609A1 (en) * 2007-05-17 2008-11-20 Broadcom Corporation, A California Corporation RF Integrated circuit having an on-chip thermal sensing circuit
US8874831B2 (en) 2007-06-01 2014-10-28 Netlist, Inc. Flash-DRAM hybrid memory module
US8301833B1 (en) 2007-06-01 2012-10-30 Netlist, Inc. Non-volatile memory module
US8904098B2 (en) 2007-06-01 2014-12-02 Netlist, Inc. Redundant backup using non-volatile memory
US7676622B2 (en) * 2007-06-07 2010-03-09 International Business Machines Corporation System and method for improved bus communication
US8069425B2 (en) 2007-06-27 2011-11-29 Tabula, Inc. Translating a user design in a configurable IC for debugging the user design
US20090009137A1 (en) * 2007-07-05 2009-01-08 Jun Zhang Apparatus and method to integrate the power management IC with the system IC
US20100193900A1 (en) * 2007-07-13 2010-08-05 National University Corporation Tohoku University Soi substrate and semiconductor device using an soi substrate
US7855542B2 (en) * 2007-07-17 2010-12-21 Qimonda Ag Voltage regulator startup method and apparatus
US7719244B2 (en) * 2007-07-17 2010-05-18 Qimonda North America Corp. Method and apparatus for enabling a voltage regulator
US7599241B2 (en) * 2007-08-06 2009-10-06 Sandisk Corporation Enhanced write abort mechanism for non-volatile memory
US8049569B1 (en) * 2007-09-05 2011-11-01 Cypress Semiconductor Corporation Circuit and method for improving the accuracy of a crystal-less oscillator having dual-frequency modes
US8248101B2 (en) 2007-09-06 2012-08-21 Tabula, Inc. Reading configuration data from internal storage node of configuration storage circuit
JP5006739B2 (ja) * 2007-09-10 2012-08-22 株式会社リコー 温度検出回路およびそれを用いた電子機器
US8990651B2 (en) * 2007-09-19 2015-03-24 Tabula, Inc. Integrated circuit (IC) with primary and secondary networks and device containing such an IC
US7945807B2 (en) * 2007-09-19 2011-05-17 Universal Scientific Industrial (Shanghai) Co., Ltd. Communication system for a plurality of I/O cards by using the GPIO and a method thereof
US8041773B2 (en) 2007-09-24 2011-10-18 The Research Foundation Of State University Of New York Automatic clustering for self-organizing grids
US7908505B2 (en) * 2007-09-28 2011-03-15 International Business Machines Corporation Apparatus, system, and method for event, time, and failure state recording mechanism in a power supply
US8724483B2 (en) 2007-10-22 2014-05-13 Nvidia Corporation Loopback configuration for bi-directional interfaces
US8453019B2 (en) * 2007-11-06 2013-05-28 Nvidia Corporation Method and system for a free running strobe tolerant interface
TW200923633A (en) * 2007-11-22 2009-06-01 Inventec Corp Method and computer device capable of dealing with power fail
US7652502B2 (en) * 2007-12-29 2010-01-26 Unity Semiconductor Corporation Field programmable gate arrays using resistivity sensitive memories
US8863067B1 (en) 2008-02-06 2014-10-14 Tabula, Inc. Sequential delay analysis by placement engines
JP5164597B2 (ja) * 2008-02-14 2013-03-21 ローム株式会社 データ制御装置
US8145894B1 (en) * 2008-02-25 2012-03-27 Drc Computer Corporation Reconfiguration of an accelerator module having a programmable logic device
US7583153B1 (en) 2008-02-28 2009-09-01 Agere Systems Inc. Systems and methods for multiplexing multiphase clocks
US7809521B1 (en) * 2008-02-29 2010-10-05 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Precise delay measurement through combinatorial logic
US7768832B2 (en) * 2008-04-07 2010-08-03 Micron Technology, Inc. Analog read and write paths in a solid state memory device
US7965559B2 (en) * 2008-05-27 2011-06-21 Georgia Tech Research Corporation Systems and methods for improved floating-gate transistor programming
US7851893B2 (en) * 2008-06-10 2010-12-14 Stats Chippac, Ltd. Semiconductor device and method of connecting a shielding layer to ground through conductive vias
US8166435B2 (en) 2008-06-26 2012-04-24 Tabula, Inc. Timing operations in an IC with configurable circuits
TWI379179B (en) * 2008-06-27 2012-12-11 Holtek Semiconductor Inc And apparatus for automatically controlling a plurality of operation modes of an oscillator and automatically switching the operation modes
US8441298B1 (en) 2008-07-01 2013-05-14 Cypress Semiconductor Corporation Analog bus sharing using transmission gates
US8432181B2 (en) * 2008-07-25 2013-04-30 Thomson Licensing Method and apparatus for reconfigurable at-speed test clock generator
US8525548B2 (en) 2008-08-04 2013-09-03 Tabula, Inc. Trigger circuits and event counters for an IC
TWI474259B (zh) * 2008-08-15 2015-02-21 King Yuan Electronics Co Ltd 晶片燒錄系統與方法
US8327117B2 (en) * 2008-08-29 2012-12-04 Rolls-Royce Corporation Reconfigurable FADEC with flash based FPGA control channel and ASIC sensor signal processor for aircraft engine control
US7728627B2 (en) * 2008-09-04 2010-06-01 Alcatel Lucent Intelligent embedded power rail and control signal sequencer
US7969804B1 (en) * 2008-09-22 2011-06-28 Cypress Semiconductor Corporation Memory architecture having a reference current generator that provides two reference currents
US20110197018A1 (en) * 2008-10-06 2011-08-11 Sam Hyuk Noh Method and system for perpetual computing using non-volatile random access memory
US8055936B2 (en) * 2008-12-31 2011-11-08 Pitney Bowes Inc. System and method for data recovery in a disabled integrated circuit
US8060453B2 (en) * 2008-12-31 2011-11-15 Pitney Bowes Inc. System and method for funds recovery from an integrated postal security device
US8169165B2 (en) 2009-01-14 2012-05-01 Mag Instrument, Inc. Multi-mode portable lighting device
US8587337B1 (en) * 2009-01-31 2013-11-19 Xilinx, Inc. Method and apparatus for capturing and synchronizing data
US8713327B1 (en) * 2009-02-02 2014-04-29 Xilinx, Inc. Circuit for and method of enabling communication of cryptographic data
US8195901B2 (en) 2009-02-05 2012-06-05 International Business Machines Corporation Firehose dump of SRAM write cache data to non-volatile memory using a supercap
US8598908B1 (en) * 2009-05-01 2013-12-03 Cypress Semiconductor Corp. Built in system bus interface for random access to programmable logic registers
US9448964B2 (en) * 2009-05-04 2016-09-20 Cypress Semiconductor Corporation Autonomous control in a programmable system
US8135884B1 (en) 2009-05-04 2012-03-13 Cypress Semiconductor Corporation Programmable interrupt routing system
US8487655B1 (en) 2009-05-05 2013-07-16 Cypress Semiconductor Corporation Combined analog architecture and functionality in a mixed-signal array
US8179161B1 (en) 2009-05-05 2012-05-15 Cypress Semiconductor Corporation Programmable input/output circuit
US9612987B2 (en) * 2009-05-09 2017-04-04 Cypress Semiconductor Corporation Dynamically reconfigurable analog routing circuits and methods for system on a chip
US8125360B1 (en) 2009-05-10 2012-02-28 Cypress Semiconductor Corporation On-chip calibration method
US7969186B2 (en) * 2009-06-02 2011-06-28 Mips Technologies Apparatus and method for forming a mixed signal circuit with fully customizable analog cells and programmable interconnect
US8687639B2 (en) 2009-06-04 2014-04-01 Nvidia Corporation Method and system for ordering posted packets and non-posted packets transfer
JP2011009349A (ja) * 2009-06-24 2011-01-13 Elpida Memory Inc 半導体装置
CN101937046B (zh) * 2009-06-30 2013-12-11 鸿富锦精密工业(深圳)有限公司 阵列型连接器测试装置
US8169844B2 (en) * 2009-06-30 2012-05-01 Agere Systems Inc. Memory built-in self-characterization
US8138764B2 (en) * 2009-07-02 2012-03-20 Infineon Technologies Ag Test circuit for monitoring a bandgap circuit
CN101957784B (zh) * 2009-07-17 2012-10-10 鸿富锦精密工业(深圳)有限公司 存储器及其数据备份方法
US8694819B2 (en) * 2009-08-24 2014-04-08 Hewlett-Packard Development Company, L.P. System and method for gradually adjusting a virtual interval timer counter value to compensate the divergence of a physical interval timer counter value and the virtual interval timer counter value
US8072234B2 (en) 2009-09-21 2011-12-06 Tabula, Inc. Micro-granular delay testing of configurable ICs
ES2373851T3 (es) 2009-09-23 2012-02-09 St-Ericsson Sa Mecanismo de arranque de suministro de energía, aparato, y método para controlar la activación de circuitos de suministro de energía.
US9876735B2 (en) 2009-10-30 2018-01-23 Iii Holdings 2, Llc Performance and power optimized computer system architectures and methods leveraging power optimized tree fabric interconnect
US9077654B2 (en) 2009-10-30 2015-07-07 Iii Holdings 2, Llc System and method for data center security enhancements leveraging managed server SOCs
US20110103391A1 (en) 2009-10-30 2011-05-05 Smooth-Stone, Inc. C/O Barry Evans System and method for high-performance, low-power data center interconnect fabric
US9069929B2 (en) 2011-10-31 2015-06-30 Iii Holdings 2, Llc Arbitrating usage of serial port in node card of scalable and modular servers
US8599863B2 (en) 2009-10-30 2013-12-03 Calxeda, Inc. System and method for using a multi-protocol fabric module across a distributed server interconnect fabric
US20130107444A1 (en) 2011-10-28 2013-05-02 Calxeda, Inc. System and method for flexible storage and networking provisioning in large scalable processor installations
US9465771B2 (en) 2009-09-24 2016-10-11 Iii Holdings 2, Llc Server on a chip and node cards comprising one or more of same
US9054990B2 (en) 2009-10-30 2015-06-09 Iii Holdings 2, Llc System and method for data center security enhancements leveraging server SOCs or server fabrics
US8319559B2 (en) * 2009-10-05 2012-11-27 Hittite Microwave Corporation Active bias control circuit for an amplifier and method of power up sequencing the same
US8182141B1 (en) * 2009-10-06 2012-05-22 Xilinx, Inc. Method and circuit for providing distributed temperature sensing in an integrated circuit
US8411707B2 (en) * 2009-10-07 2013-04-02 Texas Instruments Incorporated Low power, high speed multi-channel data acquisition system and method
US20110148457A1 (en) * 2009-10-13 2011-06-23 Miron Abramovici Protecting electronic systems from counterfeiting and reverse-engineering
US10877695B2 (en) 2009-10-30 2020-12-29 Iii Holdings 2, Llc Memcached server functionality in a cluster of data processing nodes
US11720290B2 (en) 2009-10-30 2023-08-08 Iii Holdings 2, Llc Memcached server functionality in a cluster of data processing nodes
US8985850B1 (en) 2009-10-30 2015-03-24 Cypress Semiconductor Corporation Adaptive gate driver strength control
US9648102B1 (en) 2012-12-27 2017-05-09 Iii Holdings 2, Llc Memcached server functionality in a cluster of data processing nodes
US9311269B2 (en) 2009-10-30 2016-04-12 Iii Holdings 2, Llc Network proxy for high-performance, low-power data center interconnect fabric
US9680770B2 (en) 2009-10-30 2017-06-13 Iii Holdings 2, Llc System and method for using a multi-protocol fabric module across a distributed server interconnect fabric
US8255702B1 (en) * 2009-12-03 2012-08-28 Altera Corporation Programmable logic device with improved security
US9176909B2 (en) 2009-12-11 2015-11-03 Nvidia Corporation Aggregating unoccupied PCI-e links to provide greater bandwidth
US20110149984A1 (en) * 2009-12-18 2011-06-23 Electronics And Telecommunications Research Institute Configuration memory apparatus in fpga and router system using the same
US9396358B1 (en) * 2010-01-19 2016-07-19 Altera Corporation Integrated circuit with a self-destruction mechanism
US8575910B2 (en) * 2010-01-20 2013-11-05 Intersil Americas Inc. Single-cycle charge regulator for digital control
HK1135565A2 (en) * 2010-01-22 2010-06-04 Anpac Semiconductor Ltd A noise cancellation earplug and its circuit therewith
US9252773B2 (en) * 2010-01-26 2016-02-02 Intersil Americas LLC Application specific power controller configuration technique
WO2011103680A1 (en) * 2010-02-26 2011-09-01 Master Dhiren J Electronic control system for a machine
US9331869B2 (en) 2010-03-04 2016-05-03 Nvidia Corporation Input/output request packet handling techniques by a device specific kernel mode driver
TW201131344A (en) * 2010-03-05 2011-09-16 Ever Light Technology Ltd Power adapter and method for protecting the power adapter
US8912820B2 (en) 2010-04-02 2014-12-16 Tabula, Inc. System and method for reducing reconfiguration power
US8279761B2 (en) * 2010-05-28 2012-10-02 Altera Corporation Input/output interface for periodic signals
AU2011271278B2 (en) * 2010-06-20 2016-05-19 Elenza, Inc. Ophthalmic devices and methods with application specific integrated circuits
WO2011162858A1 (en) 2010-06-23 2011-12-29 Tabula, Inc. Rescaling
US8650514B2 (en) 2010-06-23 2014-02-11 Tabula, Inc. Rescaling
CN104617944B (zh) * 2010-06-24 2018-03-16 太阳诱电株式会社 半导体装置
CN102315844B (zh) * 2010-06-29 2013-06-05 深圳市合信自动化技术有限公司 一种可编程逻辑控制器数字量输出装置及自检方法
DE102010043706A1 (de) * 2010-07-05 2012-01-05 Endress + Hauser Gmbh + Co. Kg Feldgerät zur Bestimmung oder Überwachung einer physikalischen oder chemischen Prozessgröße
US8823405B1 (en) 2010-09-10 2014-09-02 Xilinx, Inc. Integrated circuit with power gating
GB2484524A (en) 2010-10-14 2012-04-18 Powervation Ltd Pin programming a power supply controller
CN102467107B (zh) * 2010-11-17 2014-07-23 深圳市合信自动化技术有限公司 Plc模拟量输入输出控制系统及模拟量输出控制方法
US9081063B2 (en) 2010-11-22 2015-07-14 Texas Instruments Incorporated On-chip IR drop detectors for functional and test mode scenarios, circuits, processes and systems
US8324932B2 (en) * 2010-11-23 2012-12-04 Oracle International Corporation High-speed static XOR circuit
TW201221981A (en) * 2010-11-24 2012-06-01 Inventec Corp Multi-chip testing system and testing method thereof
CN102541229A (zh) * 2010-12-20 2012-07-04 鸿富锦精密工业(深圳)有限公司 开机控制装置及方法
CN102637457B (zh) * 2011-02-14 2015-06-17 张国飙 保密型三维掩膜编程只读存储器
US20150317255A1 (en) * 2011-02-15 2015-11-05 Chengdu Haicun Ip Technology Llc Secure Printed Memory
US20120210438A1 (en) * 2011-02-15 2012-08-16 Guobiao Zhang Secure Three-Dimensional Mask-Programmed Read-Only Memory
US8667192B2 (en) 2011-02-28 2014-03-04 Xilinx, Inc. Integrated circuit with programmable circuitry and an embedded processor system
US9582266B2 (en) * 2011-02-28 2017-02-28 Microsemi SoC Corporation Apparatus and methods for in-application programming of flash-based programable logic devices
DE102011016360B4 (de) * 2011-04-07 2019-10-02 Infineon Technologies Ag Fahrzeugbeleuchtungsanordnung, Leuchtmitteltreiberschaltung und Verfahren zur Bereitstellung von Informationen zur Bestimmung eines Beleuchtungszustandes
US8686753B1 (en) * 2011-04-08 2014-04-01 Altera Corporation Partial reconfiguration and in-system debugging
US9543956B2 (en) * 2011-05-09 2017-01-10 Intel Corporation Systems and methods for configuring an SOPC without a need to use an external memory
WO2012158947A2 (en) * 2011-05-17 2012-11-22 Altera Corporation Systems and methods for interfacing between hard logic and soft logic in a hybrid integrated device
CN102799504A (zh) * 2011-05-23 2012-11-28 鸿富锦精密工业(深圳)有限公司 电源测试系统及方法
US8334715B1 (en) * 2011-06-10 2012-12-18 Ambarella, Inc. Method to achieve constant slew rate (over process corners) for an output buffer
US8760193B2 (en) 2011-07-01 2014-06-24 Tabula, Inc. Configurable storage elements
US9148151B2 (en) 2011-07-13 2015-09-29 Altera Corporation Configurable storage elements
US8548071B2 (en) * 2011-07-19 2013-10-01 Xilinx, Inc. Integrated circuit enabling the communication of data and a method of communicating data in an integrated circuit
US10198350B2 (en) 2011-07-28 2019-02-05 Netlist, Inc. Memory module having volatile and non-volatile memory subsystems and method of operation
US10380022B2 (en) 2011-07-28 2019-08-13 Netlist, Inc. Hybrid memory module and system and method of operating the same
US10838646B2 (en) 2011-07-28 2020-11-17 Netlist, Inc. Method and apparatus for presearching stored data
US8780628B2 (en) * 2011-09-23 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit including a voltage divider and methods of operating the same
US8937807B2 (en) 2011-11-21 2015-01-20 Emerson Network Power—Embedded Computing, Inc. Circuit board heatsink and heatframe structures with heater element for circuit board operation at below zero temperature
WO2013076529A1 (en) * 2011-11-23 2013-05-30 Freescale Semiconductor, Inc. System-on-chip, method of manufacture thereof and method of controlling a system-on-chip
US9330031B2 (en) 2011-12-09 2016-05-03 Nvidia Corporation System and method for calibration of serial links using a serial-to-parallel loopback
US9203397B1 (en) 2011-12-16 2015-12-01 Altera Corporation Delaying start of user design execution
CN103163817A (zh) * 2011-12-16 2013-06-19 鸿富锦精密工业(深圳)有限公司 控制多设备顺序启动的电路
US9170952B1 (en) * 2011-12-28 2015-10-27 Altera Corporation Configurable multi-standard device interface
CN103187955B (zh) * 2011-12-31 2016-08-03 意法半导体研发(上海)有限公司 共栅共源驱动电路
US8887016B1 (en) * 2012-02-13 2014-11-11 Altera Corporation IC and a method of testing a transceiver of the IC
ITTO20120188A1 (it) * 2012-03-02 2013-09-03 St Microelectronics Srl Stadio di pilotaggio per dispositivi di memoria non volatile a cambiamento di fase dotato di proprieta' di auto-calibrazione
WO2013165401A1 (en) * 2012-05-01 2013-11-07 Intel Corporation Voltage regulator with adaptive control
US8762586B2 (en) * 2012-06-30 2014-06-24 Silicon Laboratories Inc. Apparatus for mixed signal interface acquisition circuitry and associated methods
US8880749B2 (en) 2012-06-30 2014-11-04 Silicon Laboratories Inc. Apparatus for mixed signal interface circuitry and associated methods
US9651981B2 (en) * 2012-08-09 2017-05-16 Infineon Technologies Austria Ag Integrated chip with heating element and reference circuit
US9007243B2 (en) 2012-09-05 2015-04-14 IQ-Analog Corporation System and method for customizing data converters from universal function dice
US9899066B2 (en) 2012-09-10 2018-02-20 Texas Instruments Incorporated Priority based backup in nonvolatile logic arrays
JP6139835B2 (ja) * 2012-09-14 2017-05-31 ローム株式会社 風音低減回路およびそれを用いたオーディオ信号処理回路、電子機器
CN103729490A (zh) 2012-10-15 2014-04-16 飞思卡尔半导体公司 混合信号ip核原型设计系统
WO2014065424A1 (ja) 2012-10-28 2014-05-01 太陽誘電株式会社 再構成可能な半導体装置
US9553590B1 (en) * 2012-10-29 2017-01-24 Altera Corporation Configuring programmable integrated circuit device resources as processing elements
US20140120845A1 (en) 2012-10-30 2014-05-01 Anayas360.Com, Llc Low noise and loss biasing circuit
US9026719B2 (en) 2012-11-15 2015-05-05 Elwha, Llc Intelligent monitoring for computation in memory
WO2014089521A1 (en) * 2012-12-07 2014-06-12 Anayas360.Com, Llc Highly integrated millimeter-wave soc layout techniques
US9000801B1 (en) 2013-02-27 2015-04-07 Tabula, Inc. Implementation of related clocks
US10372551B2 (en) 2013-03-15 2019-08-06 Netlist, Inc. Hybrid memory system with configurable error thresholds and failure analysis capability
TWI468710B (zh) * 2013-03-25 2015-01-11 Test Research Inc 用於電壓設定的測試機台
CN103280236A (zh) * 2013-05-14 2013-09-04 上海集成电路研发中心有限公司 非易失性fpga芯片
US9058453B2 (en) 2013-05-24 2015-06-16 Nvidia Corporation System and method for configuring a channel
US8860468B1 (en) * 2013-06-06 2014-10-14 Freescale Semiconductor, Inc. Clock multiplexer
US9136842B2 (en) 2013-06-07 2015-09-15 Altera Corporation Integrated circuit device with embedded programmable logic
US9436600B2 (en) 2013-06-11 2016-09-06 Svic No. 28 New Technology Business Investment L.L.P. Non-volatile memory storage for multi-channel memory system
US9436565B2 (en) 2013-07-04 2016-09-06 Altera Corporation Non-intrusive monitoring and control of integrated circuits
US9651630B2 (en) * 2013-07-17 2017-05-16 Infineon Technologies Ag Circuitry and method for monitoring a power supply of an electronic device
US9559694B2 (en) * 2013-07-22 2017-01-31 Lattice Semiconductor Corporation Stable supply-side reference over extended voltage range with hot-plugging compatibility
WO2015040454A1 (en) * 2013-09-18 2015-03-26 Freescale Semiconductor, Inc. Electronic device having multiplexed input/output terminals
US9432033B2 (en) * 2013-09-27 2016-08-30 Freescale Semiconductor, Inc. System and method for enabling maximum performance operation within an extended ambient temperature range
US9465766B1 (en) 2013-10-29 2016-10-11 Xilinx, Inc. Isolation interface for master-slave communication protocols
US10218357B2 (en) * 2013-11-02 2019-02-26 Wave Computing, Inc. Logical elements with switchable connections for multifunction operation
US10248328B2 (en) 2013-11-07 2019-04-02 Netlist, Inc. Direct data move between DRAM and storage on a memory module
CN104700886B (zh) 2013-12-06 2019-05-31 恩智浦美国有限公司 具有电源状态传感器的存储器电路
US9047474B1 (en) 2014-02-21 2015-06-02 Xilinx, Inc. Circuits for and methods of providing isolation in an integrated circuit
US9213866B1 (en) 2014-04-01 2015-12-15 Xilinx, Inc. Circuits for and methods of preventing unauthorized access in an integrated circuit
US9397667B2 (en) * 2014-09-04 2016-07-19 Texas Instruments Deutschland Gmbh Shared divide by N clock divider
JP6515112B2 (ja) * 2014-10-08 2019-05-15 太陽誘電株式会社 再構成可能な半導体装置
US9473144B1 (en) * 2014-11-25 2016-10-18 Cypress Semiconductor Corporation Integrated circuit device with programmable analog subsystem
TWI648639B (zh) * 2014-12-10 2019-01-21 英業達股份有限公司 燒錄系統、轉接卡及其資料格式轉換方法
US10296061B2 (en) 2014-12-19 2019-05-21 Lattice Semiconductor Corporation Reconfigurable and scalable hardware management architecture
US10452392B1 (en) 2015-01-20 2019-10-22 Altera Corporation Configuring programmable integrated circuit device resources as processors
US10037071B2 (en) 2015-02-25 2018-07-31 Texas Instruments Incorporated Compute through power loss approach for processing device having nonvolatile logic memory
TWI573395B (zh) * 2015-03-10 2017-03-01 新唐科技股份有限公司 輸入輸出緩衝電路
US9536617B2 (en) * 2015-04-03 2017-01-03 Sandisk Technologies Llc Ad hoc digital multi-die polling for peak ICC management
US10110232B2 (en) 2015-06-30 2018-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Multiplexer and latch system
JP2017022241A (ja) * 2015-07-09 2017-01-26 株式会社東芝 半導体装置及び電子機器
US9356642B1 (en) 2015-07-27 2016-05-31 Qrc, Inc. Dba Qrc Technologies Systems and methods for managing reconfigurable integrated circuit applications on a radiofrequency transceiver device
US9360883B1 (en) 2015-08-26 2016-06-07 Freescale Semiconductor, Inc. Clock multiplexer for generating glitch-free clock signal
JP6598592B2 (ja) * 2015-08-28 2019-10-30 ルネサスエレクトロニクス株式会社 半導体集積回路および電子制御ユニット
US10452594B2 (en) 2015-10-20 2019-10-22 Texas Instruments Incorporated Nonvolatile logic memory for computing module reconfiguration
US10241683B2 (en) 2015-10-26 2019-03-26 Nxp Usa, Inc. Non-volatile RAM system
CN105425917A (zh) * 2015-12-16 2016-03-23 英业达科技有限公司 微型服务器
US10331203B2 (en) 2015-12-29 2019-06-25 Texas Instruments Incorporated Compute through power loss hardware approach for processing device having nonvolatile logic memory
US9490789B1 (en) 2016-04-27 2016-11-08 Freescale Semiconductor, Inc. Glitch-free clock switching circuit using Muller C-elements
DE102016107797A1 (de) * 2016-04-27 2017-11-02 Dspace Digital Signal Processing And Control Engineering Gmbh Verfahren zur Konfiguration eines zum Testen eines elektronischen Steuergeräts eingerichteten Testgeräts
US9727123B1 (en) 2016-05-13 2017-08-08 Cypress Semiconductor Corporation Configurable and power-optimized integrated gate-driver for USB power-delivery and type-C SoCs
US9784771B1 (en) * 2016-06-20 2017-10-10 Lg Chem Ltd. Diagnostic system for a power supply
US10063237B2 (en) * 2016-07-21 2018-08-28 Andapt, Inc. Scalable integrated MOSFET (SIM)
US10320376B2 (en) * 2016-11-09 2019-06-11 Integrated Device Technology, Inc. Frequency divider with selectable frequency and duty cycle
CN107402793A (zh) * 2017-08-03 2017-11-28 深圳市紫光同创电子有限公司 基于串行闪存的现场可编程门阵列自动配置方法及装置
GB2567215B (en) * 2017-10-06 2020-04-01 Advanced Risc Mach Ltd Reconfigurable circuit architecture
US11061585B1 (en) * 2017-10-19 2021-07-13 EMC IP Holding Company, LLC Integration of NVMe device with DRAM cache system and method
US10521137B1 (en) 2017-10-31 2019-12-31 EMC IP Holding Company LLC Storage device array integration of dual-port NVMe device with DRAM cache and hostside portion of software stack system and method
JP7175555B2 (ja) * 2018-03-09 2022-11-21 エイブリック株式会社 テスト回路及び半導体装置
TWI647913B (zh) * 2018-03-16 2019-01-11 緯穎科技服務股份有限公司 電子裝置和熱插保護電路
US10613864B2 (en) * 2018-03-16 2020-04-07 Texas Instruments Incorporated Processor with hardware supported memory buffer overflow detection
CN109104192B (zh) * 2018-07-27 2022-05-24 北京遥测技术研究所 一种基于数据融合结构的轨到轨adc集成电路
US10803909B2 (en) 2018-08-24 2020-10-13 Micron Technology, Inc. Power management component for memory sub system power cycling
US10796058B1 (en) * 2018-09-25 2020-10-06 Xilinx, Inc. Partial reconfiguration of integrated circuits using shell representation of platform design
CN111065187B (zh) * 2018-10-17 2022-04-26 戴洛格半导体(英国)有限公司 电流调节器
US10671763B2 (en) * 2018-11-01 2020-06-02 Nvidia Corporation Protecting circuits from hacking using a digital reset detector
US10796977B2 (en) * 2019-03-04 2020-10-06 Intel Corporation Method and apparatus to control temperature of a semiconductor die in a computer system
KR101994819B1 (ko) * 2019-04-16 2019-09-30 아주대학교 산학협력단 플립칩 본딩 패키지 기반의 마이크로 프로세서의 클럭 주파수 할당 장치, 방법 및 이를 이용한 마이크로 프로세서 시스템
US10802735B1 (en) 2019-04-23 2020-10-13 Arbor Company, Lllp Systems and methods for reconfiguring dual-function cell arrays
US10949204B2 (en) * 2019-06-20 2021-03-16 Microchip Technology Incorporated Microcontroller with configurable logic peripheral
US10854245B1 (en) * 2019-07-17 2020-12-01 Intel Corporation Techniques to adapt DC bias of voltage regulators for memory devices as a function of bandwidth demand
US10963613B1 (en) 2019-07-26 2021-03-30 Xilinx, Inc. Partial reconfiguration of integrated circuits using shell representation of platform design with extended routing region
US11605166B2 (en) 2019-10-16 2023-03-14 Parsons Corporation GPU accelerated image segmentation
US11303306B2 (en) 2020-01-20 2022-04-12 Parsons Corporation Narrowband IQ extraction and storage
US11619700B2 (en) 2020-04-07 2023-04-04 Parsons Corporation Retrospective interferometry direction finding
US11569848B2 (en) 2020-04-17 2023-01-31 Parsons Corporation Software-defined radio linking systems
US11575407B2 (en) 2020-04-27 2023-02-07 Parsons Corporation Narrowband IQ signal obfuscation
CN111611013B (zh) * 2020-04-30 2023-12-22 京微齐力(北京)科技有限公司 一种支持多镜像的fpga硬启动方法及装置
CN113806147B (zh) * 2020-06-15 2023-07-14 英业达科技有限公司 背板测试系统及其方法
CN111917412B (zh) * 2020-08-17 2022-06-03 成都华微电子科技股份有限公司 用于带宽可调锁相环的可编程电荷泵电路
TWI751796B (zh) * 2020-11-18 2022-01-01 芯巧科技股份有限公司 可由外部訊號直接啟動輸出的處理器
US11747373B2 (en) 2020-12-04 2023-09-05 International Business Machines Corporation Detecting electrical power line disturbances
US11849347B2 (en) 2021-01-05 2023-12-19 Parsons Corporation Time axis correlation of pulsed electromagnetic transmissions
JP2022111592A (ja) * 2021-01-20 2022-08-01 キオクシア株式会社 半導体集積回路
US20220374161A1 (en) * 2021-05-19 2022-11-24 Silicon Storage Technology, Inc. Output circuit for analog neural memory in a deep learning artificial neural network

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5821776A (en) * 1997-01-31 1998-10-13 Actel Corporation Field programmable gate array with mask programmed analog function circuits
JP2000068477A (ja) * 1998-08-25 2000-03-03 Nec Corp 集積回路装置
US20020108006A1 (en) * 2000-10-26 2002-08-08 Warren Snyder Microcontroller programmable system on a chip

Family Cites Families (182)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US665119A (en) * 1900-09-08 1901-01-01 Lawrence Leonard Latter Chalk-line holder.
US1879688A (en) * 1928-03-27 1932-09-27 Jenkins Lab Multiple spot lamp
US4503494A (en) * 1980-06-26 1985-03-05 Texas Instruments Incorporated Non-volatile memory system
US4479097A (en) * 1981-12-24 1984-10-23 Mostek Corporation Low voltage, low power RC oscillator circuit
US6414368B1 (en) * 1982-11-26 2002-07-02 Stmicroelectronics Limited Microcomputer with high density RAM on single chip
US4513258A (en) 1983-07-01 1985-04-23 Motorola, Inc. Single input oscillator circuit
US4870302A (en) * 1984-03-12 1989-09-26 Xilinx, Inc. Configurable electrical circuit having configurable logic elements and configurable interconnects
US4817002A (en) * 1984-12-24 1989-03-28 Pitney Bowes Inc. Electronic postage meter non-volatile memory systems having human visually readable and machine stored data
US4855954A (en) * 1985-03-04 1989-08-08 Lattice Semiconductor Corporation In-system programmable logic device with four dedicated terminals
US4879688A (en) * 1985-03-04 1989-11-07 Lattice Semiconductor Corporation In-system programmable logic device
US4758745B1 (en) * 1986-09-19 1994-11-15 Actel Corp User programmable integrated circuit interconnect architecture and test method
US4857774A (en) 1986-09-19 1989-08-15 Actel Corporation Testing apparatus and diagnostic method for use with programmable interconnect architecture
US5237699A (en) * 1988-08-31 1993-08-17 Dallas Semiconductor Corp. Nonvolatile microprocessor with predetermined state on power-down
US5105425A (en) 1989-12-29 1992-04-14 Westinghouse Electric Corp. Adaptive or fault tolerant full wafer nonvolatile memory
JPH03231515A (ja) * 1990-02-06 1991-10-15 Mitsubishi Electric Corp プログラマブル論理装置
US5132571A (en) * 1990-08-01 1992-07-21 Actel Corporation Programmable interconnect architecture having interconnects disposed above function modules
US5283792A (en) * 1990-10-19 1994-02-01 Benchmarq Microelectronics, Inc. Power up/power down controller and power fail detector for processor
US5204963A (en) * 1990-12-07 1993-04-20 Digital Equipment Corporation Method and apparatus for a backup power controller for volatile random access memory
US5237218A (en) * 1991-05-03 1993-08-17 Lattice Semiconductor Corporation Structure and method for multiplexing pins for in-system programming
US5638418A (en) 1993-02-05 1997-06-10 Dallas Semiconductor Corporation Temperature detector systems and methods
DE4202759C2 (de) * 1992-01-31 1994-04-14 Bosch Gmbh Robert Empfangsvorrichtung zum Empfang von drahtlos übermittelten Informationen
JP3256603B2 (ja) 1993-07-05 2002-02-12 株式会社東芝 半導体装置及びその製造方法
WO1996025796A1 (en) * 1995-02-17 1996-08-22 Intel Corporation Power dissipation control system for vlsi chips
US5563526A (en) * 1994-01-03 1996-10-08 Texas Instruments Incorporated Programmable mixed-mode integrated circuit architecture
EP0669720B1 (en) * 1994-02-18 2000-01-26 STMicroelectronics S.r.l. Programmable logic array structure for semiconductor nonvolatile memories, particularly flash-EPROMs
US6052789A (en) * 1994-03-02 2000-04-18 Packard Bell Nec, Inc. Power management architecture for a reconfigurable write-back cache
US5451912A (en) * 1994-06-13 1995-09-19 Cypress Semiconductor Corp. Methods and apparatus for a programmable frequency generator that requires no dedicated programming pins
US5789764A (en) 1995-04-14 1998-08-04 Actel Corporation Antifuse with improved antifuse material
US5811987A (en) * 1995-06-02 1998-09-22 Advanced Micro Devices, Inc. Block clock and initialization circuit for a complex high density PLD
US5774701A (en) * 1995-07-10 1998-06-30 Hitachi, Ltd. Microprocessor operating at high and low clok frequencies
US5734868A (en) * 1995-08-09 1998-03-31 Curd; Derek R. Efficient in-system programming structure and method for non-volatile programmable logic devices
US6113260A (en) * 1995-08-16 2000-09-05 Raytheon Company Configurable interface module
US5564526A (en) * 1995-08-21 1996-10-15 Barnard; Charles E. Magnetic drain plug
US5815404A (en) 1995-10-16 1998-09-29 Xilinx, Inc. Method and apparatus for obtaining and using antifuse testing information to increase programmable device yield
US5684434A (en) * 1995-10-30 1997-11-04 Cypress Semiconductor Erasable and programmable single chip clock generator
US5757212A (en) * 1995-12-21 1998-05-26 Cypress Semiconductor Corp. Method and apparatus for providing a pin configurable architecture for frequency synthesizers
US5687325A (en) * 1996-04-19 1997-11-11 Chang; Web Application specific field programmable gate array
US5795068A (en) * 1996-08-30 1998-08-18 Xilinx, Inc. Method and apparatus for measuring localized temperatures and voltages on integrated circuits
US6272646B1 (en) * 1996-09-04 2001-08-07 Cypress Semiconductor Corp. Programmable logic device having an integrated phase lock loop
US6023431A (en) 1996-10-03 2000-02-08 Micron Technology, Inc. Low current redundancy anti-fuse method and apparatus
US5760603A (en) * 1996-10-10 1998-06-02 Xilinx, Inc. High speed PLD "AND" array with separate nonvolatile memory
AU1546797A (en) * 1996-10-10 1998-05-05 Semiconductores Investigacion Y Diseno, S.A. - (Sidsa) Process for the prototyping of mixed signal applications and field programmable system on a chip for applying said process
US6134707A (en) * 1996-11-14 2000-10-17 Altera Corporation Apparatus and method for in-system programming of integrated circuits containing programmable elements
US6490714B1 (en) * 1996-11-27 2002-12-03 Altera Corporation Apparatus and method for in-system programming of a field programmable logic device using device-specific characterization data
US5943263A (en) * 1997-01-08 1999-08-24 Micron Technology, Inc. Apparatus and method for programming voltage protection in a non-volatile memory system
US6150837A (en) * 1997-02-28 2000-11-21 Actel Corporation Enhanced field programmable gate array
US6034541A (en) * 1997-04-07 2000-03-07 Lattice Semiconductor Corporation In-system programmable interconnect circuit
US5841790A (en) 1997-04-08 1998-11-24 International Business Machines Corporation Apparatus for testing an adapter card ASIC with reconfigurable logic
US6026017A (en) 1997-04-11 2000-02-15 Programmable Silicon Solutions Compact nonvolatile memory
US6043667A (en) 1997-04-17 2000-03-28 International Business Machines Corporation Substrate tester location clamping, sensing, and contacting method and apparatus
JP3268740B2 (ja) 1997-08-20 2002-03-25 株式会社東芝 Asicの設計製造方法、スタンダードセル、エンベッテドアレイ、及びマルチ・チップ・パッケージ
US5999014A (en) * 1997-09-17 1999-12-07 Xilinx, Inc. Method for concurrently programming or accessing a plurality of in-system-programmable logic devices
US6389321B2 (en) * 1997-11-04 2002-05-14 Lattice Semiconductor Corporation Simultaneous wired and wireless remote in-system programming of multiple remote systems
FR2771210B1 (fr) * 1997-11-18 2000-02-04 Sgs Thomson Microelectronics Procede de mise en oeuvre de la premiere programmation d'une memoire et memoire correspondante
US6104257A (en) * 1997-12-22 2000-08-15 Cypress Semiconductor Corp. Crystal oscillator with eprom-controlled frequency trim
US6906421B1 (en) 1998-01-14 2005-06-14 Cypress Semiconductor Corporation Method of forming a low resistivity Ti-containing interconnect and semiconductor device comprising the same
US6128694A (en) 1998-03-10 2000-10-03 Dell Usa, L.P. Method of correcting identification data stored in an EEPROM
US7146441B1 (en) 1998-03-16 2006-12-05 Actel Corporation SRAM bus architecture and interconnect to an FPGA
US6198303B1 (en) * 1998-03-25 2001-03-06 Altera Corporation Configuration eprom with programmable logic
US6216258B1 (en) * 1998-03-27 2001-04-10 Xilinx, Inc. FPGA modules parameterized by expressions
GB9809203D0 (en) * 1998-04-29 1998-07-01 Sgs Thomson Microelectronics Packet distribution in a microcomputer
US6145020A (en) * 1998-05-14 2000-11-07 Advanced Technology Materials, Inc. Microcontroller incorporating an enhanced peripheral controller for automatic updating the configuration date of multiple peripherals by using a ferroelectric memory array
US6304099B1 (en) * 1998-05-21 2001-10-16 Lattice Semiconductor Corporation Method and structure for dynamic in-system programming
US6243842B1 (en) * 1998-06-08 2001-06-05 Stmicroelectronics, Inc. Method and apparatus for operating on a memory unit via a JTAG port
US6272655B1 (en) 1998-06-11 2001-08-07 Actel Corporation Method of reducing test time for NVM cell-based FPGA
US5889701A (en) * 1998-06-18 1999-03-30 Xilinx, Inc. Method and apparatus for selecting optimum levels for in-system programmable charge pumps
US6392304B1 (en) 1998-11-12 2002-05-21 United Memories, Inc. Multi-chip memory apparatus and associated method
DE69803856D1 (de) * 1998-11-27 2002-03-21 St Microelectronics Srl Flash Analog-Digitalwandler
US6182235B1 (en) * 1998-12-30 2001-01-30 Dallas Semiconductor Corporation Microcontroller with a user configurable pulse width modulator
US6242943B1 (en) 1998-12-31 2001-06-05 Khaled Ahmad El-Ayat Programmable multi-standard I/O architecture for FPGAS
US6762621B1 (en) 1998-12-31 2004-07-13 Actel Corporation Programmable multi-standard I/O architecture for FPGAs
US6654889B1 (en) 1999-02-19 2003-11-25 Xilinx, Inc. Method and apparatus for protecting proprietary configuration data for programmable logic devices
US6260087B1 (en) * 1999-03-03 2001-07-10 Web Chang Embedded configurable logic ASIC
WO2000052825A1 (en) 1999-03-04 2000-09-08 Altera Corporation Interconnection resources for programmable logic integrated circuit devices
US6753739B1 (en) * 1999-03-24 2004-06-22 Cypress Semiconductor Corp. Programmable oscillator scheme
US6191660B1 (en) * 1999-03-24 2001-02-20 Cypress Semiconductor Corp. Programmable oscillator scheme
JP4077979B2 (ja) * 1999-05-27 2008-04-23 株式会社日立製作所 半導体集積回路装置
US6246258B1 (en) 1999-06-21 2001-06-12 Xilinx, Inc. Realizing analog-to-digital converter on a digital programmable integrated circuit
EP1073063A1 (en) * 1999-07-30 2001-01-31 STMicroelectronics S.r.l. Non-volatile memory with functional capability of burst mode read and page mode read during suspension of an operation of electrical alteration.
US6530068B1 (en) 1999-08-03 2003-03-04 Advanced Micro Devices, Inc. Device modeling and characterization structure with multiplexed pads
US6334208B1 (en) * 1999-08-11 2001-12-25 Xilinx, Inc. Method and apparatus for in-system programming with a status bit
US6526556B1 (en) 1999-09-13 2003-02-25 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Evolutionary technique for automated synthesis of electronic circuits
US6166960A (en) * 1999-09-24 2000-12-26 Microchip Technology, Incorporated Method, system and apparatus for determining that a programming voltage level is sufficient for reliably programming an eeprom
MXPA02004748A (es) * 1999-11-10 2004-01-19 Thomson Licensing Sa Un metodo para la recuperacion de desastre para un medio de disco de re-escritrua.
JP4899241B2 (ja) * 1999-12-06 2012-03-21 ソニー株式会社 不揮発性半導体記憶装置およびその動作方法
US6496971B1 (en) 2000-02-07 2002-12-17 Xilinx, Inc. Supporting multiple FPGA configuration modes using dedicated on-chip processor
US6535048B1 (en) * 2000-02-08 2003-03-18 Infineon Technologies North America Corp. Secure asynchronous clock multiplexer
EP1126614B1 (en) * 2000-02-14 2004-11-17 STMicroelectronics S.r.l. Programmable logic arrays
US6567968B1 (en) 2000-03-06 2003-05-20 Actel Corporation Block level routing architecture in a field programmable gate array
MXPA02009146A (es) * 2000-03-22 2003-03-12 Trustees Of The Univeristy Of Convertidor de energia de corriente continua/corriente continua, sin oscilador.
US6859399B1 (en) * 2000-05-17 2005-02-22 Marvell International, Ltd. Memory architecture and system and multiport interface protocol
US6738962B1 (en) 2000-06-12 2004-05-18 Altera Corporation Configuration and/or reconfiguration of integrated circuit devices that include programmable logic and microprocessor circuitry
US6651199B1 (en) * 2000-06-22 2003-11-18 Xilinx, Inc. In-system programmable flash memory device with trigger circuit for generating limited duration program instruction
US6723606B2 (en) * 2000-06-29 2004-04-20 California Institute Of Technology Aerosol process for fabricating discontinuous floating gate microelectronic devices
US6526557B1 (en) * 2000-07-25 2003-02-25 Xilinx, Inc. Architecture and method for partially reconfiguring an FPGA
US6594192B1 (en) * 2000-08-31 2003-07-15 Stmicroelectronics, Inc. Integrated volatile and non-volatile memory
US6476636B1 (en) 2000-09-02 2002-11-05 Actel Corporation Tileable field-programmable gate array architecture
AUPR023700A0 (en) * 2000-09-19 2000-10-12 Signal Data Systems Pty Ltd Method for communicating between a first station and a second station, and a controller and remote station
US6748456B1 (en) * 2000-09-29 2004-06-08 Cypress Semiconductor Corp. PLD configuration port architecture and logic
US6868500B1 (en) * 2000-10-26 2005-03-15 Cypress Semiconductor Corporation Power on reset circuit for a microcontroller
US6724220B1 (en) * 2000-10-26 2004-04-20 Cyress Semiconductor Corporation Programmable microcontroller architecture (mixed analog/digital)
US6614320B1 (en) * 2000-10-26 2003-09-02 Cypress Semiconductor Corporation System and method of providing a programmable clock architecture for an advanced microcontroller
US6941336B1 (en) * 2000-10-26 2005-09-06 Cypress Semiconductor Corporation Programmable analog system architecture
US6507214B1 (en) * 2000-10-26 2003-01-14 Cypress Semiconductor Corporation Digital configurable macro architecture
US6603330B1 (en) * 2000-10-26 2003-08-05 Cypress Semiconductor Corporation Configuring digital functions in a digital configurable macro architecture
US6965675B1 (en) * 2000-11-28 2005-11-15 Xilinx, Inc. Structure and method for loading encryption keys through a test access port
JPWO2002050910A1 (ja) * 2000-12-01 2004-04-22 株式会社日立製作所 半導体集積回路装置の識別方法と半導体集積回路装置の製造方法及び半導体集積回路装置
US6735706B2 (en) * 2000-12-06 2004-05-11 Lattice Semiconductor Corporation Programmable power management system and method
US6492206B2 (en) 2000-12-12 2002-12-10 Actel Corporation Antifuse with improved radiation SEDR
US6686860B2 (en) * 2000-12-12 2004-02-03 Massachusetts Institute Of Technology Reconfigurable analog-to-digital converter
US20020078412A1 (en) * 2000-12-14 2002-06-20 Yongjiang Wang Built-in self test for a programmable logic device using linear feedback shift registers and hierarchical signature generation
US6678848B1 (en) 2000-12-21 2004-01-13 Actel Corporation Programming circuitry for configurable FPGA I/O
US6483344B2 (en) * 2001-01-31 2002-11-19 Stmicroelectronics, Inc. Interconnect circuitry for implementing logic functions in a field programmable gate array and method of operation
GB0103837D0 (en) * 2001-02-16 2001-04-04 Nallatech Ltd Programmable power supply for field programmable gate array modules
TW478154B (en) 2001-02-20 2002-03-01 Ememory Technology Inc Flash memory cell structure without contact channel write/erase and the manufacturing method thereof
US6594610B1 (en) 2001-02-21 2003-07-15 Xilinx, Inc. Fault emulation testing of programmable logic devices
US7231531B2 (en) * 2001-03-16 2007-06-12 Dualcor Technologies, Inc. Personal electronics device with a dual core processor
US7216242B2 (en) * 2001-03-16 2007-05-08 Dualcor Technologies, Inc. Personal electronics device with appliance drive features
US6757857B2 (en) * 2001-04-10 2004-06-29 International Business Machines Corporation Alternating current built in self test (AC BIST) with variable data receiver voltage reference for performing high-speed AC memory subsystem self-test
US6509758B2 (en) * 2001-04-18 2003-01-21 Cygnal Integrated Products, Inc. IC with digital and analog circuits and mixed signal I/O pins
US6507215B1 (en) * 2001-04-18 2003-01-14 Cygnal Integrated Products, Inc. Programmable driver for an I/O pin of an integrated circuit
AU2002259015A1 (en) * 2001-04-24 2002-11-05 Broadcom Corporation Power management system and method
CA2345845C (en) * 2001-04-30 2012-03-27 Mosaid Technologies Incorporated Bitline precharge
WO2003003197A2 (en) * 2001-06-28 2003-01-09 Oak Technology, Inc. System-on-a-chip controller
DE60204189T2 (de) * 2001-06-29 2006-02-02 Stmicroelectronics Pvt. Ltd. FPGA mit zumindest zwei unterschiedlichen und unabhängig konfigurierbaren Speicherstrukturen
EP1271783B1 (en) * 2001-06-29 2013-07-31 Sicronic Remote KG, LLC FPGA with a simplified interface between the program memory and the programmable logic blocks
US6918027B2 (en) * 2001-07-30 2005-07-12 Hewlett-Packard Development Company, L.P. System and method for in-system programming through an on-system JTAG bridge of programmable logic devices on multiple circuit boards of a system
US6552935B2 (en) * 2001-08-02 2003-04-22 Stmicroelectronics, Inc. Dual bank flash memory device and method
US6732309B1 (en) 2001-08-02 2004-05-04 Xilinx, Inc. Method for testing faults in a programmable logic device
JP4317013B2 (ja) 2001-08-07 2009-08-19 ザイリンクス インコーポレイテッド プログラマブルロジックデバイスのための特定用途向け検査方法
US6664808B2 (en) 2001-08-07 2003-12-16 Xilinx, Inc. Method of using partially defective programmable logic devices
KR100491305B1 (ko) * 2001-09-25 2005-05-24 마츠시다 덴코 가부시키가이샤 전계방사형 전자원
GB0123421D0 (en) * 2001-09-28 2001-11-21 Memquest Ltd Power management system
US6728126B1 (en) 2002-12-20 2004-04-27 Actel Corporation Programming methods for an amorphous carbon metal-to-metal antifuse
EP1302775A1 (en) * 2001-10-16 2003-04-16 Italtel s.p.a. A clock generation system for a prototyping apparatus
CN1208800C (zh) * 2001-10-29 2005-06-29 松下电工株式会社 场致发射型电子源及其驱动方法
US6983405B1 (en) * 2001-11-16 2006-01-03 Xilinx, Inc., Method and apparatus for testing circuitry embedded within a field programmable gate array
US6661254B1 (en) 2001-12-14 2003-12-09 Lattice Semiconductor Corporation Programmable interconnect circuit with a phase-locked loop
KR100406548B1 (ko) 2001-12-31 2003-11-20 주식회사 하이닉스반도체 반도체메모리장치의 비트라인프리차지 회로 및 방법
US6920596B2 (en) * 2002-01-22 2005-07-19 Heuristics Physics Laboratories, Inc. Method and apparatus for determining fault sources for device failures
US7047465B1 (en) * 2002-02-28 2006-05-16 Xilinx, Inc. Methods for using defective programmable logic devices by customizing designs based on recorded defects
EP1345236B1 (en) * 2002-03-14 2011-05-11 STMicroelectronics Srl A non-volatile memory device
US7154299B2 (en) * 2002-04-05 2006-12-26 Stmicroelectronics Pvt. Ltd. Architecture for programmable logic device
US7308608B1 (en) * 2002-05-01 2007-12-11 Cypress Semiconductor Corporation Reconfigurable testing system and method
US6687884B1 (en) 2002-05-16 2004-02-03 Xilinx, Inc. Testing for shorts between interconnect lines in a partially defective programmable logic device
US7109749B2 (en) * 2002-05-29 2006-09-19 Stmicroelectronics, Pvt. Ltd. Programmable logic devices providing reduced power consumption
US6600355B1 (en) * 2002-06-10 2003-07-29 Xilinx, Inc. Clock generator circuit providing an output clock signal from phased input clock signals
US7121474B2 (en) * 2002-06-18 2006-10-17 Intel Corporation Electro-optical nanocrystal memory device
JP3840203B2 (ja) * 2002-06-27 2006-11-01 キヤノン株式会社 固体撮像装置及び固体撮像装置を用いたカメラシステム
US7129744B2 (en) 2003-10-23 2006-10-31 Viciciv Technology Programmable interconnect structures
GB0218359D0 (en) * 2002-08-08 2002-09-18 Anadigm Ltd Semiconductor Devices
US6765427B1 (en) 2002-08-08 2004-07-20 Actel Corporation Method and apparatus for bootstrapping a programmable antifuse circuit
US6674332B1 (en) * 2002-09-06 2004-01-06 Cypress Semiconductor, Corp. Robust clock circuit architecture
KR100488542B1 (ko) 2002-10-21 2005-05-11 삼성전자주식회사 비트라인 프리차아지 타임을 개선한 반도체 메모리 장치
US6801146B2 (en) * 2002-11-14 2004-10-05 Fyre Storm, Inc. Sample and hold circuit including a multiplexer
US7003620B2 (en) * 2002-11-26 2006-02-21 M-Systems Flash Disk Pioneers Ltd. Appliance, including a flash memory, that is robust under power failure
US20040114436A1 (en) 2002-12-12 2004-06-17 Actel Corporation Programmable interconnect cell for configuring a field programmable gate array
US6891396B1 (en) 2002-12-27 2005-05-10 Actel Corporation Repeatable block producing a non-uniform routing architecture in a field programmable gate array having segmented tracks
EP3321769A1 (en) 2003-05-07 2018-05-16 Conversant Intellectual Property Management Inc. Managing power on integrated circuits using power islands
US7009433B2 (en) 2003-05-28 2006-03-07 Lattice Semiconductor Corporation Digitally controlled delay cells
US7298178B1 (en) 2003-07-31 2007-11-20 Actel Corporation Clock-generator architecture for a programmable-logic-based system on a chip
US7521960B2 (en) * 2003-07-31 2009-04-21 Actel Corporation Integrated circuit including programmable logic and external-device chip-enable override control
US7170315B2 (en) 2003-07-31 2007-01-30 Actel Corporation Programmable system on a chip
US7114092B2 (en) * 2003-10-06 2006-09-26 Adlink Technology Inc. Method of supplying a required clock frequency by a clock generator module through interface communication with a mainboard
US6924709B2 (en) 2003-10-10 2005-08-02 Standard Microsystems Corporation Integrated relaxation oscillator with improved sensitivity to component variation due to process-shift
US7030651B2 (en) 2003-12-04 2006-04-18 Viciciv Technology Programmable structured arrays
US7142008B1 (en) 2003-12-09 2006-11-28 Xilinx, Inc. Method and apparatus for clock division on a programmable logic device
US7138820B2 (en) * 2004-04-30 2006-11-21 Xilinx, Inc. System monitor in a programmable logic device
US7102555B2 (en) * 2004-04-30 2006-09-05 Xilinx, Inc. Boundary-scan circuit used for analog and digital testing of an integrated circuit
US7138824B1 (en) 2004-05-10 2006-11-21 Actel Corporation Integrated multi-function analog circuit including voltage, current, and temperature monitor and gate-driver circuit blocks
US7373516B2 (en) * 2004-08-19 2008-05-13 International Business Machines Corporation Systems and methods of securing resources through passwords
US7113392B2 (en) 2004-10-07 2006-09-26 Cisco Technology, Inc. Integrated mechanical standoff and wall-mount apparatus
EP1645930B1 (en) * 2004-10-11 2019-09-04 Texas Instruments Incorporated Method and system for detecting a security violation using an error correction code
US7295051B2 (en) * 2005-06-15 2007-11-13 Cypress Semiconductor Corp. System and method for monitoring a power supply level
JP2007050214A (ja) 2005-08-18 2007-03-01 Sadamichi Shinobe 合成樹脂製衣料用ハンガーの滑り止め機構
US7373533B2 (en) * 2005-09-30 2008-05-13 Silicon Laboratories Programmable I/O cell capable of holding its state in power-down mode
SG134189A1 (en) * 2006-01-19 2007-08-29 Micron Technology Inc Regulated internal power supply and method
US20070180186A1 (en) * 2006-01-27 2007-08-02 Cornwell Michael J Non-volatile memory management
US7861122B2 (en) * 2006-01-27 2010-12-28 Apple Inc. Monitoring health of non-volatile memory
US7400123B1 (en) * 2006-04-11 2008-07-15 Xilinx, Inc. Voltage regulator with variable drive strength for improved phase margin in integrated circuits
US7882383B2 (en) * 2006-11-01 2011-02-01 Freescale Semiconductor, Inc. System on a chip with RTC power supply
US7873854B2 (en) * 2007-10-01 2011-01-18 Silicon Laboratories Inc. System for monitoring power supply voltage

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5821776A (en) * 1997-01-31 1998-10-13 Actel Corporation Field programmable gate array with mask programmed analog function circuits
JP2000068477A (ja) * 1998-08-25 2000-03-03 Nec Corp 集積回路装置
US20020108006A1 (en) * 2000-10-26 2002-08-08 Warren Snyder Microcontroller programmable system on a chip

Cited By (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7937601B2 (en) 2003-07-31 2011-05-03 Actel Corporation Programmable system on a chip
US7579895B2 (en) 2003-07-31 2009-08-25 Actel Corporation Clock-generator architecture for a programmable-logic-based system on a chip
US7616026B2 (en) 2003-07-31 2009-11-10 Actel Corporation System-on-a-chip integrated circuit including dual-function analog and digital inputs
JP2006310869A (ja) * 2005-04-29 2006-11-09 Taiwan Semiconductor Manufacturing Co Ltd 半導体回路、半導体回路設計方法及びsocユニット
JP4730192B2 (ja) * 2005-04-29 2011-07-20 台湾積體電路製造股▲ふん▼有限公司 半導体回路設計方法
US7586299B2 (en) 2005-08-11 2009-09-08 Sharp Kabushiki Kaisha Power-supply semiconductor integrated circuit, power-supply semiconductor integrated circuit system, development assisting system for power-supply circuit, and program and storage medium therefor
JP4621215B2 (ja) * 2006-01-19 2011-01-26 アルテラ コーポレイション モジュール式i/oバンクアーキテクチャ
JP2007195191A (ja) * 2006-01-19 2007-08-02 Altera Corp モジュール式i/oバンクアーキテクチャ
KR101519440B1 (ko) 2007-10-04 2015-05-13 삼성전자주식회사 구성 가능한 수직 입출력 라인을 가지는 적층된 반도체 장치 및 그 방법
JP2014506073A (ja) * 2011-01-13 2014-03-06 ザイリンクス インコーポレイテッド 集積回路における電力マネジメント
WO2013153851A1 (ja) * 2012-04-11 2013-10-17 太陽誘電株式会社 再構成可能な半導体装置
JP2013217865A (ja) * 2012-04-11 2013-10-24 Taiyo Yuden Co Ltd 再構成可能な半導体装置
US9287877B2 (en) 2012-04-11 2016-03-15 Taiyo Yuden Co., Ltd. Reconfigurable semiconductor device
JP2016062351A (ja) * 2014-09-18 2016-04-25 日本電気株式会社 モニタ回路とロジック解析端末及びシステムと遅延測定方法並びにプログラム
JP2017536041A (ja) * 2014-11-12 2017-11-30 ザイリンクス インコーポレイテッドXilinx Incorporated 集積回路のための電力管理システム
JP2016206906A (ja) * 2015-04-21 2016-12-08 株式会社デンソー マイクロコンピュータ及び電子制御装置
JP2018523458A (ja) * 2015-07-22 2018-08-16 セフェィド 改善された粒度のエンコーダなしモータと使用方法
US10972025B2 (en) 2015-07-22 2021-04-06 Cepheid Encoderless motor with improved granularity and methods of use
US11689125B2 (en) 2015-07-22 2023-06-27 Cepheid Encoderless motor with improved granularity and methods of use
JP2020074091A (ja) * 2016-02-02 2020-05-14 ザイリンクス インコーポレイテッドXilinx Incorporated アクティブ・バイ・アクティブプログラマブルデバイス
USRE49163E1 (en) 2016-02-02 2022-08-09 Xilinx, Inc. Active-by-active programmable device
JP2018018561A (ja) * 2017-11-06 2018-02-01 ルネサスエレクトロニクス株式会社 半導体装置及び電子システム
JP7064060B1 (ja) 2019-04-23 2022-05-09 アーバー・カンパニー・エルエルエルピイ 二重機能セルアレイを再構成するためのシステムおよび方法
JP2022525557A (ja) * 2019-04-23 2022-05-17 アーバー・カンパニー・エルエルエルピイ 二重機能セルアレイを再構成するためのシステムおよび方法
US11435800B2 (en) 2019-04-23 2022-09-06 Arbor Company, Lllp Systems and methods for reconfiguring dual-function cell arrays
US11797067B2 (en) 2019-04-23 2023-10-24 Arbor Company, Lllp Systems and methods for reconfiguring dual-function cell arrays
US11463524B2 (en) 2020-06-29 2022-10-04 Arbor Company, Lllp Mobile IoT edge device using 3D-die stacking re-configurable processor module with 5G processor-independent modem
US11895191B2 (en) 2020-06-29 2024-02-06 Arbor Company, Lllp Mobile IoT edge device using 3D-die stacking re-configurable processor module with 5G processor-independent modem

Also Published As

Publication number Publication date
US7256610B1 (en) 2007-08-14
WO2005034175A3 (en) 2005-12-15
US7362131B2 (en) 2008-04-22
US7613943B2 (en) 2009-11-03
US7603578B2 (en) 2009-10-13
US20080122481A1 (en) 2008-05-29
US20080048717A1 (en) 2008-02-28
US7030649B1 (en) 2006-04-18
US20070046325A1 (en) 2007-03-01
US20090128186A1 (en) 2009-05-21
US7675320B2 (en) 2010-03-09
US20080309393A1 (en) 2008-12-18
US7937601B2 (en) 2011-05-03
US20060181305A1 (en) 2006-08-17
US7487376B2 (en) 2009-02-03
US7859302B2 (en) 2010-12-28
US20090292937A1 (en) 2009-11-26
US20080048715A1 (en) 2008-02-28
WO2005034175A2 (en) 2005-04-14
US7560952B2 (en) 2009-07-14
US20060119385A1 (en) 2006-06-08
US20050237083A1 (en) 2005-10-27
EP1649600A4 (en) 2009-09-30
US7423451B2 (en) 2008-09-09
US20080303547A1 (en) 2008-12-11
US20070176631A1 (en) 2007-08-02
US20080122484A1 (en) 2008-05-29
US20070252616A1 (en) 2007-11-01
US7102384B1 (en) 2006-09-05
US7385418B2 (en) 2008-06-10
US7034569B1 (en) 2006-04-25
US7129746B1 (en) 2006-10-31
US7616026B2 (en) 2009-11-10
US20100001760A1 (en) 2010-01-07
EP1649600A2 (en) 2006-04-26
US20080272803A1 (en) 2008-11-06
US7446560B2 (en) 2008-11-04
US7560954B2 (en) 2009-07-14
US20060255832A1 (en) 2006-11-16
US7579895B2 (en) 2009-08-25
US7102391B1 (en) 2006-09-05
US7365565B2 (en) 2008-04-29
US20080061822A1 (en) 2008-03-13
US7170315B2 (en) 2007-01-30
US7352206B1 (en) 2008-04-01
US7493506B2 (en) 2009-02-17
US20080224731A1 (en) 2008-09-18
US7492183B2 (en) 2009-02-17

Similar Documents

Publication Publication Date Title
JP2007502014A (ja) プログラマブルシステムオンチップ
US7421605B2 (en) Programmable system on a chip for power-supply voltage and current monitoring and control
US7298178B1 (en) Clock-generator architecture for a programmable-logic-based system on a chip
US7521960B2 (en) Integrated circuit including programmable logic and external-device chip-enable override control

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070403

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100423

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110215

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110719

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110719