EP1522090A2 - Thermisches verarbeitungssystem und konfigurierbare vertikalkammer - Google Patents

Thermisches verarbeitungssystem und konfigurierbare vertikalkammer

Info

Publication number
EP1522090A2
EP1522090A2 EP03764437A EP03764437A EP1522090A2 EP 1522090 A2 EP1522090 A2 EP 1522090A2 EP 03764437 A EP03764437 A EP 03764437A EP 03764437 A EP03764437 A EP 03764437A EP 1522090 A2 EP1522090 A2 EP 1522090A2
Authority
EP
European Patent Office
Prior art keywords
process chamber
substrates
carrier
pedestal
injector
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
EP03764437A
Other languages
English (en)
French (fr)
Other versions
EP1522090A4 (de
Inventor
Dale R. Dubois
Jamie H. Nam
Craig Wildman
Taiquing Qiu
Jeffrey M. Kowalski
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Aviza Technology Inc
Original Assignee
Aviza Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Aviza Technology Inc filed Critical Aviza Technology Inc
Publication of EP1522090A2 publication Critical patent/EP1522090A2/de
Publication of EP1522090A4 publication Critical patent/EP1522090A4/de
Withdrawn legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements

Definitions

  • the present invention relates generally to systems and methods for heat- treating objects, such as substrates. More specifically, the present invention relates to an apparatus and method for heat treating, annealing, and depositing layers of material on or removing layers of material from a semiconductor wafer or substrate.
  • Thermal processing apparatuses are commonly used in the manufacture of integrated circuits (ICs) or semiconductor devices from semiconductor substrates or wafers.
  • Thermal processing of semiconductor wafers include, for example, heat treating, annealing, diffusion or driving of dopant material, deposition or growth of layers of material, and etching or removal of material from the substrate.
  • These processes often call for the wafer to be heated to a temperature as high asl300°C and as low as 300°C before and during the process, and that one or more fluids, such as a process gas or reactant, be delivered to the wafer.
  • these processes typically require that the wafer be maintained at a uniform temperature throughout the process, despite variations in the temperature of the process gas or the rate at which it is introduced into the process chamber.
  • a conventional thermal processing apparatus typically consists of a voluminous process chamber positioned in or surrounded by a furnace. Substrates to be thermally processed are sealed in the process chamber, which is then heated by the furnace to a desired temperature at which the processing is performed. For many processes, such as Chemical Vapor Deposition (CVD), the sealed process chamber is first evacuated, and once the process chamber has reached the desired temperature a reactive or process gases are introduced to form or deposit reactant species on the substrates.
  • CVD Chemical Vapor Deposition
  • thermal processing apparatus typically and in particular vertical thermal processing apparatuses, required guard heaters disposed adjacent to sidewalls of the process chamber above and below the process zone in which product wafers were processed.
  • This arrangement is undesirable since it entails a larger chamber volume that must be pumped down, filled with process gas or vapor, and backfilled or purged, resulting in increased processing time.
  • this configuration takes up a tremendous amount of space and power due to a poor view factor of the wafers from the heaters.
  • Other problems with conventional thermal processing apparatuses include the considerable time required both before processing to ramp up the temperature of the process chamber and the wafer to be treated, and the time required after processing to ramp down the temperature.
  • a fundamental reason for the relatively long ramp up and ramp down times is the thermal mass of the process chamber and/or fumace in conventional thermal processing apparatuses, which must be heated or cooled prior to effectively heating or cooling the wafer.
  • a common approach to minimizing or offsetting this limitation on throughput of conventional thermal processing apparatus has been to increase the number of wafers capable of being processed in a single cycle or run.. Simultaneous processing of a large number of wafers helps to maximize the effective throughput of the apparatus by reducing the effective processing time on a per wafer basis.
  • this approach also increases the magnitude of the risk should something go wrong during processing. That is a larger number of wafers could be destroyed or damaged by a single failure, for example, if there was an equipment or process failure during a single processing cycle. This is particularly a concern with larger wafer sizes and more complex integrated circuits where a single wafer could be valued at from $1,000 to $10,000 depending on the stage of processing.
  • Another problem with this solution is that increasing the size of the process chamber to accommodate a larger number of wafers increases the thermal mass effects of the process chamber, thereby reducing the rate at which the wafer can be heated or cooled. Moreover, larger process chambers processing larger batches of wafers leads to or compounds a first-in-last-out syndrome in which the first wafers loaded into the chamber are also the last wafers removed, resulting in these wafers being exposed to elevated temperatures for longer periods and reducing uniformity across the batch of wafers.
  • RTP rapid thermal processing
  • Conventional RTP systems generally use high intensity lamps to selectively heat a single wafer or small number of wafers within a small, transparent, usually quartz, process chamber. RTP systems minimize or eliminate the thermal mass effects of the process chamber, and since the lamps have very low thermal mass, the wafer can be heated and cooled rapidly by instantly turning the lamps on or off.
  • Another problem with conventional RTP systems is their inability to provide uniform temperature distribution across multiple wafers within a single batch of wafers and even across a single wafer. There are several reasons for this non- uniform temperature distribution including (i) a poor view factor of one or more of the wafers by one or more of the lamps, and (ii) variation in output power from the lamps.
  • the present invention provides a solution to these and other problems, and offers other advantages over the prior art.
  • the present invention provides an apparatus and method for isothermally heating work pieces, such as semiconductor substrates or wafers, for performing processes such as annealing, diffusion or driving of dopant material, deposition or growth of layers of material, and etching or removal of material from the wafer.
  • a thermal processing apparatus for processing substrates held in a carrier at high or elevated temperatures.
  • the apparatus includes a process chamber having a top wall, a side wall and a bottom wall, and a heating source having a number of heating elements proximal to the top wall, the side wall and the bottom wall of the process chamber to provide an isothermal environment in a process zone in which the carrier is positioned to thermally process the substrates.
  • the dimensions of the process chamber are selected to enclose a volume substantially no larger than a volume necessary to accommodate the carrier, and the process zone extends substantially throughout the process chamber.
  • the process chamber has dimensions selected to enclose a volume substantially no larger than 125% of that necessary to accommodate the carrier.
  • the apparatus further includes a pumping system to evacuate the process chamber prior to processing pressure and a purge system to backfill the process chamber after processing is complete, and the dimensions of the process chamber are selected to provide both a rapid evacuation and a rapid backfilling of the process chamber.
  • the bottom wall of the process chamber includes a movable pedestal having at least one heating element therein, and the movable pedestal is adapted to be lowered and raised to enable the carrier with the substrates to be inserted into and removed from the process chamber.
  • the apparatus further includes a removable thermal shield adapted to be inserted between heating element in the pedestal and the substrates held the carrier. The thermal shield is adapted to reflect thermal energy from the heating element in the pedestal back to the pedestal, and to shield the substrates on the carrier from thermal energy from the heating element in the pedestal.
  • the apparatus further includes a shutter adapted to be moved into place above the carrier to isolate the process chamber when the pedestal is in a lowered position. Where the apparatus includes a pumping system to evacuate the process chamber, and the shutter can be adapted to seal with the process chamber, thereby enabling the pumping system to evacuate the process chamber when the pedestal is in the lowered position.
  • the apparatus further includes a magnetically coupled repositioning system that repositions the carrier during thermal processing of the substrates.
  • the mechanical energy used to reposition the carrier is magnetically coupled through the pedestal to the carrier without use of a movable feedthrough into the process chamber, and substantially without moving the heating element in the pedestal.
  • the magnetically coupled repositioning system is a magnetically coupled rotation system that rotates the carrier within the process zone during thermal processing of the substrates.
  • the apparatus further includes a liner separating the carrier from the top wall and the side wall of the process chamber, and a distributive or cross-flow injection system to direct flow of a fluid across surfaces of each of the substrates held in the carrier.
  • the cross-flow injection system generally includes a cross-flow injector having a number of injection ports positioned relative to substrates held in the carrier, and through which the fluid is introduced on one side of the number of substrates.
  • a number of exhaust ports in the liner positioned relative to the substrates held in the carrier cause the fluid to flow across the surfaces of the substrates.
  • Fluids introduced by the cross- flow injection system can include process gas or vapor, and inert purge gases or vapor used for purging or backfilling the chamber or for cooling the substrates therein.
  • FIG. 1 is a cross-sectional view of a thermal processing apparatus having a pedestal heater for providing an isothermal control volume according to an embodiment of the present invention, employing conventional up-flow configuration;
  • FIG. 2 is a perspective view of an alternative embodiment a base-plate useful in the thermal processing apparatus shown in FIG. 1 ;
  • FIG. 3 is a cross-sectional view of a portion of a thermal processing apparatus having a pedestal heater and a thermal shield according to an embodiment of the present invention
  • FIG. 4 is a diagrammatic illustration of the pedestal heater and thermal shield of FIG. 3 according to an embodiment of the present invention.
  • FIG. 5 is a diagrammatic illustration of an embodiment of the thermal shield having a top layer of material with a high absorptivity and a lower layer of material with a high reflectivity according to present invention
  • FIG. 6 is a diagrammatic illustration of another embodiment of the thermal shield having a cooling channel according to present invention.
  • FIG. 7 is a perspective view of an embodiment of a thermal shield and an actuator according to present invention.
  • FIG. 8 is a cross-sectional view of a portion of a thermal processing apparatus having a shutter according to an embodiment of the present invention
  • FIG. 9 is a cross-sectional view of a process chamber having a pedestal heater and a magnetically coupled wafer rotation system according to an embodiment of the present invention
  • FIG. 10 is a cross-sectional view of a thermal processing apparatus having a cross-flow injector system according to an embodiment of the present invention
  • FIG. 11 is a cross-sectional side view of a portion of the thermal processing apparatus of FIG. 10 showing positions of injector orifices in relation to the liner and of exhaust slots in relation to the wafers according to an embodiment of the present invention
  • FIG. 12 is a plan view of a portion of the thermal processing apparatus of FIG. 10 taken along the line A-A of FIG. 10 showing gas flow from orifices of a primary and a secondary injector across a wafer and to an exhaust port according to an embodiment of the present invention
  • FIG. 13 is a plan view of a portion of the thermal processing apparatus of
  • FIG. 10 taken along the line A-A of FIG. 10 showing gas flow from orifices of a primary and a secondary injector across a wafer and to an exhaust port according to another embodiment of the present invention
  • FIG. 14 is a plan view of a portion of the thermal processing apparatus of FIG. 10 taken along the line A-A of FIG. 10 showing gas flow from orifices of a primary and a secondary injector across a wafer and to an exhaust port according to yet another embodiment of the present invention;
  • FIG. 15 is a plan view of a portion of the thermal processing apparatus of FIG. 10 taken along the line A-A of FIG. 10 showing gas flow from orifices of a primary and a secondary injector across a wafer and to an exhaust port according to still another embodiment of the present invention;
  • FIG. 16 is a cross-sectional view of a thermal processing apparatus having an alternative up-flow injector system according to an embodiment of the present invention
  • FIG. 17 is a cross-sectional view of a thermal processing apparatus having an alternative down- flow injector system according to an embodiment of the present invention
  • FIG. 18 is flowchart showing an embodiment of a process for thermally processing a batch of wafers according to an embodiment of the present invention whereby each wafer of the batch of wafers is quickly and uniformly heated to the desired temperature;
  • FIG. 19 is flowchart showing another embodiment of a process for thermally processing a batch of wafers according to an embodiment of the present invention whereby each wafer of the batch of wafers is quickly and uniformly heated to the desired temperature.
  • the present invention is directed to an apparatus and method for processing a relatively small number or mini-batch of one or more work pieces, such as semiconductor substrates or wafers, held in a ca ⁇ ier, such as a cassette or boat, that provides reduced processing cycle times and improved process uniformity.
  • mini-batch means a number of wafers less than the hundreds of wafers found in the typical batch systems, and preferably in the range of from one to about fifty-three semiconductor wafers or wafers, of which from one to fifty are product wafers and the remainder are non-product wafers used for monitoring purposes and as baffle wafers.
  • thermal processing it is meant processes that in which the work piece or wafer is heated to a desired temperature which is typically in the range of about 350°C to 1300°C.
  • Thermal processing of semiconductor wafers can include, for example, heat treating, annealing, diffusion or driving of dopant material, deposition or growth of layers of material, such as chemical vapor deposition or CVD, and etching or removal of material from the wafers.
  • thermal processing apparatus A thermal processing apparatus according to an embodiment will now be described with reference to FIG. 1. For purposes of clarity, many of the details of thermal processing apparatuses that are widely known and are widely known to a person of skill in the art have been omitted. Such detail is described in more detail in, for example, commonly assigned U.S. Patent number 4,770,590, which is incorporated herein by reference.
  • FIG. 1 is a cross-sectional view of an embodiment of a thermal processing apparatus for thermally processing a batch of semiconductor wafers.
  • the thermal processing apparatus 100 generally includes a vessel 101 that encloses a volume to form a process chamber 102 having a support 104 adapted for receiving a carrier or boat 106 with a batch of wafers 108 held therein, and heat source or furnace 110 having a number of heating elements 112-1, 112-2 and 112-3 (referred to collectively hereinafter as heating elements 112) for raising a temperature of the wafers to the desired temperature for thermal processing.
  • heating elements 112 a number of heating elements 112-1, 112-2 and 112-3
  • the thermal processing apparatus 100 further includes one or more optical or electrical temperature sensing elements, such as a resistance temperature device (RTD) or thermal couple (T/C), for monitoring the temperature within the process chamber 102 and/or controlling operation of the heating elements 112.
  • a resistance temperature device RTD
  • T/C thermal couple
  • the temperature sensing element is a profile T/C 114 that has multiple independent temperature sensing nodes or points (not shown) for detecting the temperature at multiple locations within the process chamber 102.
  • the thermal processing apparatus 100 can also include one or more injectors 116 (only one of which is shown) for introducing a fluid, such as a gas or vapor, into the process chamber 102 for processing and/or cooling the wafers 108, and one or more purge ports or vents 118 (only one of which is shown) for introducing a gas to purge the process chamber and/or to cool the wafers.
  • a liner 120 increases the concentration of processing gas or vapor near the wafers 108 in a region or process zone 128 in which the wafers are processed, and reduces contamination of the wafers from flaking or peeling of deposits that can form on interior surfaces of the process chamber 102. Processing gas or vapor exits the process zone through exhaust ports or slots 121 in the chamber liner 120.
  • the dimensions of the process chamber 102 and the base-plate 124 are selected to provide a rapid evacuation, rapid heating and a rapid backfilling of the process chamber.
  • the vessel 101 and the base-plate 124 are sized to provide a process chamber 102 having dimensions selected to enclose a volume substantially no larger than necessary to accommodate the carrier 106 with the wafers 108 held therein.
  • the vessel 101 and the base-plate 124 are sized to provide a process chamber 102 having dimensions of from about 125 to about 150% of that necessary to accommodate the carrier 106 with the wafers 108 held therein, and more preferably, the process chamber has dimensions no larger than about 125% of that necessary to accommodate the carrier and the wafers in order to minimize the chamber volume which aids in pump down and back-fill time required. Openings for the injectors 116, T/Cs 114 and vents 118 are sealed using seals such as o-rings, VCR ® , or CF fittings.
  • seals such as o-rings, VCR ® , or CF fittings.
  • Gases or vapor released or introduced during processing are evacuated through a foreline or exhaust port 126 formed in a wall of the process chamber 102 (not shown) or in a plenum 127 of the base-plate 124, as shown in FIG. 1.
  • the process chamber 102 can be maintained at atmospheric pressure during thermal processing or evacuated to a vacuum as low as 5 millitorr through a pumping system (not shown) including one or more roughing pumps, blowers, hi-vacuum pumps, and roughing, throttle and foreline valves.
  • the base-plate 124 further includes a substantially annular flow channel 129 adapted to receive and support an injector 116 including a ring 131 from which depend a number of vertical injector tube or injectors 116A.
  • the injectors 116A can be sized and shaped to provide an up-flow, down flow or cross-flow flow pattern, as described below.
  • the ring 131 and injectors 116A are located so as to inject the gas into the process chamber 102 between the boat 106 and the vessel 101.
  • the injectors 116A are spaced apart around the ring 131 to uniformly introduce process gas or vapor into the process chamber 102, and may, if desired, be used during purging or backfilling to introduce a purge gas into the process chamber.
  • the base-plate 124 is sized in a short cylindrical form with an outwardly extending upper flange 133, a sidewall 135, and an inwardly extending base 137.
  • the upper flange 133 is adapted to receive and support the vessel 101, and contains an o-ring 122 to seal the vessel to the upper flange.
  • the base 137 is adapted to receive and support the liner 120 outside of where the ring 131 of injectors 116 is supported.
  • the base-plate 124 shown in FIG. 2 incorporates various ports including backfill/purge gas inlet ports 139, 143, cooling ports 145,147, provided to circulate cooling fluid in the base-plate 124, and a pressure monitoring port 149 for monitoring pressure within the process chamber 102.
  • Process gas inlet ports 151, 161 introduce a gas from a supply (not shown) to the injectors 116.
  • the backfill/purge ports 139,143 are provided at the sidewall 135 of the base-plate 124 principally to introduce a gas from a vent/purge gas supply (not shown) to the vents 118.
  • a mass flow controller (not shown) or any other suitable flow controller is placed in line between the gas supplies and the ports 139, 143, 151 and 161 to control the gas flow into the process chamber 102.
  • the vessel 101 and liner 120 can be made of any metal, ceramic, crystalline or glass material that is capable of withstanding the thermal and mechanical stresses of high temperature and high vacuum operation, and which is resistant to erosion from gases and vapors used or released during processing.
  • the vessel 101 and liner 120 are made from an opaque, translucent or transparent quartz glass having a sufficient thickness to withstand the mechanical stresses and that resists deposition of process byproducts, thereby reducing potential contamination of the processing environment. More preferably, the vessel 101 and liner 120 are made from quartz that reduces or eliminates the conduction of heat away from the region or process zone 128 in which the wafers 108 are processed.
  • the batch of wafers 108 is introduced into the thermal processing apparatus 100 through a load lock or loadport (not shown) and then into the process chamber 102 through an access or opening in the process chamber or base-plate 124 capable of forming a gas tight seal therewith.
  • the process chamber 102 is a vertical reactor and the access utilizes a movable pedestal 130 that is raised during processing to seal with a seal, such as an o-ring 132 on the base-plate 124, and lowered to enable an operator or an automated handling system, such as a boat handling unit (BHU) (not shown), to position the carrier or boat 106 on the support 104 affixed to the pedestal.
  • the heating elements 112 include elements positioned proximal to a top 134
  • the heating elements 112 surround the wafers to achieve a good view factor of the wafers and thereby provide an isothermal control volume or process zone 128 in the process chamber in which the wafers 108 are processed.
  • the heating elements 112-1 proximal to the bottom 138 of the process chamber 102 can be disposed in or on the pedestal 130. If desired, additional heating elements may be disposed in or on the base plate 124 to supplement heat from the heating elements 112-1.
  • the heating elements 112-1 proximal to the bottom of the process chamber preferably are recessed in the movable pedestal 130.
  • the pedestal 130 is made from a thermally and electrically insulating material or insulating block 140 having an electric, resistive heating elements 112-1 embedded therein or affixed thereto.
  • the pedestal 130 further includes one or more feedback sensors or T/Cs 141 used to control the heating elements 112-1. In the configuration shown, the T/Cs 141 are embedded in the center of the insulating block 140.
  • the side heating elements 112-2 and the top heating elements 112-3 may be disposed in or on an insulating block 110 about the vessel 101.
  • the heating elements 112 and the insulating blocks 110 and 140 may be configured in any of a variety of ways and may be made in any of a variety of ways and with any of a variety of materials. Some suitable configurations, fabrication techniques and materials are well known in the art, and others are described in a PCT Patent Application Serial No. TBD entitled "Variable Heater Element For Low To High Temperature Ranges," which was filed on even date herewith under Attorney Docket No. FP-71795-PC, and which hereby is incorporated herein by reference thereto in its entirely.
  • the heating elements 112-1 proximal to the bottom 138 of the process chamber 102 have a maximum power output of from about 0.1 kW to about 10 kW with a maximum process temperature of at least 1150°C. More preferably, these bottom heating elements 112-1 have a power output of at least about 3.8 kW with a maximum process temperature of at least 950°C.
  • the side heating elements 112-2 are functionally divided into multiple zones, including a lower zone nearest the pedestal 130 and upper zone, each of which are capable of being operated independently at different power levels and duty cycles from each other and from the top heating elements 112-3 and bottom heating elements 112-1.
  • the heating elements 112 are controlled in any suitable manner, either by using a control technique of a type well known in the art, or the control technique described in a PCT Patent Application Serial No. TBD entitled “Feed Forward Temperature Controller”, which was filed on even date herewith under Attorney Docket No. FP-71754-PC, and which hereby is incorporated herein by reference thereto in its entirely.
  • Contamination from the insulating block 140 and bottom heating elements 112-1 is reduced if not eliminated by housing the heating element and insulation block in an inverted quartz crucible 142, which serves as a barrier between the heating element and insulation block and the process chamber 102.
  • the crucible 142 is also sealed against the loadport and BHU environment to further reduce or eliminate contamination of the processing environment.
  • the interior of the crucible 142 is at standard atmospheric pressure, so that the crucible 142 should be strong enough to withstand a pressure differential between the process chamber 102 and the pedestal 130 across the crucible 142 of as much as 1 atmosphere.
  • the bottom heating elements 112-1 are powered to maintain an idle temperature lower than the desired processing temperature.
  • the idle temperature can be from 50-150°.
  • the idle temperature can be set higher for certain processes, such as those having a higher desired processing temperature and/or higher desired ramp up rate, or to reduce thermal cycling effects on the bottom heating elements 112-1, thereby extending element life.
  • the bottom heating elements 112-1 can be ramped to at or below the desired process temperature during the push or load, that is while the pedestal 130 with a boat 106 of wafers 108 positioned thereon is being raised.
  • the bottom heating elements 112-1 reach the desired process temperature at the same time as the heating elements 112-3 and 112-2 located proximal to respectively the top 134 and side 136 of the process chamber 102.
  • the temperature of the bottom heating elements 112-1 can begin being ramped up before the pedestal 130 begins being raised, while the last of the wafers 108 in a batch are being loaded.
  • power to the bottom heating elements 112-1 can be reduce or removed completely to begin ramping down the pedestal 130 to the idle temperature, in preparation for cooling of the wafers 108 and unloading by the BHU.
  • a purge line for air or an inert purge gas such as nitrogen
  • nitrogen is injected through a passage 144 through the center of the insulating block 140 and allowed to flow out between the top of the insulating block 140 and the interior of the crucible 142 to a perimeter thereof.
  • the hot nitrogen is then exhausted to the environment either through High Efficiency Particulate Air (HEP A) filter (not shown) or to a facility exhaust (not shown).
  • HEP A High Efficiency Particulate Air
  • This center injection configuration facilitates the faster cooling of the center of the wafers 108, and therefore is ideal to minimize the center/edge temperature differential of the bottom wafer or wafers, which could otherwise result in damage due to slip-dislocation of the crystal lattice structure.
  • the idle temperature can be set higher, closer to the desired processing temperature to reduce the effects of thermal cycling.
  • the resistive heating elements are formed from an Aluminum containing alloy, such as Kanthal ®
  • baking out the heating elements 112-1 in an oxygen rich environment promotes an alumna oxide surface growth.
  • the insulating block 140 can further include an oxygen line (not shown) to promote the formation of the protective oxide surface coat during bake out of the heating elements 112-1.
  • oxygen for bake out can be introduced through the purge line used during processing to supply cooling nitrogen via a three-way valve.
  • FIG. 3 is a cross-sectional view of a portion of a thermal processing apparatus 100.
  • FIG. 3 shows the thermal processing apparatus 100 while the wafers 108 are being loaded or unloaded, that is while the pedestal 130 is in the lowered position.
  • the thermal processing apparatus 100 further includes a thermal shield 146 that can be rotated or slid into place above the pedestal 130 and the lower wafer 108 in the boat 106.
  • the thermal shield is reflective on the side facing the heating elements 112-1 and absorptive on the side facing the wafers 108.
  • Purposes of the thermal shield 146 include increasing the rate of cooling of the wafers 108 lower down in the boat 106, and assisting in maintaining the idle temperature of the pedestal 130 and bottom heating elements 112-1 to decrease the time required to ramp up the process chamber 102 to the desired processing temperature.
  • An embodiment of a thermal processing apparatus having a thermal shield will now be described in further detail with reference to FIGs. 3 through 6.
  • FIG. 3 also shows an embodiment of a thermal processing apparatus 100 having pedestal heating elements 112-1 and a thermal shield 146.
  • the thermal shield 146 is attached via arm 148 to a ratable shaft 150 that is turned by an electric, pneumatic or hydraulic actuator to rotate the thermal shield 146 into a first position between the heated pedestal 130 and the lowest of the wafers 108 in the boat 106 during the pull or unload cycle, and removed or rotated to a second position not between the pedestal and the wafers during at least a final portion or end of the push or load cycle, just before the bottom of the boat 106 enters into the chamber 102.
  • the ratable shaft 150 is mounted on or affixed to the mechanism (not shown) used for raising and lowering the pedestal 130, thereby enabling the thermal shield 146 to be rotated into position as soon as the top of the pedestal has cleared the process chamber 102. Having the shield 146 in place during the load cycle enables the heating elements 112-1 to be heated to a desired temperature more rapidly than would otherwise be possible. Similarly, during unload cycle the shield 146 helps in cooling the wafers, particularly those closer to the pedestal, by reflect the heat radiating from the pedestal heating elements 112-1.
  • the ratable shaft 150 can be a mounted on or affixed to another part of the thermal processing apparatus 100 and adapted to move axially in synchronization with the pedestal 130, or to rotate the thermal shield 146 into position only when the pedestal is fully lowered.
  • FIG. 4 is a diagrammatic illustration of the pedestal heating elements 112-1 and thermal shield 146 of FIG. 3 illustrating the reflection of thermal energy or heat radiating from the bottom heating elements back to the pedestal 130 and the absorption of thermal energy or heat radiating from the lower wafer 108 in the batch or stack of wafers. It has been determined that the desired characteristics, high reflectivity and high absorptivity, can be obtained using a number of different materials, such as metals, ceramic, glass or polymeric coatings, either individually or in combination. By way of example the following table list various suitable materials and co ⁇ esponding parameters.
  • the thermal shield 146 can be made from a single material such as silicon-carbide (SiC), opaque quartz or stainless steel which has been polished on one side and scuffed, abraded or roughened on the other.
  • SiC silicon-carbide
  • opaque quartz or stainless steel which has been polished on one side and scuffed, abraded or roughened on the other.
  • Roughening a surface of the thermal shield 146 can significantly change its heat transfer properties, particularly its reflectivity.
  • the thermal shield 146 can be made from two different layers of material.
  • FIG. 5 is a diagrammatic illustration of a thermal shield 146 having a top layer 152 of material, such as SiC or opaque quartz, with a high absorptivity and a lower layer 154 of material or metal, such as polished stainless steel or polished aluminum, with a high reflectivity.
  • a top layer 152 of material such as SiC or opaque quartz
  • a lower layer 154 of material or metal such as polished stainless steel or polished aluminum
  • the lower layer 154 can be an extremely thin layer or film of polished metal deposited, formed or plated on a quartz plate that forms the top layer 152.
  • the materials can be integrally formed or interlocking, or joined by conventional means such as bonding or fasteners.
  • the thermal shield 146 further includes an internal cooling channel 156 to further insulate the wafers 108 from the bottom heating elements 112-1.
  • the cooling channel 156 is formed between two different layers 152 and 154 of material.
  • the cooling channel 156 can be formed by milling or any other suitable technique in a highly absorptive opaque quartz layer 152, and be covered by a metal layer 154 or coating such as a Titanium or Aluminum coating.
  • the cooling channel 156 can be formed in the metal layer 154 or both the metal layer and the quartz layer 152.
  • FIG 7 is a perspective view of an embodiment of a thermal shield assembly 153 including the thermal shield 146, arm 148, ratable shaft 150 and an actuator 155.
  • the thermal processing apparatus 100 further includes a shutter 158 that can be rotated or slid or otherwise moved into place above the boat 106 to isolate the process chamber 102 from the outside or load port environment when the pedestal 130 is in the fully lowered position.
  • the shutter 158 can be slid into place above the carrier 106 when the pedestal 130 is in a lowered position, and raised to isolate the process chamber 102.
  • the shutter 158 can be rotated or swung into place above the carrier 106 when the pedestal 130 is in a lowered position, and subsequently raised to isolate the process chamber 102.
  • the shutter 158 may be rotated about or relative to threaded screw or rod to simultaneously raise the shutter to isolate the process chamber 102 as it is swung into place above the carrier 106.
  • the shutter 158 could form a vacuum seal against the base-plate 124 to allow the process chamber 102 to be pumped down to the process pressure or vacuum. For example, it may be desirable to pump down the process chamber 102 between sequential batches of wafers to reduce or eliminate the potential for contaminating the process environment.
  • Forming a vacuum seal is preferably done with a large diameter seal, such as an o-ring, and thus the shutter 158 can desirably include a number of water channels 160 to cool the seal.
  • the shutter 158 seals with the same o-ring 132 used to seal with the crucible 142 when the pedestal 130 is in the raised position.
  • the shutter 158 is simply an insulating plug designed to reduce heat loss from the bottom of the process chamber.
  • One embodiment for accomplishing this involves the use of an opaque quartz plate, which may or may not further include a number of cooling channels underneath or internal thereto.
  • the shutter 158 When the pedestal 130 is in the fully lowered position, the shutter 158 is moved into position below the process chamber 102 and then raised to isolate the process chamber by one or more electric, hydraulic or pneumatic actuators (not shown).
  • the actuators are pneumatic actuators using from about 15 to 60 pounds per square inch gauge (PSIG) air, which is commonly available on thermal processing apparatus 100 for operation of pneumatic valves.
  • the shutter 158 can comprise a plate having a number of wheels attached via short arms or cantilevers to two sides thereof. In operation, the plate or shutter 158 is rolled into position beneath the process chamber 102 on two parallel guide rails. Stops on the guide rails then cause the cantilevers to pivot translating the motion of the shutter 158 into an upward direction to seal the process chamber 102.
  • the thermal processing apparatus 100 further includes a magnetically coupled wafer rotation system 162 that rotates the support 104 and the boat 106 along with the wafers 108 supported thereon during processing.
  • Rotating the wafers 108 during processing improves within wafer (WIW) uniformity by averaging out any non-uniformities in the heating elements 112 and in process gas flows to create a uniform on-wafer temperature and species reaction profile.
  • the wafer rotation system 162 is capable of rotated the wafers 108 at a speed of from about 0.1 to about 10 revolutions per minute (RPM).
  • the wafer rotation system 162 includes a drive assembly or rotating mechanism 164 having a rotating motor 166, such as an electric or pneumatic motor, and a magnet 168 encased in a chemically resistive container, such as annealed polytetrafluoroethylene or stainless steel.
  • a chemically resistive container such as annealed polytetrafluoroethylene or stainless steel.
  • a steel ring 170 located just below the insulating block 140 of the pedestal 130, and a drive shaft 172 with the insulating block transfer the rotational energy to another magnet 174 located above the insulating block in a top portion of the pedestal.
  • the steel ring 170, drive shaft 172 and second magnet 174 are also encased in a chemically resistive container compound.
  • the magnet 174 located in the side of the pedestal 130 magnetically couples through the crucible 142 with a steel ring or magnet 176 embedded in or affixed to the support 104 in the process chamber 102.
  • Magnetically coupling the rotating mechanism 164 through the pedestal 130 eliminates the need for locating it within the processing environment or for having a mechanical feedthrough, thereby eliminating a potential source of leaks and contamination. Furthermore, locating rotating mechanism 164 outside and at some distance from the processing minimizes the maximum temperature of to which it is exposed, thereby increasing the reliability and operating life of the wafer rotation system 162.
  • the wafer rotation system 162 can further include one or more sensors (not shown) to ensure proper boat 106 position and proper magnetic coupling between the steel ring or magnet 176 in the process chamber 102 and the magnet 174 in the pedestal 130.
  • the boat position verification sensor includes a sensor protrusion (not shown) on the boat 106 and an optical or laser sensor located below the base- plate 124. In operation, after the wafers 108 have been processed and the pedestal 130 is lowered about 3 inches below the base-plate 124. There, the wafer rotation system 162 is commanded to turn the boat 106 until the boat sensor protrusion can be seen.
  • the wafer rotation system 162 is operated to align the boat so that the wafers 108 can be unloaded. After this is done, the boat is lowered to the load/unload height. After the initial check, it is only capable of verifying the boat location from the flag sensor.
  • improved injectors 216 are preferably used in the thermal processing apparatus 100.
  • the injectors 216 are distributive or cross(X)- flow injectors 216-1 in which process gas or vapor is introduced through injector openings or orifices 180 on one side of the wafers 108 and boat 106 and caused to flow across the surfaces of the wafers in a laminar flow to exit exhaust ports or slots 182 in the chamber line 120 on opposite the side.
  • X-flow injectors 116-1 improve wafer 108 to wafer uniformity within a batch of wafers 108 by providing an improved distribution of process gas or vapor over earlier up-flow or down flow configurations.
  • X-flow injectors 216 can serve other purposes, including the injection of gases for cool-down (e.g., helium, nitrogen, hydrogen) for forced convective cooling between the wafers 108.
  • gases for cool-down e.g., helium, nitrogen, hydrogen
  • Use of X-flow injectors 216 results in a more uniform cooling between wafers 108 whether disposed at the bottom or top of the stack or batch and those wafers that are disposed in the middle, as compared with earlier up-flow or down flow configurations.
  • the injector 216 orifices 180 are sized, shaped and position to provide a spray pattern that promotes forced convective cooling between the wafers 108 in a manner that does not create a large temperature gradient across the wafer. FIG.
  • FIG. 11 is a cross-sectional side view of a portion of the thermal processing apparatus 100 of FIG. 10 showing illustrative portions of the injector orifices 180 in relation to the chamber liner 120 and the exhaust slots 182 in relation to the wafers 108.
  • FIG. 12 is a plan view of a portion of the thermal processing apparatus 100 of FIG. 10 taken along the line A-A of FIG. 10 showing laminar gas flow from the orifices 180-1 and 180-2 of primary and secondary injectors 184, 186, across an illustrative one of the wafers 108 and to exhaust slots 182-1 and 182-2 according to one embodiment. It should be noted that the position of the exhaust slot 182 as shown in FIG.
  • the process gas or vapor is initially directed away from the wafers 108 and toward the liner 120 to promote mixing of the process gas or vapor before it reaches the wafers.
  • This configuration of orifices 180-1 and 180-2 is particularly useful for processes or recipes in which different reactants are introduced from each of the primary and secondary injectors 184, 186, for example to form a multi-component film or layer.
  • FIG. 13 is another plan view of a portion of the thermal processing apparatus 100 of FIG. 10 taken along the line A-A of FIG. 10 showing an alternative gas flow path from the orifices 180 of the primary and secondary injector 184, 186, across an illustrative on of the wafer 108 and to the exhaust slots 182 according to another embodiment.
  • FIG. 14 is another plan view of a portion of the thermal processing apparatus 100 of FIG. 10 taken along the line A-A of FIG. 10 showing an alternative gas flow path from the orifices 180 of the primary and secondary injector 184, 186, across an illustrative on of the wafer 108 and to the exhaust slots 182 according to yet another embodiment.
  • FIG. 15 is another plan view of a portion of the thermal processing apparatus 100 of FIG. 10 taken along the line A-A of FIG. 10 showing an alternative gas flow path from the orifices 180 of the primary and secondary injector 184, 186, across an illustrative on of the wafer 108 and to the exhaust slots 182 according to still another embodiment.
  • FIG. 16 is a cross-sectional view of a thermal processing apparatus 100 having two or more up-flow injectors 116-1 and 116-2 according to an alternative embodiment.
  • process gas or vapor admitted from the process injectors 116-1 and 116-2 having respective outlet orifices low in the process chamber 102 flows up and across the wafers 108, and spent gases exit exhaust slots 182 in the top of the liner 120.
  • An up-flow injector system is also shown in FIG. 1.
  • FIG. 17 is a cross-sectional view of a thermal processing apparatus 100 having a down-flow injector system according to an alternative embodiment.
  • the injectors 116, 216, and/or the liner 120 can be quickly and easily replaced or swapped with other injectors and liners having different points for the injection and exhausting of the process gas from the process zone 128.
  • the embodiment of the x-flow injector 216 shown in FIG. 10 adds a degree of process flexibility by enabling the flow pattern within the process chamber 102 to be quickly and easily changed from a cross-flow configuration, as shown in FIG.
  • FIG. 10 to an up-flow configuration, as shown in FIGs. 1 and 16, or a down-flow configuration, as shown in FIG. 17.
  • This can be accomplished through the use of easily installable injector assemblies 216 and liners 120 to convert the flow geometry from cross-flow to an up-flow or down- flow.
  • FIG. 18 is a flowchart showing steps of a method for thermally processing a batch of wafers 108 wherein each wafer of the batch of wafers is quickly and uniformly heated to the desired temperature.
  • the pedestal 130 is lowered, and the thermal shield 142 is moved into a position while the pedestal 130 is lowered to reflect heat from the bottom heating element 112-1 back to the pedestal 130 to maintain the temperature thereof, and to insulate the finished wafers 108 (step 190).
  • the shutter 158 is moved into position to seal or isolate the process chamber 102 (step 192), and power is applied to the heating elements 112-2, 112-3, to begin pre-heating the process chamber 102 to or maintain at an intermediate or idling temperature (step 194).
  • a carrier or boat 106 loaded with new wafers 108 is positioned on the pedestal 130 (step 196).
  • the pedestal 130 is raised to position the boat in the process zone 128, while simultaneously removing the shutter 158, the thermal shield 142, and ramping-up the bottom heating element 112-1 to preheat the wafers to an intermediate temperature (step 197).
  • the thermal shield 142 is removed just before the boat 106 is positioned in the process zone 128.
  • a fluid such as a process gas or vapor, is introduced on one side of the of wafers 108 through a plurality of injection ports 180 (step 198). The fluid flows from the injection ports 180 across surfaces of the wafers 108 to exhaust ports 182 positioned in the liner 120 on the opposite side of the wafers relative to the injection ports (step 199).
  • the boat 106 can be rotated within the process zone 128 during thermal processing of the batch of wafers 108 to further enhance uniformity of the thermal processing, by magnetically coupling mechanical energy through the pedestal 130 to the carrier or boat 106 to reposition it during thermal processing of the wafers (step 200).
  • FIG. 19 is a flowchart showing steps of an embodiment of a method for thermally processing a batch of wafers 108 in a carrier.
  • an apparatus 100 is provided having a process chamber 102 with dimensions and a volume not substantially larger than necessary (guard heaters absent) to accommodate the carrier 106 with the wafers 108 held therein.
  • the pedestal 130 is lowered, and the boat 106 with the wafers 108 held therein positioned thereon (step 202).
  • the pedestal 130 is raised to insert the boat in the process chamber 102, while simultaneously preheating the wafers 108 to an intermediate temperature (step 204).
  • Power is applied to the heating elements 112-1, 112-2, 112-3, each disposed proximate to at least one of the top wall 134, the side wall 136 and the bottom wall 138 of the process chamber 102 to begin heating the process chamber (step 206).
  • power to at least one of the heating elements is adjusted independently to provide a substantially isothermal environment at a desired temperature in a process zone 128 in the process chamber 102 (step 208).
  • the pedestal 130 is lowered, and the thermal shield 142 is moved into position to insulate the finished wafers 108 and to reflect heat from the bottom heating element 112-1 back to the pedestal 130 to maintain the temperature thereof (step 210).
  • the shutter 158 is moved into position to seal or isolate the process chamber 102, and power applied to the heating elements 112-2, 112-3, to maintain the temperature of the process chamber (step 212).
  • the boat 106 is then removed from the pedestal 130 (step 214), and another boat loaded with a new batch of wafers to be processed positioned on the pedestal (step 216).
  • the shutter 158 is repositioned or removed (step 218), and the thermal shield withdrawn or repositioned to preheat the wafers 108 in the boat 106 to an intermediate temperature while simultaneously raising the pedestal 130 to insert the boat into the process chamber 102 to thermally process the new batch of wafers (step 220).
  • thermal processing apparatus 100 reduces the processing or cycle time by about 75% over conventional systems.
  • a conventional large batch thermal processing apparatus may process 100 product wafers in about 232 minutes, including pre-processing and post-processing time.
  • the inventive thermal processing apparatus 100 performs the same processing on a mini-batch of 25 product wafers 108 in about 58 minutes.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Furnace Details (AREA)
  • Resistance Heating (AREA)
  • Control By Computers (AREA)
  • Control Of Fluid Pressure (AREA)
  • Control Of Non-Electrical Variables (AREA)
  • Control Of Resistance Heating (AREA)
  • Muffle Furnaces And Rotary Kilns (AREA)
  • Physical Vapour Deposition (AREA)
EP03764437A 2002-07-15 2003-07-10 Thermisches verarbeitungssystem und konfigurierbare vertikalkammer Withdrawn EP1522090A4 (de)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US39653602P 2002-07-15 2002-07-15
US396536P 2002-07-15
US42852602P 2002-11-22 2002-11-22
US428526P 2002-11-22
PCT/US2003/021575 WO2004008491A2 (en) 2002-07-15 2003-07-10 Thermal processing system and configurable vertical chamber

Publications (2)

Publication Number Publication Date
EP1522090A2 true EP1522090A2 (de) 2005-04-13
EP1522090A4 EP1522090A4 (de) 2006-04-05

Family

ID=30118590

Family Applications (2)

Application Number Title Priority Date Filing Date
EP03764467A Withdrawn EP1540258A1 (de) 2002-07-15 2003-07-10 VARIABLES HEIZELEMENT FüR NIEDRIGE BIS HOHE TEMPERATURBEREICHE
EP03764437A Withdrawn EP1522090A4 (de) 2002-07-15 2003-07-10 Thermisches verarbeitungssystem und konfigurierbare vertikalkammer

Family Applications Before (1)

Application Number Title Priority Date Filing Date
EP03764467A Withdrawn EP1540258A1 (de) 2002-07-15 2003-07-10 VARIABLES HEIZELEMENT FüR NIEDRIGE BIS HOHE TEMPERATURBEREICHE

Country Status (6)

Country Link
EP (2) EP1540258A1 (de)
JP (2) JP2005533378A (de)
CN (1) CN1643322A (de)
AU (9) AU2003256487A1 (de)
TW (9) TW200411717A (de)
WO (9) WO2004008493A2 (de)

Families Citing this family (338)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7966969B2 (en) 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US7427571B2 (en) 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
CN101448977B (zh) 2005-11-04 2010-12-15 应用材料股份有限公司 用于等离子体增强的原子层沉积的设备和工艺
NL1030360C2 (nl) * 2005-11-07 2007-05-08 Holding Mij Wilro B V Oven en werkwijze voor de productie van fotovoltaïsche zonnecellen gebruikmakend van een diffusieproces.
US20070194470A1 (en) * 2006-02-17 2007-08-23 Aviza Technology, Inc. Direct liquid injector device
WO2007099387A1 (en) 2006-03-03 2007-09-07 Mymetics Corporation Virosome-like vesicles comprising gp41-derived antigens
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
DE102007058053B4 (de) * 2007-11-30 2009-10-15 Von Ardenne Anlagentechnik Gmbh Diffusionsofen und Verfahren zur Erzeugung einer Gasströmung
US9157150B2 (en) * 2007-12-04 2015-10-13 Cypress Semiconductor Corporation Method of operating a processing chamber used in forming electronic devices
JP4885901B2 (ja) * 2008-03-31 2012-02-29 株式会社山武 流量制御システム
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US8136618B2 (en) 2009-01-21 2012-03-20 The Raymond Corporation Cyclonic motor cooling for material handling vehicles
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5794497B2 (ja) * 2010-06-08 2015-10-14 国立研究開発法人産業技術総合研究所 連結システム
KR101877494B1 (ko) * 2010-12-24 2018-07-13 엘지이노텍 주식회사 진공 열처리 장치
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9018567B2 (en) 2011-07-13 2015-04-28 Asm International N.V. Wafer processing apparatus with heated, rotating substrate support
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9147584B2 (en) * 2011-11-16 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Rotating curing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
KR101440307B1 (ko) * 2012-09-17 2014-09-18 주식회사 유진테크 기판처리장치
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10177014B2 (en) * 2012-12-14 2019-01-08 Applied Materials, Inc. Thermal radiation barrier for substrate processing chamber components
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10386019B2 (en) 2013-03-15 2019-08-20 Southwire Company, Llc Flow control and gas metering process
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
WO2015112328A1 (en) * 2014-01-27 2015-07-30 Applied Materials, Inc. High speed epi system and chamber concepts
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9543171B2 (en) * 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
TWI642137B (zh) * 2015-08-04 2018-11-21 日商日立國際電氣股份有限公司 Substrate processing apparatus, reaction container, and manufacturing method of semiconductor device
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170207078A1 (en) * 2016-01-15 2017-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition apparatus and semiconductor process
WO2017130268A1 (ja) * 2016-01-25 2017-08-03 三菱電機株式会社 制御装置
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
FR3057391B1 (fr) * 2016-10-11 2019-03-29 Soitec Equipement de traitement thermique avec dispositif collecteur
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR102127130B1 (ko) * 2017-02-17 2020-06-26 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
JP7158133B2 (ja) 2017-03-03 2022-10-21 アプライド マテリアルズ インコーポレイテッド 雰囲気が制御された移送モジュール及び処理システム
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
TWI629441B (zh) 2017-07-07 2018-07-11 寶成工業股份有限公司 Smart oven
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
CN111373519B (zh) * 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (de) 2018-02-14 2020-11-18 ASM IP Holding B.V. Verfahren zum abscheiden eines ruthenium-haltigen films auf einem substrat durch ein zyklisches abscheidungsverfahren
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
JP7206678B2 (ja) * 2018-07-30 2023-01-18 Tdk株式会社 ロードポート装置、半導体製造装置及びポッド内雰囲気の制御方法
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11407000B2 (en) 2019-09-23 2022-08-09 S. C. Johnson & Son, Inc. Volatile material dispenser
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
GB2610156A (en) * 2021-04-29 2023-03-01 Edwards Ltd Semiconductor processing system
FI129948B (en) * 2021-05-10 2022-11-15 Picosun Oy SUBSTRATE PROCESSING APPARATUS AND METHOD
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR102444786B1 (ko) * 2021-12-23 2022-09-19 주식회사 에이치피에스피 냉각 효율을 향상시키는 고압챔버
CN114990299B (zh) * 2022-08-01 2022-10-04 兴化市天泰合金制品科技有限公司 一种球墨铸铁合金制备用热处理装置

Citations (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4401689A (en) * 1980-01-31 1983-08-30 Rca Corporation Radiation heated reactor process for chemical vapor deposition on substrates
EP0164928A2 (de) * 1984-06-04 1985-12-18 Texas Instruments Incorporated Senkrechter Heisswandreaktor zur chemischen Abscheidung aus der Gasphase
US4745088A (en) * 1985-02-20 1988-05-17 Hitachi, Ltd. Vapor phase growth on semiconductor wafers
EP0308946A2 (de) * 1987-09-22 1989-03-29 Nec Corporation Chemischer Dampfabscheidungsapparat für die Herstellung von hochqualitativen epitaktischen Schichten mit gleichmässiger Dichte
EP0385382A2 (de) * 1989-02-27 1990-09-05 Heinrich Dr. Söhlbrand Verfahren zur thermischen Behandlung von Halbleitermaterialien und Vorrichtung zur Durchführung desselben
US4989540A (en) * 1988-08-17 1991-02-05 Tel Sagami Limited Apparatus for reaction treatment
US5127365A (en) * 1990-02-27 1992-07-07 Kabushiki Kaisha Toshiba Vertical heat-treatment apparatus for semiconductor parts
US5246500A (en) * 1991-09-05 1993-09-21 Kabushiki Kaisha Toshiba Vapor phase epitaxial growth apparatus
EP0603391A1 (de) * 1992-05-15 1994-06-29 Shin-Etsu Quartz Products Co., Ltd. Vertikale wärmebehandlungsvorrichtung und wärmeisolationsmaterial
US5383984A (en) * 1992-06-17 1995-01-24 Tokyo Electron Limited Plasma processing apparatus etching tunnel-type
US5498292A (en) * 1994-06-16 1996-03-12 Kishimoto Sangyo Co., Ltd. Heating device used for a gas phase growing mechanism or heat treatment mechanism
US5618349A (en) * 1993-07-24 1997-04-08 Yamaha Corporation Thermal treatment with enhanced intra-wafer, intra-and inter-batch uniformity
JPH09148315A (ja) * 1995-11-20 1997-06-06 Tokyo Electron Ltd 熱処理装置及び処理装置
US5654230A (en) * 1991-04-25 1997-08-05 Tokyo Electron Limited Method of forming doped film
US5709543A (en) * 1995-09-06 1998-01-20 Tokyo Electron Limited Vertical heat treatment apparatus
WO1999036587A1 (en) * 1998-01-15 1999-07-22 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
JP2000311862A (ja) * 1999-04-28 2000-11-07 Kokusai Electric Co Ltd 基板処理装置
US6204194B1 (en) * 1998-01-16 2001-03-20 F.T.L. Co., Ltd. Method and apparatus for producing a semiconductor device
US20010047764A1 (en) * 1997-08-11 2001-12-06 Robert C. Cook Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
US20030186517A1 (en) * 2002-04-01 2003-10-02 Mikio Takagi Method of and apparatus for manufacturing semiconductor device

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4753192A (en) * 1987-01-08 1988-06-28 Btu Engineering Corporation Movable core fast cool-down furnace
DE3855871T2 (de) * 1987-09-11 1997-10-16 Hitachi Ltd Vorrichtung zur Durchführung einer Wärmebehandlung an Halbleiterplättchen
JPH088220B2 (ja) * 1988-09-05 1996-01-29 株式会社日立製作所 半導体ウェハの熱処理装置、及び熱処理方法
US4787844A (en) * 1987-12-02 1988-11-29 Gas Research Institute Seal arrangement for high temperature furnace applications
US4914276A (en) * 1988-05-12 1990-04-03 Princeton Scientific Enterprises, Inc. Efficient high temperature radiant furnace
JPH02130943A (ja) * 1988-11-11 1990-05-18 Tel Sagami Ltd 収容治具
US5160545A (en) * 1989-02-03 1992-11-03 Applied Materials, Inc. Method and apparatus for epitaxial deposition
US5207835A (en) * 1989-02-28 1993-05-04 Moore Epitaxial, Inc. High capacity epitaxial reactor
US5706627A (en) * 1994-02-02 1998-01-13 Tetra Laval Holdings & Finance, S.A. Control system for a packaging machine
US6361618B1 (en) * 1994-07-20 2002-03-26 Applied Materials, Inc. Methods and apparatus for forming and maintaining high vacuum environments
US5724786A (en) * 1994-09-28 1998-03-10 Tetra Laval Holdings & Finance S.A. Control system having error correcting apparatus
JP2732224B2 (ja) * 1994-09-30 1998-03-25 信越半導体株式会社 ウエーハ支持ボート
JPH08213446A (ja) * 1994-12-08 1996-08-20 Tokyo Electron Ltd 処理装置
US5830277A (en) * 1995-05-26 1998-11-03 Mattson Technology, Inc. Thermal processing system with supplemental resistive heater and shielded optical pyrometry
WO1997003225A1 (en) * 1995-07-10 1997-01-30 Cvc Products, Inc. Programmable ultraclean electromagnetic substrate rotation apparatus and method for microelectronics manufacturing equipment
JPH09306980A (ja) * 1996-05-17 1997-11-28 Asahi Glass Co Ltd 縦型ウエハボート
US20010052359A1 (en) * 1997-02-21 2001-12-20 Masayoshi Ikeda Method of substrate temperature control and method of assessing substrate temperature controllability
US5846073A (en) * 1997-03-07 1998-12-08 Semitool, Inc. Semiconductor furnace processing vessel base
US5826406A (en) * 1997-05-01 1998-10-27 Tetra Laval Holdings & Finance, S.A. Servo-controlled conveyor system for carrying liquid filled containers
US5900177A (en) * 1997-06-11 1999-05-04 Eaton Corporation Furnace sidewall temperature control system
US6059567A (en) * 1998-02-10 2000-05-09 Silicon Valley Group, Inc. Semiconductor thermal processor with recirculating heater exhaust cooling system
US6051113A (en) * 1998-04-27 2000-04-18 Cvc Products, Inc. Apparatus and method for multi-target physical-vapor deposition of a multi-layer material structure using target indexing
US6030208A (en) * 1998-06-09 2000-02-29 Semitool, Inc. Thermal processor
EP1121245B1 (de) * 1998-06-18 2008-12-24 Kline & Walker L.L.C. Automatische vorrichtung zur überwachung von auf abstand zu bedienende ausrüstungen und maschinen weltweit anwendbar
JP3487497B2 (ja) * 1998-06-24 2004-01-19 岩手東芝エレクトロニクス株式会社 被処理体収容治具及びこれを用いた熱処理装置
US6537461B1 (en) * 2000-04-24 2003-03-25 Hitachi, Ltd. Process for treating solid surface and substrate surface
US6140833A (en) * 1998-11-16 2000-10-31 Siemens Aktiengesellschaft In-situ measurement method and apparatus for semiconductor processing
US6449428B2 (en) * 1998-12-11 2002-09-10 Mattson Technology Corp. Gas driven rotating susceptor for rapid thermal processing (RTP) system
US6193811B1 (en) * 1999-03-03 2001-02-27 Applied Materials, Inc. Method for improved chamber bake-out and cool-down
US6450116B1 (en) * 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
US6121581A (en) * 1999-07-09 2000-09-19 Applied Materials, Inc. Semiconductor processing system
US6391163B1 (en) * 1999-09-27 2002-05-21 Applied Materials, Inc. Method of enhancing hardness of sputter deposited copper films
JP3404674B2 (ja) * 2000-01-21 2003-05-12 株式会社真空技研 超高温熱処理装置
US20020069970A1 (en) * 2000-03-07 2002-06-13 Applied Materials, Inc. Temperature controlled semiconductor processing chamber liner
US6537707B1 (en) * 2000-03-15 2003-03-25 Agilent Technologies, Inc. Two-stage roughing and controlled deposition rates for fabricating laser ablation masks
US6641350B2 (en) * 2000-04-17 2003-11-04 Hitachi Kokusai Electric Inc. Dual loading port semiconductor processing equipment
JP2002083780A (ja) * 2000-09-05 2002-03-22 Hitachi Kokusai Electric Inc 半導体製造装置
US6589350B1 (en) * 2000-09-08 2003-07-08 Advanced Micro Devices, Inc. Vacuum processing chamber with controlled gas supply valve
US20030082031A1 (en) * 2001-10-30 2003-05-01 Olivier Vatel Wafer handling device and method for testing wafers

Patent Citations (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4401689A (en) * 1980-01-31 1983-08-30 Rca Corporation Radiation heated reactor process for chemical vapor deposition on substrates
EP0164928A2 (de) * 1984-06-04 1985-12-18 Texas Instruments Incorporated Senkrechter Heisswandreaktor zur chemischen Abscheidung aus der Gasphase
US4745088A (en) * 1985-02-20 1988-05-17 Hitachi, Ltd. Vapor phase growth on semiconductor wafers
EP0308946A2 (de) * 1987-09-22 1989-03-29 Nec Corporation Chemischer Dampfabscheidungsapparat für die Herstellung von hochqualitativen epitaktischen Schichten mit gleichmässiger Dichte
US4989540A (en) * 1988-08-17 1991-02-05 Tel Sagami Limited Apparatus for reaction treatment
EP0385382A2 (de) * 1989-02-27 1990-09-05 Heinrich Dr. Söhlbrand Verfahren zur thermischen Behandlung von Halbleitermaterialien und Vorrichtung zur Durchführung desselben
US5127365A (en) * 1990-02-27 1992-07-07 Kabushiki Kaisha Toshiba Vertical heat-treatment apparatus for semiconductor parts
US5654230A (en) * 1991-04-25 1997-08-05 Tokyo Electron Limited Method of forming doped film
US5246500A (en) * 1991-09-05 1993-09-21 Kabushiki Kaisha Toshiba Vapor phase epitaxial growth apparatus
EP0603391A1 (de) * 1992-05-15 1994-06-29 Shin-Etsu Quartz Products Co., Ltd. Vertikale wärmebehandlungsvorrichtung und wärmeisolationsmaterial
US5383984A (en) * 1992-06-17 1995-01-24 Tokyo Electron Limited Plasma processing apparatus etching tunnel-type
US5618349A (en) * 1993-07-24 1997-04-08 Yamaha Corporation Thermal treatment with enhanced intra-wafer, intra-and inter-batch uniformity
US5498292A (en) * 1994-06-16 1996-03-12 Kishimoto Sangyo Co., Ltd. Heating device used for a gas phase growing mechanism or heat treatment mechanism
US5709543A (en) * 1995-09-06 1998-01-20 Tokyo Electron Limited Vertical heat treatment apparatus
JPH09148315A (ja) * 1995-11-20 1997-06-06 Tokyo Electron Ltd 熱処理装置及び処理装置
US20010047764A1 (en) * 1997-08-11 2001-12-06 Robert C. Cook Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
WO1999036587A1 (en) * 1998-01-15 1999-07-22 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US6204194B1 (en) * 1998-01-16 2001-03-20 F.T.L. Co., Ltd. Method and apparatus for producing a semiconductor device
JP2000311862A (ja) * 1999-04-28 2000-11-07 Kokusai Electric Co Ltd 基板処理装置
US20030186517A1 (en) * 2002-04-01 2003-10-02 Mikio Takagi Method of and apparatus for manufacturing semiconductor device

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
PATENT ABSTRACTS OF JAPAN vol. 1997, no. 10, 31 October 1997 (1997-10-31) -& JP 09 148315 A (TOKYO ELECTRON LTD), 6 June 1997 (1997-06-06) *
PATENT ABSTRACTS OF JAPAN vol. 2000, no. 14, 5 March 2001 (2001-03-05) -& JP 2000 311862 A (KOKUSAI ELECTRIC CO LTD), 7 November 2000 (2000-11-07) *
See also references of WO2004008491A2 *

Also Published As

Publication number Publication date
AU2003256486A8 (en) 2004-02-02
CN1643322A (zh) 2005-07-20
TW200419890A (en) 2004-10-01
TW200405401A (en) 2004-04-01
WO2004008052A2 (en) 2004-01-22
WO2004007105A1 (en) 2004-01-22
WO2004008008A2 (en) 2004-01-22
WO2004008494A2 (en) 2004-01-22
AU2003249029A8 (en) 2004-02-02
AU2003259104A8 (en) 2004-02-02
WO2004008493A2 (en) 2004-01-22
TW200406818A (en) 2004-05-01
AU2003256487A1 (en) 2004-02-02
WO2004008054A9 (en) 2005-01-13
TW200416774A (en) 2004-09-01
WO2004007318A2 (en) 2004-01-22
WO2004007318A3 (en) 2004-08-05
EP1540258A1 (de) 2005-06-15
AU2003249029A1 (en) 2004-02-02
JP2005533232A (ja) 2005-11-04
EP1522090A4 (de) 2006-04-05
WO2004008491A2 (en) 2004-01-22
TW200409176A (en) 2004-06-01
TW200416773A (en) 2004-09-01
WO2004008493A3 (en) 2004-05-27
AU2003253907A8 (en) 2004-02-02
AU2003249030A8 (en) 2004-02-02
AU2003249028A1 (en) 2004-02-02
AU2003253874A1 (en) 2004-02-02
AU2003253873A1 (en) 2004-02-02
AU2003259104A1 (en) 2004-02-02
WO2004007800A9 (en) 2005-01-13
WO2004008493A9 (en) 2004-07-22
WO2004008008A3 (en) 2004-12-16
WO2004008491A3 (en) 2004-06-03
TW200416775A (en) 2004-09-01
AU2003249030A1 (en) 2004-02-02
AU2003256486A1 (en) 2004-02-02
WO2004008052A3 (en) 2004-05-13
WO2004008054A1 (en) 2004-01-22
JP2005533378A (ja) 2005-11-04
TW200411717A (en) 2004-07-01
WO2004007800A1 (en) 2004-01-22
WO2004008494A3 (en) 2005-04-21
AU2003253907A1 (en) 2004-02-02
AU2003253874A8 (en) 2004-02-02
TW200411960A (en) 2004-07-01

Similar Documents

Publication Publication Date Title
US20070243317A1 (en) Thermal Processing System and Configurable Vertical Chamber
WO2004008491A2 (en) Thermal processing system and configurable vertical chamber
US20050098107A1 (en) Thermal processing system with cross-flow liner
US20050121145A1 (en) Thermal processing system with cross flow injection system with rotatable injectors
US20070137794A1 (en) Thermal processing system with across-flow liner
KR100415475B1 (ko) 기판 상에 박막을 성장시키는 장치
JP4084412B2 (ja) 半導体基板を処理するための高速熱処理反応炉
EP1443543B1 (de) Vorrichtung zur thermischen behandlung
EP0646285A1 (de) Methode zur behandlung von halbleiter-wafern und apparat mit kontolle des waerme- und des gasflusses
EP1535314A2 (de) Schnelle ablagerung bei niedrigen dr cken in einem kleinen batch-reaktor
KR19990087225A (ko) 열처리장치
JP2001512789A (ja) ミニ・バッチ式プロセス・チャンバ
JPH06302523A (ja) 縦型熱処理装置
EP0823491A2 (de) Gaseinleitsystem für CVD Reaktoren
WO2004027838A2 (en) Fast gas exchange for thermal conductivity modulation
JPH09143691A (ja) 成膜・熱処理装置
KR101056363B1 (ko) 반도체 기판의 열처리 장치 및 그 방법
KR20050020757A (ko) 써멀 프로세싱 시스템 및 수직 가변 챔버
JPH07273101A (ja) 枚葉式熱処理装置
JP4703844B2 (ja) グラファイトナノファイバー薄膜形成用熱cvd装置
EP0162111A1 (de) Verfahren und vorrichtung für chemischen dampfniederschlag
JP2003051497A (ja) 熱処理方法および熱処理装置
TW202246569A (zh) 用於腔室內電阻加熱元件的腔室主體饋通
JP2001326219A (ja) 基板処理装置および半導体装置の製造方法
JPH06267872A (ja) 化学気相成長装置

Legal Events

Date Code Title Description
PUAI Public reference made under article 153(3) epc to a published international application that has entered the european phase

Free format text: ORIGINAL CODE: 0009012

17P Request for examination filed

Effective date: 20040901

AK Designated contracting states

Kind code of ref document: A2

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LI LU MC NL PT RO SE SI SK TR

AX Request for extension of the european patent

Extension state: AL LT LV MK

RIC1 Information provided on ipc code assigned before grant

Ipc: 7F 26B 3/30 B

Ipc: 7F 26B 19/00 B

Ipc: 7C 23F 1/02 B

Ipc: 7C 23C 16/00 B

Ipc: 7F 27D 11/00 B

Ipc: 7F 27B 5/14 A

DAX Request for extension of the european patent (deleted)
RBV Designated contracting states (corrected)

Designated state(s): DE FR GB IT NL

RIC1 Information provided on ipc code assigned before grant

Ipc: H01L 21/00 20060101ALI20051223BHEP

Ipc: F26B 3/30 20060101ALI20051223BHEP

Ipc: F26B 19/00 20060101ALI20051223BHEP

Ipc: C23F 1/02 20060101ALI20051223BHEP

Ipc: C23C 16/00 20060101ALI20051223BHEP

Ipc: F27D 11/00 20060101ALI20051223BHEP

Ipc: F27B 5/14 20060101AFI20050414BHEP

A4 Supplementary search report drawn up and despatched

Effective date: 20060217

17Q First examination report despatched

Effective date: 20060907

17Q First examination report despatched

Effective date: 20060907

STAA Information on the status of an ep patent application or granted ep patent

Free format text: STATUS: THE APPLICATION IS DEEMED TO BE WITHDRAWN

18D Application deemed to be withdrawn

Effective date: 20090203