DK1105778T3 - Silikatholdige alkaliske sammensætninger til rensning af mikorelektroniske substrater - Google Patents

Silikatholdige alkaliske sammensætninger til rensning af mikorelektroniske substrater

Info

Publication number
DK1105778T3
DK1105778T3 DK99925649T DK99925649T DK1105778T3 DK 1105778 T3 DK1105778 T3 DK 1105778T3 DK 99925649 T DK99925649 T DK 99925649T DK 99925649 T DK99925649 T DK 99925649T DK 1105778 T3 DK1105778 T3 DK 1105778T3
Authority
DK
Denmark
Prior art keywords
optionally
weight
water
silicate
substrates
Prior art date
Application number
DK99925649T
Other languages
Danish (da)
English (en)
Inventor
David C Skee
Original Assignee
Mallinckrodt Baker Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mallinckrodt Baker Inc filed Critical Mallinckrodt Baker Inc
Application granted granted Critical
Publication of DK1105778T3 publication Critical patent/DK1105778T3/da

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/044Hydroxides or bases
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0073Anticorrosion compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/08Silicates
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/33Amino carboxylic acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/36Organic compounds containing phosphorus
    • C11D3/364Organic compounds containing phosphorus containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3947Liquid compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/261Alcohols; Phenols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3245Aminoacids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5013Organic solvents containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/14Cleaning or pickling metallic material with solutions or molten salts with alkaline solutions
    • C23G1/22Light metals
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/34Imagewise removal by selective transfer, e.g. peeling away
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • C11D2111/22
DK99925649T 1998-05-18 1999-05-17 Silikatholdige alkaliske sammensætninger til rensning af mikorelektroniske substrater DK1105778T3 (da)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US8586198P 1998-05-18 1998-05-18
US11508499P 1999-01-07 1999-01-07
PCT/US1999/010875 WO1999060448A1 (fr) 1998-05-18 1999-05-17 Compositions alcalines a base de silicate pour le nettoyage de substrats en micro-electronique

Publications (1)

Publication Number Publication Date
DK1105778T3 true DK1105778T3 (da) 2009-10-19

Family

ID=26773172

Family Applications (1)

Application Number Title Priority Date Filing Date
DK99925649T DK1105778T3 (da) 1998-05-18 1999-05-17 Silikatholdige alkaliske sammensætninger til rensning af mikorelektroniske substrater

Country Status (17)

Country Link
US (2) US6465403B1 (fr)
EP (1) EP1105778B1 (fr)
KR (1) KR100610387B1 (fr)
CN (1) CN100370360C (fr)
AT (1) ATE436043T1 (fr)
AU (1) AU4189599A (fr)
CA (1) CA2330747C (fr)
DE (1) DE69941088D1 (fr)
DK (1) DK1105778T3 (fr)
ES (1) ES2328309T3 (fr)
HK (1) HK1039806B (fr)
IL (1) IL139546A (fr)
MX (1) MXPA00011391A (fr)
MY (1) MY121446A (fr)
PT (1) PT1105778E (fr)
TW (1) TWI226520B (fr)
WO (1) WO1999060448A1 (fr)

Families Citing this family (153)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6896826B2 (en) 1997-01-09 2005-05-24 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
US6755989B2 (en) * 1997-01-09 2004-06-29 Advanced Technology Materials, Inc. Aqueous cleaning composition containing copper-specific corrosion inhibitor for cleaning inorganic residues on semiconductor substrate
CN100370360C (zh) 1998-05-18 2008-02-20 马林克罗特有限公司 用于清洗微电子衬底的含硅酸盐碱性组合物
US6348239B1 (en) * 2000-04-28 2002-02-19 Simon Fraser University Method for depositing metal and metal oxide films and patterned films
US7579308B2 (en) * 1998-07-06 2009-08-25 Ekc/Dupont Electronics Technologies Compositions and processes for photoresist stripping and residue removal in wafer level packaging
US7135445B2 (en) * 2001-12-04 2006-11-14 Ekc Technology, Inc. Process for the use of bis-choline and tris-choline in the cleaning of quartz-coated polysilicon and other materials
US6436300B2 (en) * 1998-07-30 2002-08-20 Motorola, Inc. Method of manufacturing electronic components
US7064070B2 (en) * 1998-09-28 2006-06-20 Tokyo Electron Limited Removal of CMP and post-CMP residue from semiconductors using supercritical carbon dioxide process
TW467953B (en) * 1998-11-12 2001-12-11 Mitsubishi Gas Chemical Co New detergent and cleaning method of using it
US7348300B2 (en) * 1999-05-04 2008-03-25 Air Products And Chemicals, Inc. Acetylenic diol ethylene oxide/propylene oxide adducts and processes for their manufacture
US7521405B2 (en) 2002-08-12 2009-04-21 Air Products And Chemicals, Inc. Process solutions containing surfactants
US7129199B2 (en) 2002-08-12 2006-10-31 Air Products And Chemicals, Inc. Process solutions containing surfactants
US20040029395A1 (en) * 2002-08-12 2004-02-12 Peng Zhang Process solutions containing acetylenic diol surfactants
US7208049B2 (en) * 2003-10-20 2007-04-24 Air Products And Chemicals, Inc. Process solutions containing surfactants used as post-chemical mechanical planarization treatment
US6492308B1 (en) * 1999-11-16 2002-12-10 Esc, Inc. Post chemical-mechanical planarization (CMP) cleaning composition
TW558736B (en) 2000-02-26 2003-10-21 Shipley Co Llc Method of reducing defects
MY129673A (en) 2000-03-20 2007-04-30 Avantor Performance Mat Inc Method and composition for removing sodium-containing material from microcircuit substrates
US6899818B2 (en) * 2000-03-20 2005-05-31 Mallinckrodt Inc. Method and composition for removing sodium-containing material from microcircuit substrates
BR0003706A (pt) * 2000-05-30 2002-02-13 Tecsis Tecnologia E Sist S Ava Pá para ventilador axial de baixo ruìdo e alta eficiência
KR100363271B1 (ko) * 2000-06-12 2002-12-05 주식회사 동진쎄미켐 포토레지스트 리무버 조성물
US7396806B2 (en) * 2000-06-16 2008-07-08 Kao Corporation Semiconductor cleaner comprising a reducing agent, dispersant, and phosphonic acid-based chelant
US6310019B1 (en) * 2000-07-05 2001-10-30 Wako Pure Chemical Industries, Ltd. Cleaning agent for a semi-conductor substrate
US6498131B1 (en) * 2000-08-07 2002-12-24 Ekc Technology, Inc. Composition for cleaning chemical mechanical planarization apparatus
US6599370B2 (en) * 2000-10-16 2003-07-29 Mallinckrodt Inc. Stabilized alkaline compositions for cleaning microelectronic substrates
TW554258B (en) * 2000-11-30 2003-09-21 Tosoh Corp Resist stripper
JP4572466B2 (ja) * 2000-12-27 2010-11-04 東ソー株式会社 レジスト剥離剤
JP2002318455A (ja) * 2001-04-23 2002-10-31 Tosoh Corp 安定化方法
JP4015823B2 (ja) 2001-05-14 2007-11-28 株式会社東芝 アルカリ現像液の製造方法,アルカリ現像液,パターン形成方法,レジスト膜の剥離方法,及び薬液塗布装置
MY143399A (en) 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
MY139607A (en) * 2001-07-09 2009-10-30 Avantor Performance Mat Inc Ammonia-free alkaline microelectronic cleaning compositions with improved substrate compatibility
MY131912A (en) * 2001-07-09 2007-09-28 Avantor Performance Mat Inc Ammonia-free alkaline microelectronic cleaning compositions with improved substrate compatibility
TWI276682B (en) * 2001-11-16 2007-03-21 Mitsubishi Chem Corp Substrate surface cleaning liquid mediums and cleaning method
KR100449054B1 (ko) * 2001-12-22 2004-09-16 주식회사 동진쎄미켐 선택비를 향상시킨 화학 기계적 연마 슬러리 조성물 및이를 이용한 반도체 소자의 평탄화 방법
US20030148624A1 (en) * 2002-01-31 2003-08-07 Kazuto Ikemoto Method for removing resists
WO2003091376A1 (fr) * 2002-04-24 2003-11-06 Ekc Technology, Inc. Acide oxalique utilise comme produit de nettoyage pour surfaces d'aluminium, de cuivre et surfaces dielectriques
RS50930B (sr) * 2002-06-07 2010-08-31 Avantor Performance Materials Inc. Kompozicije za mikroelektronsko čišćenje koje sadrže oksidatore i organske rastvarače
US7393819B2 (en) 2002-07-08 2008-07-01 Mallinckrodt Baker, Inc. Ammonia-free alkaline microelectronic cleaning compositions with improved substrate compatibility
JP4086567B2 (ja) * 2002-07-10 2008-05-14 Necエレクトロニクス株式会社 半導体装置の製造方法
US7077975B2 (en) * 2002-08-08 2006-07-18 Micron Technology, Inc. Methods and compositions for removing group VIII metal-containing materials from surfaces
US6905974B2 (en) * 2002-08-08 2005-06-14 Micron Technology, Inc. Methods using a peroxide-generating compound to remove group VIII metal-containing residue
JP4282054B2 (ja) * 2002-09-09 2009-06-17 東京応化工業株式会社 デュアルダマシン構造形成プロセスに用いられる洗浄液および基板の処理方法
DE60323148D1 (de) * 2002-10-22 2008-10-02 Ekc Technology Inc Wässrige phosphorsäurezusammensetzung zur reinigung von halbleiter-vorrichtungen
US7524771B2 (en) * 2002-10-29 2009-04-28 Dainippon Screen Mfg. Co., Ltd. Substrate processing method using alkaline solution and acid solution
US7276454B2 (en) * 2002-11-02 2007-10-02 Taiwan Semiconductor Manufacturing Co., Ltd. Application of impressed-current cathodic protection to prevent metal corrosion and oxidation
AU2003276094A1 (en) * 2002-11-05 2004-06-07 Merck Patent Gmbh Semiconductor surface treatment and mixture used therein
WO2004042811A1 (fr) * 2002-11-08 2004-05-21 Wako Pure Chemical Industries, Ltd. Composition de nettoyage et procede pour nettoyer avec cette composition
US8236485B2 (en) 2002-12-20 2012-08-07 Advanced Technology Materials, Inc. Photoresist removal
KR100835606B1 (ko) * 2002-12-30 2008-06-09 엘지디스플레이 주식회사 구리용 레지스트 제거용 조성물
US7235517B2 (en) * 2002-12-31 2007-06-26 3M Innovative Properties Company Degreasing compositions
US20040157759A1 (en) * 2003-02-07 2004-08-12 Buckeye International, Inc. Stripper formulations and process
KR100634164B1 (ko) * 2003-03-13 2006-10-16 삼성전자주식회사 반도체 제조 공정에 사용되는 세정액
US20040220066A1 (en) * 2003-05-01 2004-11-04 Rohm And Haas Electronic Materials, L.L.C. Stripper
US7018939B2 (en) * 2003-07-11 2006-03-28 Motorola, Inc. Micellar technology for post-etch residues
US20050032657A1 (en) * 2003-08-06 2005-02-10 Kane Sean Michael Stripping and cleaning compositions for microelectronics
KR100734669B1 (ko) * 2003-08-08 2007-07-02 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법 및 그 장치
DK1664935T3 (da) 2003-08-19 2008-01-28 Mallinckrodt Baker Inc Rensesammensætninger til mikroelektronik
US6930017B2 (en) * 2003-08-21 2005-08-16 Micron Technology, Inc. Wafer Cleaning method and resulting wafer
US7241725B2 (en) * 2003-09-25 2007-07-10 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Barrier polishing fluid
TWI362415B (en) 2003-10-27 2012-04-21 Wako Pure Chem Ind Ltd Novel detergent and method for cleaning
WO2005043245A2 (fr) 2003-10-29 2005-05-12 Mallinckrodt Baker Inc. Decapants alcalins de restes de gravure et de cendre issus du traitement au plasma et compositions de decapage de resine photosensible contenant des inhibiteurs de corrosion sous forme d'halogenure metallique
WO2005066325A2 (fr) * 2003-12-31 2005-07-21 Ekc Technology, Inc. Compositions nettoyantes contenant des extincteurs a radicaux libres
KR100795364B1 (ko) * 2004-02-10 2008-01-17 삼성전자주식회사 반도체 기판용 세정액 조성물, 이를 이용한 세정 방법 및도전성 구조물의 제조 방법
US7435712B2 (en) 2004-02-12 2008-10-14 Air Liquide America, L.P. Alkaline chemistry for post-CMP cleaning
US7498295B2 (en) * 2004-02-12 2009-03-03 Air Liquide Electronics U.S. Lp Alkaline chemistry for post-CMP cleaning comprising tetra alkyl ammonium hydroxide
US7087564B2 (en) * 2004-03-05 2006-08-08 Air Liquide America, L.P. Acidic chemistry for post-CMP cleaning
US7354863B2 (en) * 2004-03-19 2008-04-08 Micron Technology, Inc. Methods of selectively removing silicon
JP4369284B2 (ja) * 2004-04-19 2009-11-18 東友ファインケム株式会社 レジスト剥離剤
WO2006009668A1 (fr) * 2004-06-16 2006-01-26 Memc Electronic Materials, Inc. Procede et composition de gravure chimique pour tranches de silicium
JP2006049757A (ja) * 2004-08-09 2006-02-16 Tokyo Electron Ltd 基板処理方法
CN101044602A (zh) * 2004-09-17 2007-09-26 Fsi国际公司 使用臭氧处理类晶片物体
US7232759B2 (en) * 2004-10-04 2007-06-19 Applied Materials, Inc. Ammonium hydroxide treatments for semiconductor substrates
US20060094612A1 (en) * 2004-11-04 2006-05-04 Mayumi Kimura Post etch cleaning composition for use with substrates having aluminum
US20060116313A1 (en) * 2004-11-30 2006-06-01 Denise Geitz Compositions comprising tannic acid as corrosion inhibitor
KR20060064441A (ko) * 2004-12-08 2006-06-13 말린크로트 베이커, 인코포레이티드 비수성 비부식성 마이크로전자 세정 조성물
US7923423B2 (en) 2005-01-27 2011-04-12 Advanced Technology Materials, Inc. Compositions for processing of semiconductor substrates
KR101331747B1 (ko) 2005-01-27 2013-11-20 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 반도체 기판 처리 조성물
TWI324797B (en) * 2005-04-05 2010-05-11 Lam Res Corp Method for removing particles from a surface
KR20080023214A (ko) * 2005-04-08 2008-03-12 사켐,인코포레이티드 금속 질화물의 선택적인 습식 에칭
US20070251551A1 (en) * 2005-04-15 2007-11-01 Korzenski Michael B Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
KR101088568B1 (ko) * 2005-04-19 2011-12-05 아반토르 퍼포먼스 머티리얼스, 인크. 갈바닉 부식을 억제하는 비수성 포토레지스트 스트립퍼
US7789971B2 (en) 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
US7316977B2 (en) * 2005-08-24 2008-01-08 Air Products And Chemicals, Inc. Chemical-mechanical planarization composition having ketooxime compounds and associated method for use
US20070044817A1 (en) * 2005-08-30 2007-03-01 San-Lung Chen Wafer protection system employed in chemical stations
US7632796B2 (en) * 2005-10-28 2009-12-15 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and method for its use
US8263539B2 (en) * 2005-10-28 2012-09-11 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and methods for its use
US9329486B2 (en) 2005-10-28 2016-05-03 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and method for its use
TW200734448A (en) * 2006-02-03 2007-09-16 Advanced Tech Materials Low pH post-CMP residue removal composition and method of use
TWI417683B (zh) * 2006-02-15 2013-12-01 Avantor Performance Mat Inc 用於微電子基板之穩定化,非水性清潔組合物
US20070225186A1 (en) * 2006-03-27 2007-09-27 Matthew Fisher Alkaline solutions for post CMP cleaning processes
US8685909B2 (en) 2006-09-21 2014-04-01 Advanced Technology Materials, Inc. Antioxidants for post-CMP cleaning formulations
US20080076688A1 (en) * 2006-09-21 2008-03-27 Barnes Jeffrey A Copper passivating post-chemical mechanical polishing cleaning composition and method of use
SG175559A1 (en) * 2006-09-25 2011-11-28 Advanced Tech Materials Compositions and methods for the removal of photoresist for a wafer rework application
US8026201B2 (en) * 2007-01-03 2011-09-27 Az Electronic Materials Usa Corp. Stripper for coating layer
JP2010518230A (ja) 2007-02-08 2010-05-27 フォンタナ・テクノロジー パーティクル除去方法及び組成物
PT2111445E (pt) * 2007-02-14 2010-12-29 Mallinckrodt Baker Inc Formulações à base de oxometalato activadas por peróxido para a remoção de resíduos de gravação
TW200842970A (en) * 2007-04-26 2008-11-01 Mallinckrodt Baker Inc Polysilicon planarization solution for planarizing low temperature poly-silicon thin filim panels
JP5142592B2 (ja) * 2007-06-06 2013-02-13 関東化学株式会社 基板の洗浄またはエッチングに用いられるアルカリ性水溶液組成物
US20100261632A1 (en) * 2007-08-02 2010-10-14 Advanced Technology Materials, Inc. Non-fluoride containing composition for the removal of residue from a microelectronic device
US20090042388A1 (en) * 2007-08-10 2009-02-12 Zhi-Qiang Sun Method of cleaning a semiconductor substrate
US20090120457A1 (en) * 2007-11-09 2009-05-14 Surface Chemistry Discoveries, Inc. Compositions and method for removing coatings and preparation of surfaces for use in metal finishing, and manufacturing of electronic and microelectronic devices
CN101855334B (zh) * 2007-11-13 2013-03-27 沙琛公司 高负电动势多面体倍半硅氧烷组合物及无损坏半导体湿式清洁方法
JP2009158810A (ja) * 2007-12-27 2009-07-16 Toshiba Corp 化学的機械的研磨用スラリーおよび半導体装置の製造方法
TWI450052B (zh) * 2008-06-24 2014-08-21 Dynaloy Llc 用於後段製程操作有效之剝離溶液
US9691622B2 (en) 2008-09-07 2017-06-27 Lam Research Corporation Pre-fill wafer cleaning formulation
CN101685273B (zh) * 2008-09-26 2014-06-04 安集微电子(上海)有限公司 一种去除光阻层残留物的清洗液
KR101752684B1 (ko) 2008-10-21 2017-07-04 엔테그리스, 아이엔씨. 구리 세척 및 보호 조성물
JP2010226089A (ja) * 2009-01-14 2010-10-07 Rohm & Haas Electronic Materials Llc 半導体ウェハをクリーニングする方法
US8309502B2 (en) 2009-03-27 2012-11-13 Eastman Chemical Company Compositions and methods for removing organic substances
US8614053B2 (en) 2009-03-27 2013-12-24 Eastman Chemical Company Processess and compositions for removing substances from substrates
US8444768B2 (en) 2009-03-27 2013-05-21 Eastman Chemical Company Compositions and methods for removing organic substances
JP5431014B2 (ja) * 2009-05-01 2014-03-05 関東化学株式会社 しゅう酸インジウム溶解剤組成物
EP2449076B1 (fr) 2009-06-30 2016-09-21 Basf Se Compositions aqueuses alcalines de nettoyage et leurs procédés d'utilisation
US8518865B2 (en) * 2009-08-31 2013-08-27 Air Products And Chemicals, Inc. Water-rich stripping and cleaning formulation and method for using same
MY164919A (en) * 2009-09-11 2018-02-15 First Solar Inc Photovoltaic back contact
JP5720572B2 (ja) * 2009-10-02 2015-05-20 三菱瓦斯化学株式会社 金属微細構造体のパターン倒壊抑制用処理液及びこれを用いた金属微細構造体の製造方法
US8298751B2 (en) * 2009-11-02 2012-10-30 International Business Machines Corporation Alkaline rinse agents for use in lithographic patterning
SG181854A1 (en) * 2009-12-23 2012-07-30 Lam Res Corp Post deposition wafer cleaning formulation
SI2348142T1 (sl) * 2010-01-25 2019-03-29 Westinghouse Electric Company Llc Postopek in pripravek za odstranjevanje usedlin vodnega kamna s kovinskih površin v generatorju pare
KR101664951B1 (ko) * 2010-01-26 2016-10-11 도미니온 엔지니어링 인코포레이티드 증착물들을 제거하기 위한 방법 및 조성물
SG10201500387RA (en) * 2010-01-29 2015-04-29 Entegris Inc Cleaning agent for semiconductor provided with metal wiring
TWI539493B (zh) 2010-03-08 2016-06-21 黛納羅伊有限責任公司 用於摻雜具有分子單層之矽基材之方法及組合物
EP2580303B1 (fr) 2010-06-09 2018-08-29 Basf Se Composition aqueuse alcaline de gravure et de nettoyage et procédé pour le traitement de la surface de substrats en silicium
RU2578718C2 (ru) 2010-07-19 2016-03-27 Басф Се Водные щелочные очищающие композиции и способы их применения
KR20120015484A (ko) * 2010-08-12 2012-02-22 동우 화인켐 주식회사 결정성 실리콘 웨이퍼의 텍스쳐 에칭액 조성물 및 텍스쳐 에칭 방법
KR20120015485A (ko) * 2010-08-12 2012-02-22 동우 화인켐 주식회사 결정성 실리콘 웨이퍼의 텍스쳐 에칭액 조성물 및 텍스쳐 에칭 방법
JP2012058273A (ja) * 2010-09-03 2012-03-22 Kanto Chem Co Inc フォトレジスト残渣およびポリマー残渣除去液組成物
US20120073607A1 (en) * 2010-09-27 2012-03-29 Eastman Chemical Company Polymeric or monomeric compositions comprising at least one mono-amide and/or at least one diamide for removing substances from substrates and methods for using the same
SG10201508015RA (en) 2010-10-06 2015-10-29 Entegris Inc Composition and process for selectively etching metal nitrides
US8595929B2 (en) * 2010-10-21 2013-12-03 Siemens Energy, Inc. Repair of a turbine engine surface containing crevices
EP2557147B1 (fr) 2011-08-09 2015-04-01 Basf Se Compositions aqueuses alcalines et procédé de traitement de la surface de substrats de silicium
US8987181B2 (en) 2011-11-08 2015-03-24 Dynaloy, Llc Photoresist and post etch residue cleaning solution
WO2013142250A1 (fr) * 2012-03-18 2013-09-26 Advanced Technology Materials, Inc. Formulation d'après cmp ayant une compatibilité avec des couches barrières et une performance de nettoyage améliorées
CN102634847B (zh) * 2012-04-25 2015-04-22 昆明理工大学 一种表面改性硫酸钙晶须的方法
US9158202B2 (en) 2012-11-21 2015-10-13 Dynaloy, Llc Process and composition for removing substances from substrates
US9029268B2 (en) 2012-11-21 2015-05-12 Dynaloy, Llc Process for etching metals
US9765288B2 (en) * 2012-12-05 2017-09-19 Entegris, Inc. Compositions for cleaning III-V semiconductor materials and methods of using same
US20160075971A1 (en) * 2013-04-22 2016-03-17 Advanced Technology Materials, Inc. Copper cleaning and protection formulations
US20160122696A1 (en) * 2013-05-17 2016-05-05 Advanced Technology Materials, Inc. Compositions and methods for removing ceria particles from a surface
CN103676505B (zh) * 2013-12-23 2016-04-13 大连奥首科技有限公司 一种用于芯片的光刻胶剥离液、制备方法及去胶工艺
US20160340620A1 (en) * 2014-01-29 2016-11-24 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
US10073351B2 (en) * 2014-12-23 2018-09-11 Versum Materials Us, Llc Semi-aqueous photoresist or semiconductor manufacturing residue stripping and cleaning composition with improved silicon passivation
US9920284B2 (en) 2015-04-22 2018-03-20 S. C. Johnson & Son, Inc. Cleaning composition with a polypropdxylated 2-(trialkylammonio)ethanol ionic liquid
KR102469929B1 (ko) * 2016-02-15 2022-11-23 동우 화인켐 주식회사 반도체 웨이퍼 세정액 조성물 및 이를 이용한 세정 방법
CN109415249A (zh) * 2016-06-01 2019-03-01 唯景公司 用于电致变色器件制造的牺牲层
EP3532586B1 (fr) 2016-10-26 2022-05-18 S.C. Johnson & Son, Inc. Composition de nettoyage désinfectante contenant un sel d'hydroxycarboxylate d'ammonium quaternaire
US10920175B2 (en) 2016-10-26 2021-02-16 S. C. Johnson & Son, Inc. Disinfectant cleaning composition with quaternary amine ionic liquid
US10815453B2 (en) 2016-10-26 2020-10-27 S. C. Johnson & Son, Inc. Disinfectant cleaning composition with quaternary ammonium hydroxycarboxylate salt and quaternary ammonium antimicrobial
TWI673357B (zh) * 2016-12-14 2019-10-01 美商卡博特微電子公司 自化學機械平坦化基板移除殘留物之組合物及方法
US11460778B2 (en) * 2018-04-12 2022-10-04 Versum Materials Us, Llc Photoresist stripper
KR102572755B1 (ko) * 2018-09-13 2023-08-30 동우 화인켐 주식회사 포토레지스트 세정액 조성물
KR20210129049A (ko) * 2019-02-19 2021-10-27 미쯔비시 케미컬 주식회사 세륨 화합물 제거용 세정액, 세정 방법 및 반도체 웨이퍼의 제조 방법
US11873420B2 (en) * 2019-10-03 2024-01-16 Nissan Chemical Corporation Cation-containing polishing composition for eliminating protrusions around laser mark
CN114908341B (zh) * 2022-07-18 2022-09-27 深圳市板明科技股份有限公司 一种pcb化学镍钯金镀层专用表面处理剂及其制备方法

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DD143920A1 (de) * 1979-05-24 1980-09-17 Uwe Jungstand Ausstreifmittel zum entfernen von positivfotolacken
JPH01120552A (ja) * 1987-11-02 1989-05-12 Tama Kagaku Kogyo Kk ポジ型フォトレジスト用現像液
US5279771A (en) * 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
CA2059841A1 (fr) * 1991-01-24 1992-07-25 Ichiro Hayashida Solutions pour le traitement de surfaces et methode de nettoyage
US5753601A (en) 1991-01-25 1998-05-19 Ashland Inc Organic stripping composition
US5139607A (en) * 1991-04-23 1992-08-18 Act, Inc. Alkaline stripping compositions
US5276771A (en) * 1991-12-27 1994-01-04 R & D Associates Rapidly converging projective neural network
US5480585A (en) * 1992-04-02 1996-01-02 Nagase Electronic Chemicals, Ltd. Stripping liquid compositions
EP0578507B1 (fr) * 1992-07-09 2005-09-28 Ekc Technology, Inc. Composition de nettoyage à base d'un composé aminé redox
US5308745A (en) 1992-11-06 1994-05-03 J. T. Baker Inc. Alkaline-containing photoresist stripping compositions producing reduced metal corrosion with cross-linked or hardened resist resins
GB9404301D0 (en) * 1994-03-04 1994-04-20 Atotech Uk Limited Stripper compositions and their use
US5466389A (en) 1994-04-20 1995-11-14 J. T. Baker Inc. PH adjusted nonionic surfactant-containing alkaline cleaner composition for cleaning microelectronics substrates
US5498293A (en) 1994-06-23 1996-03-12 Mallinckrodt Baker, Inc. Cleaning wafer substrates of metal contamination while maintaining wafer smoothness
US5561105A (en) * 1995-05-08 1996-10-01 Ocg Microelectronic Materials, Inc. Chelating reagent containing photoresist stripper composition
JP2911792B2 (ja) 1995-09-29 1999-06-23 東京応化工業株式会社 レジスト用剥離液組成物
EP0852615B1 (fr) * 1996-07-25 2005-12-14 DuPont Air Products NanoMaterials L.L.C. Composition et procede de polissage mecanique chimique
US5759973A (en) * 1996-09-06 1998-06-02 Olin Microelectronic Chemicals, Inc. Photoresist stripping and cleaning compositions
US5817610A (en) 1996-09-06 1998-10-06 Olin Microelectronic Chemicals, Inc. Non-corrosive cleaning composition for removing plasma etching residues
US6268323B1 (en) 1997-05-05 2001-07-31 Arch Specialty Chemicals, Inc. Non-corrosive stripping and cleaning composition
CN100370360C (zh) 1998-05-18 2008-02-20 马林克罗特有限公司 用于清洗微电子衬底的含硅酸盐碱性组合物

Also Published As

Publication number Publication date
KR100610387B1 (ko) 2006-08-09
KR20010034866A (ko) 2001-04-25
EP1105778B1 (fr) 2009-07-08
AU4189599A (en) 1999-12-06
EP1105778A1 (fr) 2001-06-13
TWI226520B (en) 2005-01-11
ATE436043T1 (de) 2009-07-15
IL139546A0 (en) 2002-02-10
WO1999060448A8 (fr) 1999-12-29
CA2330747A1 (fr) 1999-11-25
CN1309785A (zh) 2001-08-22
US6465403B1 (en) 2002-10-15
HK1039806A1 (en) 2002-05-10
DE69941088D1 (de) 2009-08-20
HK1039806B (zh) 2008-12-19
IL139546A (en) 2005-08-31
US6585825B1 (en) 2003-07-01
CA2330747C (fr) 2010-07-27
WO1999060448A1 (fr) 1999-11-25
PT1105778E (pt) 2009-09-23
ES2328309T3 (es) 2009-11-11
CN100370360C (zh) 2008-02-20
MY121446A (en) 2006-01-28
MXPA00011391A (es) 2005-06-20

Similar Documents

Publication Publication Date Title
DK1105778T3 (da) Silikatholdige alkaliske sammensætninger til rensning af mikorelektroniske substrater
DE60108774D1 (de) Stabile alkalische zusammensetzungen zum reinigen von mikroelektronischen substraten
DE602004009595D1 (de) Ablös- und reinigungszusammensetzungen für die mikroelektronik
WO2002004233A8 (fr) Compositions pour eliminer des residus organiques et de gravure au plasma pour des dispositifs a semi-conducteurs
MY117049A (en) Composition for stripping photoresist and organic materials from substrate surfaces
ATE340244T1 (de) Nichtkorrosive reinigungszusammensetzung zur entfernung von plasmaätzrückständen
DE60323148D1 (de) Wässrige phosphorsäurezusammensetzung zur reinigung von halbleiter-vorrichtungen
MY127401A (en) Low surface tension, low viscosity, aqueous, acidic compositions containing fluoride and organic, polar solvents for removal of photoresist and organic and inorganic etch residues at room temperature
DE60028962D1 (de) Zusammensetzungen zur reinigung und entfernung von organischen sowie plasmaätzrückständen auf halbleitervorrichtungen
EP1212150A4 (fr) Compositions a base de lactame destinees au nettoyage de residus organiques et de gravure au plasma sur des dispositifs a semi-conducteurs
EP1451642A4 (fr) Composition chimique de rin age
ATE367460T1 (de) 1,3-dicarbonylverbindungen enthaltende halbleiterstrippzusammensetzung
EP1661731A3 (fr) Procédé permettant d'éliminer des résidus d'un substrat de semi-conducteur
DK2111445T3 (da) Peroxidaktiveret oxometalatbaserede formuleringer til fjernelse af ætsrester
WO2006121580A3 (fr) Compositions pour la suppression de substances post-gravure, de residus de photoresist en cendres et de masse de photoresist
DE60238244D1 (de) Wässriges reinigungsmittel mit kupferspezifischem korrosionsschutzmittel zur abreinigung anorganischer reste von halbleitersubstraten
MY124511A (en) Stabilized alkaline compositions for cleaning microelectronic substrates.
TH56882B (th) สารผสมแอลคาลินที่ถูกทำให้เสถียรแล้วสำหรับทำความสะอาดไมโครอิเล็คทริค ซับสเทรท