DE69811497T2 - Torusförmige reaktivgasquelle mit niedriger feldstärke - Google Patents
Torusförmige reaktivgasquelle mit niedriger feldstärkeInfo
- Publication number
- DE69811497T2 DE69811497T2 DE69811497T DE69811497T DE69811497T2 DE 69811497 T2 DE69811497 T2 DE 69811497T2 DE 69811497 T DE69811497 T DE 69811497T DE 69811497 T DE69811497 T DE 69811497T DE 69811497 T2 DE69811497 T2 DE 69811497T2
- Authority
- DE
- Germany
- Prior art keywords
- plasma
- chamber
- primary winding
- semiconductor devices
- switching semiconductor
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Lifetime
Links
- 238000004804 winding Methods 0.000 claims description 67
- 239000007789 gas Substances 0.000 claims description 47
- 238000000034 method Methods 0.000 claims description 46
- 239000004065 semiconductor Substances 0.000 claims description 34
- 150000002500 ions Chemical class 0.000 claims description 28
- 230000008878 coupling Effects 0.000 claims description 10
- 238000010168 coupling process Methods 0.000 claims description 10
- 238000005859 coupling reaction Methods 0.000 claims description 10
- 230000005684 electric field Effects 0.000 claims description 9
- 238000005259 measurement Methods 0.000 claims description 6
- 239000007769 metal material Substances 0.000 claims description 5
- 229910052782 aluminium Inorganic materials 0.000 claims description 4
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims description 4
- 239000003989 dielectric material Substances 0.000 claims description 4
- 230000001939 inductive effect Effects 0.000 claims description 4
- 229910052756 noble gas Inorganic materials 0.000 claims description 4
- 238000004140 cleaning Methods 0.000 claims description 3
- 239000000203 mixture Substances 0.000 claims description 3
- 239000003870 refractory metal Substances 0.000 claims description 3
- 230000001133 acceleration Effects 0.000 claims description 2
- 210000002381 plasma Anatomy 0.000 description 162
- 239000000463 material Substances 0.000 description 21
- 238000010884 ion-beam technique Methods 0.000 description 13
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 12
- 238000005530 etching Methods 0.000 description 7
- 239000000523 sample Substances 0.000 description 7
- 235000012239 silicon dioxide Nutrition 0.000 description 7
- 238000002955 isolation Methods 0.000 description 6
- 229910052751 metal Inorganic materials 0.000 description 6
- 239000002184 metal Substances 0.000 description 6
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 5
- 230000005855 radiation Effects 0.000 description 5
- 239000000377 silicon dioxide Substances 0.000 description 5
- 229910052731 fluorine Inorganic materials 0.000 description 4
- 239000011737 fluorine Substances 0.000 description 4
- 239000011261 inert gas Substances 0.000 description 4
- 230000003287 optical effect Effects 0.000 description 4
- 239000002245 particle Substances 0.000 description 4
- 125000006850 spacer group Chemical group 0.000 description 4
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 3
- 238000000151 deposition Methods 0.000 description 3
- 230000006698 induction Effects 0.000 description 3
- 238000009616 inductively coupled plasma Methods 0.000 description 3
- 238000010849 ion bombardment Methods 0.000 description 3
- 230000007935 neutral effect Effects 0.000 description 3
- 229910052710 silicon Inorganic materials 0.000 description 3
- 239000010703 silicon Substances 0.000 description 3
- 235000012431 wafers Nutrition 0.000 description 3
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- 229910052581 Si3N4 Inorganic materials 0.000 description 2
- XLOMVQKBTHCTTD-UHFFFAOYSA-N Zinc monoxide Chemical compound [Zn]=O XLOMVQKBTHCTTD-UHFFFAOYSA-N 0.000 description 2
- 230000005540 biological transmission Effects 0.000 description 2
- 238000006243 chemical reaction Methods 0.000 description 2
- 238000011109 contamination Methods 0.000 description 2
- 230000008021 deposition Effects 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 230000005686 electrostatic field Effects 0.000 description 2
- 230000003628 erosive effect Effects 0.000 description 2
- 238000005468 ion implantation Methods 0.000 description 2
- 230000013011 mating Effects 0.000 description 2
- 239000000843 powder Substances 0.000 description 2
- 239000010453 quartz Substances 0.000 description 2
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 2
- 239000007787 solid Substances 0.000 description 2
- XOLBLPGZBRYERU-UHFFFAOYSA-N tin dioxide Chemical compound O=[Sn]=O XOLBLPGZBRYERU-UHFFFAOYSA-N 0.000 description 2
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- 239000004952 Polyamide Substances 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 238000012512 characterization method Methods 0.000 description 1
- 239000000460 chlorine Substances 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 1
- 239000010432 diamond Substances 0.000 description 1
- 229910003460 diamond Inorganic materials 0.000 description 1
- 238000011143 downstream manufacturing Methods 0.000 description 1
- 239000010408 film Substances 0.000 description 1
- AMGQUBHHOARCQH-UHFFFAOYSA-N indium;oxotin Chemical compound [In].[Sn]=O AMGQUBHHOARCQH-UHFFFAOYSA-N 0.000 description 1
- 230000007774 longterm Effects 0.000 description 1
- 238000003913 materials processing Methods 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- 150000002835 noble gases Chemical class 0.000 description 1
- 239000012811 non-conductive material Substances 0.000 description 1
- 239000011368 organic material Substances 0.000 description 1
- 230000035699 permeability Effects 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 229920002647 polyamide Polymers 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 230000002035 prolonged effect Effects 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 238000005476 soldering Methods 0.000 description 1
- 239000011343 solid material Substances 0.000 description 1
- 238000000992 sputter etching Methods 0.000 description 1
- 230000000087 stabilizing effect Effects 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 238000000427 thin-film deposition Methods 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- 239000011787 zinc oxide Substances 0.000 description 1
- 229910000859 α-Fe Inorganic materials 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J27/00—Ion beam tubes
- H01J27/02—Ion sources; Ion guns
- H01J27/16—Ion sources; Ion guns using high-frequency excitation, e.g. microwave excitation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/321—Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32357—Generation remote from the workpiece, e.g. down-stream
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32798—Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
- H01J37/32853—Hygiene
- H01J37/32862—In situ cleaning of vessels and/or internal parts
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Health & Medical Sciences (AREA)
- Combustion & Propulsion (AREA)
- Epidemiology (AREA)
- Public Health (AREA)
- Plasma Technology (AREA)
- Drying Of Semiconductors (AREA)
- Chemical Vapour Deposition (AREA)
- Electron Sources, Ion Sources (AREA)
- Physical Or Chemical Processes And Apparatus (AREA)
Description
- Diese Erfindung betrifft allgemein das Gebiet des Erzeugens eines Ionen, freie Radikale, Atome und Moleküle enthaltenden aktivierten Gases und eine Vorrichtung und Verfahren zum Verarbeiten von Materialien mit aktiviertem Gas.
- Plasmaentladungen können zum Anregen von Gasen verwendet werden, um aktivierte Gase zu erzeugen, die Ionen, freie Radikale, Atome und Moleküle enthalten. Aktivierte Gase werden für zahlreiche industrielle und wissenschaftliche Anwendungen unter Einschluß der Verarbeitung fester Materialien, wie Halbleiterwafer, Pulver und anderer Gase, verwendet. Die Parameter des Plasmas und die Bedingungen, unter denen das verarbeitete Material dem Plasma ausgesetzt wird, ändern sich stark in Abhängigkeit der Anwendung.
- Beispielsweise ist bei manchen Anwendungen die Verwendung von Ionen mit einer niedrigen kinetischen Energie (also einigen Elektronenvolt) erforderlich, weil das verarbeitete Material für eine Beschädigung empfindlich ist. Andere Anwendungen, wie das anisotrope Ätzen oder eine planarisierte dielektrische Abscheidung, erfordern die Verwendung von Ionen mit einer hohen kinetischen Energie. Wieder andere Anwendungen, wie das reaktive Ionenstrahlätzen, erfordern eine genaue Steuerung der Ionenenergie.
- Es ist bei manchen Anwendungen erforderlich, daß das verarbeitete Material direkt einem hochdichten Plasma ausgesetzt wird. Eine solche Anwendung besteht im Erzeugen ionenaktivierter chemischer Reaktionen. Andere solche Anwendungen umfassen das Ätzen von Strukturen mit einem hohen Seitenverhältnis und das Aufbringen von Material auf diese. Andere Anwendungen erfordern das Abschirmen des verarbeiteten Materials von dem Plasma, weil das Material für eine Beschädigung empfindlich ist, die durch Ionen hervorgerufen wird, oder weil der Prozeß hohe Selektivitätsanforderungen aufweist.
- Plasmen können auf verschiedene Arten, einschließlich einer Gleichstromentladung, einer Hochfrequenzentladung (HF-Entladung) und einer Mikrowellenentladung, erzeugt werden. Gleichstromentladungen werden durch Anlegen eines Potentials zwischen zwei Elektroden in einem Gas erreicht.
- HF-Entladungen werden entweder durch elektrostatisches oder induktives Koppeln von Energie von einer Leistungsversorgung in ein Plasma erreicht. Parallele Platten werden typischerweise zum elektrostatischen Koppeln von Energie in ein Plasma verwendet. Induktionsspulen werden typischerweise zum Induzieren von Strom in das Plasma verwendet. Beispielsweise ist im Reinberg u. a. erteilten US-Patent US-A-4 431 898 ein induktiv gekoppelter HF- Plasmagenerator beschrieben, bei dem ein Transformatorkern Wechselspannungsleistung von einer Wechselspannungsquelle in eine aus einem nichtleitenden Material gebildete Plasmakammer einkoppelt. In ähnlicher Weise ist in "Characterization of Plasma in an Inductively Coupled High-Dense Plasma Source" von Kaendler u. a., Fourth International Conference on Plasma Surface Engineering, Garmisch-Partenkirchen, Deutschland, September 1994, Band 74-75, Nr. 1-3, S. 539-545 ein Plasmagenerator beschrieben, wobei Leistung von dem HF-Generator induktiv in die Primärwicklung eines Ferritkerntransformators gekoppelt wird.
- Mikrowellenentladungen werden durch direktes Koppeln von Mikrowellenenergie durch ein Mikrowellen-Durchlaßfenster in eine ein Gas enthaltende Entladungskammer erreicht. Mikrowellenentladungen sind vorteilhaft, weil sie zum Unterstützen eines breiten Bereichs von Entladungsbedingungen, einschließlich hochionisierter Elektronenzyklotronresonanzplasmen (ECR-Plasmen), verwendet werden können.
- HF-Entladungen und Gleichstromentladungen erzeugen schon an sich hochenergetische Ionen, und sie werden daher häufig zum Erzeugen von Plasmen für Anwendungen verwendet, bei denen das verarbeitete Material in direktem Kontakt mit dem Plasma steht. Mikrowellenentladungen erzeugen dichte Plasmen mit niedriger Ionenenergie und werden daher häufig zum Erzeugen von Strömen aktivierten Gases für eine "Stromabwärtsverarbeitung" verwendet. Mikrowellenentladungen sind häufig für Anwendungen nützlich, bei denen es erwünscht ist, Ionen mit einer niedrigen Energie zu erzeugen und die Ionen dann mit einem angelegten Potential zur Prozeßoberfläche zu beschleunigen.
- Mikrowellen-Plasmaquellen und induktiv gekoppelte Plasmaquellen erfordern jedoch kostspielige und komplexe Leistungsübertragungssysteme. Diese Plasmaquellen erfordern Präzisions-HF- oder Mikrowellen- Leistungsgeneratoren und komplexe Anpassungsnetzwerke zum Anpassen der Impedanz des Generators an die Plasmaquelle. Zusätzlich sind gewöhnlich Präzisionsinstrumente erforderlich, um die tatsächliche Leistung, die das Plasma erreicht, zu bestimmen und zu steuern.
- Induktiv gekoppelte HF-Plasmen sind besonders nützlich zum Erzeugen großflächiger Plasmen für solche Anwendungen, wie die Halbleiterwaferverarbeitung. Induktiv gekoppelte HF- Plasmen aus dem Stand der Technik sind jedoch nicht rein induktiv, weil die Ansteuerströme nur schwach mit dem Plasma gekoppelt sind. Folglich sind induktiv gekoppelte HF-Plasmen unwirksam und erfordern die Verwendung hoher Spannungen an den Ansteuerspulen. Die Hochspannungen erzeugen hohe elektrostatische Felder, die einen Beschuß der Reaktoroberflächen mit hochenergetischen Ionen bewirken. Der Ionenbeschuß beeinträchtigt den Reaktor und kann die Prozeßkammer und das verarbeitete Material kontaminieren. Der Ionenbeschuß kann auch eine Beschädigung des verarbeiteten Materials hervorrufen.
- Faraday-Abschirmungen wurden bei induktiv gekoppelten Plasmaquellen verwendet, um die hohen elektrostatischen Felder einzuschließen. Wegen der verhältnismäßig schwachen Kopplung der Ansteuerspulenströme an das Plasma bilden sich in den Abschirmungen jedoch starke Wirbelströme, die zu einer erheblichen Verlustleistung führen. Die Kosten, die Komplexität und die verringerte Leistungswirksamkeit machen die Verwendung von Faraday-Abschirmungen unattraktiv.
- Eine Hauptaufgabe dieser Erfindung besteht daher zumindest gemäß ihren bevorzugten Ausführungsformen darin, eine Quelle aktivierten Gases bereitzustellen, bei der eine hochwirksame HF-Leistungs-Kopplungsvorrichtung verwendet wird, die ohne Verwendung herkömmlicher HF- oder Mikrowellengeneratoren und Impedanzanpassungssysteme Leistung in ein Plasma koppelt.
- Eine weitere Hauptaufgabe dieser Erfindung besteht zumindest gemäß ihren bevorzugten Ausführungsformen darin, eine Quelle aktivierten Gases für eine Materialverarbeitung bereitzustellen, wobei innerhalb des Prozeßreaktors kein erheblicher Beschuß mit energetischen Ionen auftritt und wobei ein Langzeitbetrieb unter Verwendung chemisch reaktiver Gase aufrechterhalten werden kann, ohne daß die Quelle beschädigt wird und ohne daß kontaminierende Materialien erzeugt werden.
- Eine weitere Hauptaufgabe dieser Erfindung besteht zumindest gemäß ihren bevorzugten Ausführungsformen darin, eine Quelle aktivierten Gases bereitzustellen, bei der entweder ein Metall, ein Dielektrikum oder ein beschichtetes Metall (beispielsweise eloxiert) zur Bildung der Quellenkammer verwendet werden kann.
- Eine Hauptentdeckung gemäß einem Aspekt der vorliegenden Erfindung besteht darin, daß schaltende Halbleitervorrichtungen verwendet werden können, um die Primärwicklung eines Leistungstransformators effizient anzusteuern, wodurch elektromagnetische Energie in ein Plasma gekoppelt wird, um einen Sekundärkreis des Transformators zu bilden.
- Dementsprechend sieht die vorliegende Erfindung gemäß einem ersten Aspekt eine Vorrichtung zum Trennen von Gasen auf, welche aufweist:
- (a) eine Plasmakammer,
- (b) einen Transformator mit einem magnetischen Kern, der einen Abschnitt der Plasmakammer umgibt, und einer Primärwicklung,
- dadurch gekennzeichnet, daß die Vorrichtung weiterhin eine oder mehrere schaltende Halbleitervorrichtungen aufweist, die direkt mit einer Spannungsversorgung gekoppelt sind und eine Ausgabe haben, die mit der Primärwicklung gekoppelt ist,
- wobei die eine oder mehreren schaltenden Halbleitervorrichtungen einen Strom in der Primärwicklung steuern und der Strom ein Potential innerhalb der Kammer induziert, das ein ringförmiges bzw. torisches Plasma bildet, das einen Sekundärschaltkreis des Transformators vervollständigt.
- Die Plasmakammer kann aus einem metallischen Material, wie Aluminium, bestehen, oder sie kann aus einem dielektrischen Material, wie Quarz, bestehen. Das metallische Material kann ein feuerfestes Metall sein. Die Vorrichtung kann eine Prozeßkammer aufweisen, die mit der Plasmakammer gekoppelt ist und so angeordnet ist, daß sie ein durch ein Plasma in der Plasmakammer erzeugtes reaktives Gas empfängt.
- Die Ausgabe von einer oder mehreren schaltenden Halbleitervorrichtungen kann direkt mit der Primärwicklung des Transformators gekoppelt sein. Die eine oder die mehreren schaltenden Halbleitervorrichtungen können Schalttransistoren sein. Die Spannungsversorgung kann eine Leitungsspannungsversorgung oder eine Busspannungsversorgung sein.
- Die Vorrichtung kann einen Generator freier Ladungen aufweisen, wodurch das Zünden eines Plasmas in der Kammer unterstützt wird. Gemäß einer bevorzugten Ausführungsform ist eine Elektrode in der Kammer angeordnet, um die freien Ladungen zu erzeugen. Bei einer weiteren bevorzugten Ausführungsform ist eine Elektrode kapazitiv mit der Kammer gekoppelt, um die freien Ladungen zu erzeugen. Gemäß einer weiteren bevorzugten Ausführungsform ist eine Ultraviolettlichtquelle optisch mit der Kammer gekoppelt, um die freien Ladungen zu erzeugen.
- Die Vorrichtung kann eine Schaltung zum Messen elektrischer Parameter der Primärwicklung und des Plasmas aufweisen. Die Schaltung mißt Parameter, wie den die Primärwicklung ansteuernden Strom, die Spannung an der Primärwicklung, die Busversorgungsspannung, die durchschnittliche Leistung in der Primärwicklung und die Spitzenleistung in der Primärwicklung. Ein Leistungssteuerschaltkreis kann mit der Schaltung gekoppelt sein, um elektrische Parameter der Primärwicklung und des Plasmas zu messen. Der Leistungssteuerschaltkreis regelt den durch die Primärwicklungen fließenden Strom auf der Grundlage einer Messung der elektrischen Eigenschaften bzw. Größen der Primärwicklung und des Plasmas und anhand eines vorbestimmten Einstellpunkts, der eine gewünschte Betriebsbedingung darstellt.
- Gemäß einem zweiten Aspekt sieht die vorliegende Erfindung ein Verfahren zum Trennen von Gasen vor. Das Verfahren umfaßt das Bereitstellen einer Kammer zum Aufnehmen eines Gases bei einem Druck. Der Druck kann im wesentlichen zwischen 1 mTorr und 100 Torr liegen (zwischen den genäherten äquivalenten SI-Werten von 0,133 Pa und 13,3 kPa). Das Gas kann ein Edelgas, ein reaktives Gas oder eine Mischung wenigstens eines Edelgases und wenigstens eines reaktiven Gases umfassen. Das Verfahren umfaßt auch das Bereitstellen eines Transformators mit einem magnetischen Kern, der einen Abschnitt der Kammer umgibt, und einer Primärwicklung.
- Zusätzlich umfaßt das Verfahren das direkte Koppeln von einer oder mehreren schaltenden Halbleitervorrichtungen mit einer Spannungsversorgung, die eine Leitungsspannungsversorgung oder eine Busspannungsversorgung sein kann. Die eine oder die mehreren schaltenden Halbleitervorrichtungen werden auch mit der Primärwicklung des Transformators gekoppelt, so daß sie einen Strom erzeugen, der die Primärwicklung ansteuert. Die eine oder die mehreren schaltenden Halbleitervorrichtungen können direkt mit der Primärwicklung des Transformators gekoppelt sein.
- Das Verfahren umfaßt auch das Induzieren eines Potentials innerhalb der Plasmakammer mit dem Strom in der Primärwicklung des Transformators. Der Betrag des induzierten Potentials hängt nach dem Faradayschen Induktionsgesetz von dem durch den Kern erzeugten Magnetfeld und der Frequenz, bei der die schaltenden Halbleitervorrichtungen arbeiten, ab. Das Potential bildet ein torisches Plasma, das einen Sekundärkreis des Transformators vervollständigt. Das elektrische Feld des Plasmas kann im wesentlichen zwischen 1-100 V/cm liegen. Das Verfahren kann das Bereitstellen eines anfänglichen Ionisationsereignisses in der Kammer aufweisen. Das anfängliche Ionisationsereignis kann das Anwenden eines Spannungsimpulses auf die Primärwicklung oder auf eine in der Plasmakammer angeordnete Elektrode sein. Das anfängliche Ionisationsereignis kann auch darin bestehen, daß die Kammer Ultraviolettstrahlung ausgesetzt wird.
- Das Verfahren kann den Schritt des Messens von elektrischen Parametern der Primärwicklung und des Plasmas einschließlich von einer oder mehreren der Gegebenheiten des die Primärwicklung ansteuernden Stroms, der Spannung an der Primärwicklung, der Busspannung, der durchschnittlichen Leistung in der Primärwicklung und der Spitzenleistung in der Primärwicklung aufweisen. Zusätzlich kann das Verfahren den Schritt des Bestimmens einer Ausgabe von der einen oder den mehreren schaltenden Halbleitervorrichtungen anhand der Messung der elektrischen Parameter der Primärwicklung, des Plasmas und anhand eines vorbestimmten Einstellpunkts, der eine gewünschte Betriebsbedingung repräsentiert, aufweisen.
- Das erfindungsgemäße Verfahren kann ein Verfahren zum Reinigen einer Prozeßkammer sein. Bei dieser bevorzugten Ausführungsform ist die Kammer beim Schritt des Bereitstellens der Kammer eine Plasmakammer zum Aufnehmen eines reaktiven Gases bei einem Druck, wobei die Plasmakammer mit einer Prozeßkammer gekoppelt ist. Das Verfahren umfaßt vorzugsweise auch das Richten chemisch aktiver Spezies, wie Atome, Moleküle und Radikale, die in dem Plasma von der Plasmakammer erzeugt werden, in die Prozeßkammer, um die Prozeßkammer dadurch zu reinigen.
- Bevorzugte Ausführungsformen der Erfindung werden nun lediglich beispielhaft mit Bezug auf die anliegende Zeichnung beschrieben, wobei:
- Fig. 1 eine schematische Darstellung einer torischen Schwachfeld-Plasmaquelle zum Erzeugen aktivierter Gase gemäß der Erfindung zeigt,
- Fig. 2 eine Auftragung der Ätzrate von thermischem Siliciumdioxid als eine Funktion der NF&sub3;-Zufuhrgas- Strömungsrate bei Verwendung der torischen Schwachfeld- Plasmaquelle gemäß der Erfindung zeigt,
- Fig. 3 eine schematische Darstellung einer metallischen Plasmakammer zeigt, die zusammen mit der in Verbindung mit Fig. 1 beschriebenen torischen Schwachfeld-Plasmaquelle verwendet werden kann,
- Fig. 4 eine schematische Darstellung eines dielektrischen Abstandselements zeigt, das für die in Fig. 3 dargestellten dielektrischen Bereiche geeignet ist, welche verhindern, daß sich in der Plasmakammer ein induzierter Stromfluß bildet,
- Fig. 5 eine schematische Darstellung einer torischen Schwachfeld-Ionenstrahlquelle gemäß der Erfindung zeigt, die für eine Verarbeitung mit einem Ionenstrahl hoher Intensität konfiguriert ist, und
- Fig. 6 ein schematisches Blockdiagramm einer schaltenden Festkörper-Leistungsversorgung zeigt, die eine oder mehrere der schaltenden Halbleitervorrichtungen aus Fig. 1 aufweist.
- Fig. 1 zeigt eine schematische Darstellung einer torischen Schwachfeld-Plasmaquelle 10 zum Erzeugen aktivierter Gase gemäß der Erfindung. Die Quelle 10 weist einen Leistungstransformator 12 auf, der elektromagnetische Energie in ein Plasma 14 koppelt. Der Leistungstransformator 12 weist einen magnetischen Kern 16 hoher Permeabilität, eine Primärspule 18 und eine Plasmakammer 20 auf, die es ermöglicht, daß das Plasma 14 einen Sekundärkreis des Transformators 12 bildet. Der Leistungstransformator 12 kann zusätzliche magnetische Kerne und Leiter-Primärspulen (nicht dargestellt) aufweisen, welche zusätzliche Sekundärkreise bilden.
- Die Plasmakammer 20 kann aus einem metallischen Material, wie Aluminium, oder einem feuerfesten Metall bestehen, oder sie kann aus einem dielektrischen Material, wie Quarz, bestehen. Eine oder mehrere Seiten der Plasmakammer 20 können zu einer Prozeßkammer 22 geöffnet sein, um zu ermöglichen, daß durch das Plasma 14 erzeugte geladene Teilchen in direktem Kontakt mit einem zu verarbeitenden Material (nicht dargestellt) stehen. Ein Probenhalter 23 kann in der Prozeßkammer 22 angeordnet werden, um das zu verarbeitende Material zu halten. Das zu verarbeitende Material kann in bezug auf das Potential des Plasmas vorgespannt werden.
- Eine Spannungsversorgung 24, die eine Leitungsspannungsversorgung oder eine Busspannungsversorgung sein kann, ist direkt mit einer Schaltung 26 gekoppelt, die eine oder mehrere schaltende Halbleitervorrichtungen enthält. Die eine oder die mehreren schaltenden Halbleitervorrichtungen können Schalttransistoren sein. Die Schaltung kann eine schaltende Festkörper-Leistungsversorgung sein. Ein Ausgang 28 der Schaltung 26 kann direkt mit einer Primärwicklung 18 des Transformators 12 gekoppelt sein.
- Die torische Schwachfeld-Plasmaquelle 10 kann eine Einrichtung zum Erzeugen freier Ladungen aufweisen, wodurch ein anfängliches Ionisationsereignis bereitgestellt wird, das ein Plasma in der Plasmakammer 20 zündet. Das anfängliche Ionisationsereignis kann ein kurzer Hochspannungsimpuls sein, der auf die Plasmakammer angewendet wird. Der Impuls kann eine Spannung von etwa 500-10.000 Volt aufweisen und etwa 0,1 bis 10 Mikrosekunden lang sein. Ein Edelgas, wie Argon, kann in die Plasmakammer 20 eingebracht werden, um die zum Zünden eines Plasmas erforderliche Spannung zu verringern. Es kann auch Ultraviolettstrahlung verwendet werden, um die freien Ladungen in der Plasmakammer 20 zu erzeugen, welche das anfängliche Ionisationsereignis bilden, welches das Plasma in der Plasmakammer 20 zündet.
- Gemäß einer bevorzugten Ausführungsform wird der kurze elektrische Hochspannungsimpuls direkt an die Primärspule 18 angelegt, um das anfängliche Ionisationsereignis bereitzustellen. Gemäß einer weiteren bevorzugten Ausführungsform wird der kurze elektrische Hochspannungsimpuls an eine Elektrode 30 angelegt, die in der Plasmakammer 20 angeordnet ist. Bei einer weiteren bevorzugten Ausführungsform wird der kurze elektrische Hochspannungsimpuls an eine Elektrode 32 angelegt, die durch ein Dielektrikum kapazitiv mit der Plasmakammer 20 gekoppelt ist. Gemäß einer weiteren bevorzugten Ausführungsform wird die Plasmakammer 20 Ultraviolettstrahlung ausgesetzt, die von einer Ultraviolettlichtquelle 34 ausgesendet wird, die optisch mit der Plasmakammer 20 gekoppelt ist. Die Ultraviolettstrahlung ruft das anfängliche Ionisationsereignis hervor, welches das Plasma zündet.
- Die torische Schwachfeld-Plasmaquelle 10 kann auch eine Schaltung 36 zum Messen elektrischer Parameter der Primärwicklung 18 aufweisen. Elektrische Parameter der Primärwicklung 18 umfassen den die Primärwicklung 18 ansteuernden Strom, die Spannung an der Primärwicklung 18, die von der Spannungsversorgung 24 erzeugte Bus- oder Leitungsspannungsversorgung, die durchschnittliche Leistung in der Primärwicklung 18 und die Spitzenleistung in der Primärwicklung 18.
- Zusätzlich kann die Plasmaquelle 10 eine Einrichtung zum Messen relevanter elektrischer Parameter des Plasmas 14 aufweisen. Relevante elektrische Parameter des Plasmas 14 umfassen den Plasmastrom und die Plasmaleistung.
- Beispielsweise kann die Quelle 10 eine um die Plasmakammer 20 herum angeordnete Stromsonde 38 aufweisen, um den in der Sekundärwicklung des Transformators 12 fließenden Plasmastrom zu messen. Die Plasmaquelle 10 kann auch einen optischen Detektor 40 zum Messen der optischen Emission von dem Plasma 14 aufweisen. Zusätzlich kann die Plasmaquelle 10 einen Leistungssteuerschaltkreis 42 aufweisen, der Daten von einer oder mehreren der Einrichtungen der Stromsonde 38, des Leistungsdetektors 40 und der Schaltung 26 annimmt und die Leistung in dem Plasma dann durch Einstellen des Stroms in der Primärwicklung 18 einstellt.
- Beim Betrieb wird ein Gas langsam in die Plasmakammer 20 eingebracht, bis ein Druck erreicht wird, der im wesentlichen zwischen 1 mTorr und 100 Torr (zwischen etwa 0,133 Pa und 13,3 kPa) liegt. Das Gas kann ein Edelgas, ein reaktives Gas oder eine Mischung von wenigstens einem Edelgas und wenigstens einem reaktiven Gas umfassen. Die schaltende Halbleitervorrichtungen enthaltende Schaltung 26 führt der Primärwicklung 18 einen Strom zu, wodurch innerhalb der Plasmakammer ein Potential induziert wird. Der Betrag des induzierten Potentials hängt nach dem Faradayschen Induktionsgesetz von dem vom Kern erzeugten Magnetfeld und der Frequenz ab, bei der die schaltenden Halbleitervorrichtungen arbeiten. Ein Ionisationsereignis, welches das Plasma bildet, kann in der Kammer eingeleitet werden. Das Ionisationsereignis kann im Anlegen eines Spannungsimpulses an die Primärwicklung oder an die Elektrode 30 in der Kammer 20 bestehen. Alternativ kann das Ionisationsereignis dadurch gegeben sein, daß die Kammer Ultraviolettstrahlung ausgesetzt wird.
- Sobald das Gas ionisiert wurde, bildet sich ein Plasma, das einen Sekundärkreis des Transformators vervollständigt. Das elektrische Feld des Plasmas kann im wesentlichen zwischen 1-100 V/cm liegen. Falls in der Plasmakammer 20 nur Edelgase vorhanden sind, können die elektrischen Felder in dem Plasma 14 lediglich 1 Volt/cm betragen. Falls jedoch elektronegative Gase in der Kammer vorhanden sind, sind die elektrischen Felder in dem Plasma 14 erheblich höher. Das Betreiben der Plasmaquelle 10 mit niedrigen elektrischen Feldern in der Plasmakammer 14 ist erwünscht, weil durch eine niedrige Potentialdifferenz zwischen dem Plasma und der Kammer die Erosion der Kammer durch energetische Ionen und die sich daraus ergebende Kontamination des verarbeiteten Materials erheblich verringert werden.
- Die an das Plasma abgegebene Leistung kann durch eine Rückkopplungsschleife 44 genau gesteuert werden, die den Leistungssteuerschaltkreis 42, die Schaltung 36 zum Messen elektrischer Parameter der Primärwicklung 18 und die Schaltung 26, die eine oder mehrere schaltende Halbleitervorrichtungen enthält, aufweist. Zusätzlich kann die Rückkopplungsschleife 44 die Stromsonde 38 und den optischen Detektor 40 aufweisen.
- Gemäß einer bevorzugten Ausführungsform mißt der Leistungssteuerschaltkreis 42 die Leistung in dem Plasma unter Verwendung der Schaltung 36 zum Messen elektrischer Parameter der Primärwicklung 18. Der Leistungssteuerschaltkreis 42 vergleicht dann die Messung mit einem vorbestimmten Einstellpunkt, der eine gewünschte Betriebsbedingung darstellt, und stellt einen oder mehrere Parameter der Schaltung 26 ein, um die an das Plasma abgegebene Leistung zu steuern. Der eine oder die mehreren Parameter der Schaltung 26 umfassen die Impulsamplitude, die Frequenz, die Impulsbreite und die relative Phase der Ansteuerimpulse an der einen oder den mehreren schaltenden Halbleitervorrichtungen.
- Gemäß einer weiteren bevorzugten Ausführungsform mißt der Leistungssteuerschaltkreis 42 die Leistung in dem Plasma unter Verwendung der Stromsonde 38 oder des optischen Detektors 40. Der Leistungssteuerschaltkreis 42 vergleicht dann die Messung mit einem vorbestimmten Einstellpunkt, der eine gewünschte Betriebsbedingung darstellt, und stellt einen oder mehrere Parameter der Schaltung 26 ein, um die an das Plasma abgegebene Leistung zu steuern.
- Die Plasmaquelle 10 ist vorteilhaft, weil ihre Umwandlungswirksamkeit der Netzleistung in von dem Plasma absorbierte Leistung verglichen mit Plasmaquellen aus dem Stand der Technik sehr hoch ist. Dies liegt daran, daß die Schaltung 26, die eine oder mehrere schaltende Halbleitervorrichtungen enthält und den Strom der Primärwicklung 18 zuführt, sehr wirksam ist. Die Umwandlungswirksamkeit kann erheblich größer als 90% sein. Die Plasmaquelle 10 ist auch vorteilhaft, weil es bei ihr nicht erforderlich ist, herkömmliche Impedanzanpassungsnetzwerke oder herkömmliche HF-Leistungsgeneratoren zu verwenden. Hierdurch werden die Kosten stark verringert und die Zuverlässigkeit der Plasmaquelle erhöht.
- Zusätzlich ist die Plasmaquelle 10 vorteilhaft, weil sie mit niedrigen elektrischen Feldern in der Plasmakammer 20 arbeitet. Niedrige elektrische Felder sind erwünscht, weil eine niedrige Potentialdifferenz zwischen dem Plasma und der Kammer den Beschuß mit energetischen Ionen innerhalb der Plasmakammer 20 erheblich verringert. Das Verringern des Beschusses mit energetischen Ionen in der Plasmakammer 20 ist erwünscht, weil dadurch die Erzeugung kontaminierender Materialien innerhalb der Plasmakammer 20 minimiert wird, insbesondere wenn chemisch reaktive Gase verwendet werden. Wenn beispielsweise Gase auf Fluorbasis, wie NF&sub3; und CF&sub4;/O&sub2; in der Plasmaquelle 10 gemäß der vorliegenden Erfindung einschließlich einer aus einem fluorbeständigen Material gebildeten Plasmakammer verwendet wurden, wurde nach einem längeren Einwirken des Fluorplasmas mit einer niedrigen Ionentemperatur keine oder nur eine minimale Erosion der Kammer beobachtet.
- Die Plasmaquelle 10 ist zum Verarbeiten zahlreicher Materialien, wie fester Oberflächen, Pulver und Gase, nützlich. Die Plasmaquelle 10 ist besonders nützlich für das Reinigen von Prozeßkammern bei Halbleiterverarbeitungseinrichtungen, wie Dünnfilmabscheidungs- und Ätzsystemen. Die Plasmaquelle 10 ist auch besonders nützlich, um eine Ionenquelle für Ionenimplantations- und Ionenätzsysteme bereitzustellen.
- Zusätzlich ist die Plasmaquelle 10 nützlich, um eine Quelle für Ätzsysteme bereitzustellen, die zum Ätzen zahlreicher Materialien verwendet wird, die zum Herstellen von Halbleitervorrichtungen verwendet werden, wie Silicium, Siliciumdioxid, Siliciumnitrid, Aluminium, Molybdän, Wolfram und organische Materialien, wie Photoresists, Polyamide und andere Polymermaterialien. Die Plasmaquelle 10 ist auch nützlich, um eine Quelle für eine plasmaunterstützte Abscheidung von Materialien zahlreicher Dünnfilme, wie Diamantfilme, Siliciumdioxid, Siliciumnitrid und Aluminiumnitrid, bereitzustellen.
- Die Plasmaquelle ist auch nützlich, um reaktive Gase, wie atomares Fluor, atomares Chlor und atomaren Sauerstoff, zu erzeugen. Diese reaktiven Gase sind zum Reduzieren, Umwandeln, Stabilisieren oder Passivieren verschiedener Oxide, wie Siliciumdioxid, Zinndioxid, Zinkoxid und Indiumzinnoxid, verwendbar. Anwendungen umfassen das flußmittelfreie Löten, das Entfernen von Siliciumdioxid von Siliciumoberflächen und das Passivieren von Siliciumoberflächen vor der Waferverarbeitung.
- Andere Anwendungen der Plasmaquelle 10 umfassen das Modifizieren von Oberflächeneigenschaften von Polymeren, des Transformators 12 aus Fig. 1. Wie in Zusammenhang mit Fig. 1 beschrieben ist, induzieren jeder von dem ersten Kern 104 und dem zweiten Kern 106 innerhalb der Kammer ein Potential, das ein Plasma bildet, das einen Sekundärkreis des Transformators 12 vervollständigt. Es ist nur ein magnetischer Kern zum Betreiben der torischen Schwachfeld- Plasmaquelle erforderlich.
- Die Anmelder haben entdeckt, daß eine induktiv angesteuerte torische Schwachfeld-Plasmaquelle mit einer metallischen Plasmakammer hergestellt werden kann. Induktiv gekoppelte Plasmaquellen aus dem Stand der Technik verwenden Plasmakammern aus dielektrischem Material, um zu verhindern, daß sich ein induzierter Stromfluß in der Plasmakammer selbst bildet. Die Plasmakammer 100 gemäß dieser Erfindung weist mindestens einen dielektrischen Bereich auf, der einen Abschnitt der Plasmakammer 100 elektrisch isoliert, so daß der elektrische Durchgang durch die Plasmakammer 100 unterbrochen wird. Die elektrische Isolation verhindert, daß sich in der Plasmakammer selbst ein induzierter Stromfluß bildet.
- Die Plasmakammer 100 weist einen ersten dielektrischen Bereich 108 und einen zweiten dielektrischen Bereich 110 auf, der verhindert, das sich in der Plasmakammer 100 ein induzierter Stromfluß bildet. Die dielektrischen Bereiche 108, 110 isolieren die Plasmakammer 100 elektrisch in einen ersten Bereich 112 und einen zweiten Bereich 114. Jeder von dem ersten Bereich 112 und dem zweiten Bereich 114 ist mit einer Hochvakuumdichtung mit den dielektrischen Bereichen 108, 110 verbunden, um die Plasmakammer 100 zu bilden. Die Hochvakuumdichtung kann aus einer elastomeren Dichtung bestehen oder durch eine permanente Dichtung, wie eine Hartlötverbindung, gebildet sein. Zum Verringern der Kontamination können die dielektrischen Bereiche 108, 110 vor dem Plasma geschützt werden. Die dielektrischen Bereiche 108, 110 können ein die Paßfläche 116 der Plasmakammer 100 trennendes dielektrisches Abstandselement aufweisen oder eine dielektrische Beschichtung auf der Paßfläche 116 sein.
- Beim Betrieb fließt ein Zufuhrgas in einen Einlaß 118. Wie in Zusammenhang mit Fig. 1 beschrieben wurde, induziert jeder von dem ersten Kern 104 und dem zweiten Kern 106 innerhalb der Plasmakammer 100 ein Potential, das ein Plasma bildet, das einen Sekundärkreis des Transformators 12 vervollständigt. Es sei bemerkt, daß nur ein magnetischer Kern erforderlich ist, um die torische Schwachfeld-Plasmaquelle zu betreiben.
- Die Verwendung metallischer oder beschichteter Metallkammern in torischen Schwachfeld-Plasmaquellen ist vorteilhaft, weil manche Metalle gegenüber bestimmten Chemikalien, die üblicherweise bei der Plasmaverarbeitung verwendet werden, wie Gase auf Fluorbasis, widerstandsfähiger sind. Zusätzlich können Metalle oder beschichtete Metallkammern bei viel höheren Temperaturen eine viel höhere thermische Leitfähigkeit aufweisen als dielektrische Kammern, und sie können daher Plasmen viel höherer Leistung erzeugen.
- Fig. 4 zeigt eine schematische Darstellung eines dielektrischen Abstandselements 150, das für die in Fig. 3 dargestellten dielektrischen Bereiche geeignet ist, welche die Bildung eines induzierten Stromflusses in der Plasmakammer verhindern. Bei dieser Ausführungsform ist außerhalb des dielektrischen Abstandselements 150 eine Hochvakuumdichtung 152 gebildet. Der dielektrische Bereich ist durch die vorstehende Kammerwand 100 vor dem Plasma geschützt.
- Fig. 5 zeigt eine schematische Darstellung einer Ionenstrahlquelle 200 mit einem torischen Schwachfeld-Plasmagenerator gemäß der Erfindung. Die Ionenstrahlquelle 200 kann für zahlreiche Ionenstrahl-Verarbeitungsanwendungen einschließlich des Ionenstrahlätzens und der Ionenimplantation, verwendet werden. Die Ionenstrahlquelle 200 weist eine torische Schwachfeld-Plasmaquelle 202 auf, die die in Verbindung mit Fig. 3 beschriebene metallische Plasmakammer 100 aufweist. Die Plasmakammer 100 weist einen Schlitz 204 zum Extrahieren durch das Plasma erzeugter Ionen aus der Kammer 100 auf. Beschleunigungselektroden 206 beschleunigen die aus der Kammer 100 austretenden Ionen mit einem vorbestimmten elektrischen Feld, wodurch ein Ionenstrahl gebildet wird, bei dem die Ionen eine vorbestimmte Energie aufweisen.
- Ein Massentrennungsmagnet 208 kann in dem Weg der beschleunigten Ionen angeordnet werden, um eine gewünschte Ionenspezies auszuwählen. Ein zweiter Satz von Beschleunigungselektroden kann zum Beschleunigen der gewünschten Ionenspezies auf eine vorbestimmte hohe Energie verwendet werden. Eine Tonenlinse kann zum Fokussieren des hochenergetischen Ionenstrahls verwendet werden. Ein Vertikalachsenablenker 212 und ein Horizontalachsenablenker 214 können zum Ablenken des Ionenstrahls über eine Probe 216 verwendet werden. Ein Deflektor 218 kann verwendet werden, um den Tonenstrahl von jeglichen neutralen Teilchen zu trennen, so daß der Ionenstrahl auf die Probe 216 fällt und die neutralen Teilchen auf eine Falle 220 für neutrale Teilchen fallen.
- Fig. 6 zeigt ein schematisches Blockdiagramm einer schaltenden Festkörper-Leistungsversorgung 250, die eine oder mehrere der schaltenden Halbleitervorrichtungen aus Fig. 1 aufweist. Die Anmelder haben entdeckt, daß schaltende Halbleitervorrichtungen zum Ansteuern der Primärwicklung eines Leistungstransformators, der elektromagnetische Energie in ein Plasma einkoppelt, um einen Sekundärkreis des Transformators zu bilden, verwendet werden können.
- Die Verwendung einer schaltenden Leistungsversorgung bei einer torischen Schwachfeld-Plasmaquelle ist vorteilhaft, weil schaltende Leistungsversorgungen viel kostengünstiger und physisch hinsichtlich des Volumens viel kleiner und leichtgewichtiger sind als die zum Versorgen von Plasmaquellen verwendeten HF- und Mikrowellenleistungsversorgungen aus dem Stand der Technik. Dies liegt daran, daß schaltende Leistungsversorgungen keine Leitungsisolationsschaltung oder ein Impedanzanpassungsnetzwerk benötigen.
- Die vorliegende Erfindung kann jede beliebige Konfiguration einer schaltenden Leistungsversorgung zum Steuern des Stroms in der Primärwicklung 18 verwenden (Fig. 1). Beispielsweise kann die schaltende Leistungsversorgung 250 ein Filter 252 und eine Gleichrichterschaltung 254, die mit einer Leitungsspannungsversorgung 256 gekoppelt ist, aufweisen. Eine Ausgabe 258 des Filters 252 und der Gleichrichterschaltung 254 erzeugt eine Gleichspannung, die typischerweise mehrere hundert Volt beträgt. Die Ausgabe 258 ist mit einer Strommodus-Steuerschaltung 260 gekoppelt.
- Die Strommodus-Steuerschaltung 260 ist mit einem, ersten Isolationstreiber 262, 262a und einem zweiten Isolationstreiber 264, 264a gekoppelt. Der erste Isolationstreiber 262, 262a und der zweite Isolationstreiber 264, 264a steuern ein erstes Paar 266 und ein zweites Paar 268 von Schalttransistoren 268. Die Schalttransistoren können IGBT- oder FET-Vorrichtungen sein. Die Ausgabe des ersten Paars 266 und des zweiten Paars 268 von Schalttransistoren können zahlreiche Wellenformen einschließlich einer Sinuswellenform aufweisen. Die Ausgabe der Schalttransistoren ist durch die Primärwicklung und den magnetischen Kern 269 mit dem torischen Plasma 270 gekoppelt, wodurch die Sekundärwicklung des Transformators gebildet ist.
- Wenngleich die Erfindung mit Bezug auf spezifische bevorzugte Ausführungsformen eingehend dargestellt und beschrieben wurde, werden Fachleute verstehen, daß verschiedene Änderungen an der Form und den Einzelheiten vorgenommen werden können, ohne vom in den anliegenden Ansprüchen definierten Schutzumfang der Erfindung abzuweichen.
Claims (32)
1. Vorrichtung zum Lösen bzw. Trennen von Gasen mit:
a) einer Plasmakammer (20, 100),
b) einem Transformator (12) mit einem magnetischen Kern
(16), der einen Abschnitt der Plasmakammer umgibt,
und einer Primärwicklung (18),
dadurch gekennzeichnet, daß die Vorrichtung weiterhin eine
oder mehrere schaltende Halbleitervorrichtungen aufweist,
die direkt mit einer Spannungsversorgung (24) gekoppelt
sind und eine Ausgabe (28) haben, die mit der
Primärwicklung (18) gekoppelt ist,
wobei die eine oder mehreren schaltenden
Halbleitervorrichtungen einen Strom in der Primärwicklung steuern und der
Strom ein Potential innerhalb der Kammer induziert, das ein
ringförmiges bzw. torisches Plasma (14) bildet, das einen
Sekundärschaltkreis des Transformators vervollständigt.
2. Vorrichtung nach Anspruch 1, bei dem die eine
oder mehreren schaltenden Halbleitervorrichtungen einen
oder mehrere Schalttransistoren umfassen.
3. Vorrichtung nach Anspruch 1 oder 2, bei dem die
Ausgabe (28) der einen oder mehreren schaltenden
Halbleitervorrichtungen direkt mit der Primärwicklung (18) gekoppelt
ist.
4. Vorrichtung nach Anspruch 1, 2 oder 3, bei dem die
Kammer (20, 100) ein metallisches Material aufweist.
5. Vorrichtung nach Anspruch 4, bei dem das metallische
Material Aluminium umfaßt.
6. Vorrichtung nach einem der vorstehenden Ansprüche, bei
dem die Kammer (20, 100) ein dielektrisches Material
umfaßt.
7. Vorrichtung nach einem der vorstehenden Ansprüche,
weiterhin mit einem Schaltkreis (36) zum Messen von
elektrischen Parametern der Primärwicklung (18) und des Plasmas
(14), wobei die elektrischen Parameter einen oder mehrere
Parameter umfassen, einschließlich dem Strom, der die
Primärwicklung ansteuert, einer Spannung über die
Primärwicklung, einer Busspannung, einer Durchschnittsleistung in der
Primärwicklung und einer Spitzenleistung in der
Primärwicklung.
8. Vorrichtung nach Anspruch 7, die weiterhin einen
Leistungssteuerschaltkreis (42) aufweist, der mit einer
Ausgabe des Schaltkreises zum Messen von elektrischen Parametern
der Primärwicklung (18) und des Plasmas (14) gekoppelt ist,
wobei der Leistungssteuerschaltkreis den Strom bestimmt,
der durch die Primärwicklungen von einer Messung der
elektrischen Größen der Primärwicklung und des Plasmas und von
einem vorgegebenen Einstellwert bzw. Sollwert fließt, der
eine erwünschte Betriebsbedingung repräsentiert.
9. Vorrichtung nach einem der vorstehenden Ansprüche, die
weiterhin eine Prozeßkammer (22) aufweist, die mit der
Plasmakammer (20, 100) gekoppelt und positioniert ist, um
reaktives Gas, das durch das Plasma (14) erzeugt wird,
aufzunehmen.
10. Vorrichtung zum Erzeugen von Ionen mit:
den Merkmalen der Vorrichtung gemäß Anspruch 1 und
weiterhin mit einer Öffnung, die in der Kammer zum Ausrichten von
durch das Plasma (14) erzeugten Ionen positioniert ist.
11. Vorrichtung nach Anspruch 10, die weiterhin eine
Prozeßkammer (22) aufweist, die mit der Öffnung in der
Plasmakammer (20, 100) gekoppelt und ausgelegt ist, um durch das
Plasma (14) erzeugte Ionen aufzunehmen.
12. Vorrichtung nach Anspruch 11, die weiterhin
Beschleunigungselektroden (206) aufweist, die in der Prozeßkammer
zum Beschleunigen der durch das Plasma (14) erzeugten Ionen
positioniert ist.
13. Vorrichtung nach Anspruch 10, 11 oder 12, bei dem die
Kammer ein feuerfestes Metall aufweist.
14. Vorrichtung nach einem der vorstehenden Ansprüche, bei
dem die Spannungsversorgung (24) eine Betriebs- bzw.
Leitungsspannungsversorgung oder eine Bus- bzw.
Sammelschienenspannungsversorgung aufweist.
15. Vorrichtung nach einem der vorstehenden Ansprüche, die
weiterhin eine Elektrode (30) aufweist, die in der Kammer
(20, 100) positioniert ist, die freie Ladungen erzeugt, die
das Zünden eines Plasmas (14) in der Kammer unterstützen.
16. Vorrichtung nach einem der Ansprüche 1 bis 14, die
weiterhin eine Elektrode (32) aufweist, die kapazitiv mit
der Kammer (20, 100) gekoppelt ist, die freie Ladungen
erzeugt, die das Zünden eines Plasmas (14) in der Kammer
unterstützen.
17. Vorrichtung nach einem der Ansprüche 1 bis 14, die
weiterhin eine Quelle (34) für ultraviolettes Licht
aufweist, die optisch mit der Kammer (20, 100) gekoppelt ist,
die freie Ladungen erzeugt, die das Zünden eines Plasmas
(14) in der Kammer unterstützen.
18. Verfahren zum Lösen bzw. Trennen von Gasen mit den
Verfahrensschritten:
a) Bereitstellen einer Kammer (20, 100) zum Enthalten
eines Gases bei einem Druck,
b) Bereitstellen eines Transformators (12) mit einem
magnetischen Kern (16), der einen Abschnitt der Kammer
umgibt, und einer Primärwicklung (18),
gekennzeichnet durch,
c) direktes Koppeln einer oder mehrerer schaltender
Halbleitervorrichtungen an eine Spannungsversorgung
(24) und Erzeugen eines Stroms, der die
Primärwicklung mit der einen oder mehreren schaltenden
Halbleitervorrichtungen ansteuert, und
d) Induzieren eines Potentials innerhalb der Kammer (20,
100) mit dem Strom in der Primärwicklung (18), wobei
das Potential ein ringförmiges Plasma (14) bildet,
das einen Sekundärschaltkreis des Transformator
vervollständigt.
19. Verfahren nach Anspruch 18, bei dem der Schritt des
direkten Koppelns von einer oder mehreren schaltenden
Halbleitervorrichtungen an eine Spannungsversorgung (24) den
Schritt des direkten Koppelns der einen oder mehreren
schaltenden Halbleitervorrichtungen an eine
Leitungsspannungsversorgung oder an eine Busspannungsversorgung umfaßt.
20. Verfahren nach Anspruch 18, das weiterhin den Schritt
des direkten Koppelns der einen oder mehreren schaltenden
Halbleitervorrichtungen an die Primärwicklung (18) umfaßt.
21. Verfahren nach Anspruch 18, 19 oder 20, das weiterhin
den Schritt des Bereitstellens eines anfänglichen
Ionisationsereignisses in der Kammer (20, 100) umfaßt.
22. Verfahren nach Anspruch 21, bei dem der Schritt des
Bereitstellens eines anfänglichen Ionisationsereignisses in
der Kammer (20, 100) den Schritt des Bereitstellens eines
Spannungspulses an der Primärwicklung (18) umfaßt.
23. Verfahren nach Anspruch 21, bei dem der Schritt des
Bereitstellens eines anfänglichen Ionisationsereignisses in
der Kammer (20, 100) den Schritt des Aussetzens der Kammer
gegenüber ultraviolettem Licht umfaßt.
24. Verfahren nach einem der vorstehenden Ansprüche 18 bis
23, bei dem das Gas ein Edelgas umfaßt.
25. Verfahren nach einem der Ansprüche 18 bis 23, bei dem
das Gas ein reaktives bzw. reagierendes Gas umfaßt.
26. Verfahren nach einem der Ansprüche 18 bis 23, bei dem
das Gas eine Mischung aus einem reaktiven Gas und einem
Edelgas umfaßt.
27. Verfahren nach einem der Ansprüche 18 bis 26, das
weiterhin den Schritt des Messens von elektrischen Parametern
der Primärwicklung (18) und des Plasmas (14) umfaßt, mit
einem oder mehreren Parametern, einschließlich dem Strom,
der die Primärwicklung ansteuert, einer Spannung über die
Primärwicklung, einer Busspannung, einer Durchschnittsleistung
in der Primärwicklung und einer Spitzenleistung in
der Primärwicklung.
28. Verfahren nach Anspruch 26, das weiterhin den Schritt
des Bestimmens einer Ausgabe der einen oder mehreren
schaltenden Halbleitervorrichtungen von der Messung der
elektrischen Parameter der Primärwicklung (18) und des Plasmas
(14) umfaßt und von einem vorgegebenen Einstellpunkt, der
eine erwünschte Betriebsbedingung repräsentiert.
29. Verfahren nach einem der Ansprüche 18 bis 28, bei dem
der Druck zwischen 1 mTorr und 100 Torr (zwischen den
näherungsweisen äquivalenten SI-Werten von 0,133 Pa und 13,3
kPa) liegt.
30. Verfahren nach einem der Ansprüche 18 bis 29, bei dem
ein elektrisches Feld des Plasmas (14) eine Stärke zwischen
1 und 100 V/cm hat.
31. Verfahren nach Anspruch 18, bei dem bei dem Schritt
des Bereitstellens der Kammer die Kammer eine Plasmakammer
(20, 100) zum Aufbewahren eines reaktiven Gases bei einem
Druck ist, wobei die Plasmakammer mit einer Prozeßkammer
verbunden ist.
32. Verfahren nach Anspruch 31, das weiterhin folgenden
Schritt umfaßt:
e) Ausrichten von, chemisch aktiven Arten bzw. Spezien,
die in dem Plasma erzeugt werden, von der Plasmakammer
in die Prozeßkammer, wobei die Prozeßkammer gereinigt
wird.
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US08/883,281 US6150628A (en) | 1997-06-26 | 1997-06-26 | Toroidal low-field reactive gas source |
PCT/US1998/013155 WO1999000823A1 (en) | 1997-06-26 | 1998-06-23 | Toroidal low-field reactive gas source |
Publications (2)
Publication Number | Publication Date |
---|---|
DE69811497D1 DE69811497D1 (de) | 2003-03-27 |
DE69811497T2 true DE69811497T2 (de) | 2003-12-18 |
Family
ID=25382309
Family Applications (8)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
DE69811497T Expired - Lifetime DE69811497T2 (de) | 1997-06-26 | 1998-06-23 | Torusförmige reaktivgasquelle mit niedriger feldstärke |
DE69842098T Expired - Lifetime DE69842098D1 (de) | 1997-06-26 | 1998-06-23 | Toroidförmige Reaktivgasquelle mit niedrigem Feld |
DE69841964T Expired - Lifetime DE69841964D1 (de) | 1997-06-26 | 1998-06-23 | Toroidförmige Reaktivgasquelle mit niedrigem Feld |
DE69841963T Expired - Lifetime DE69841963D1 (de) | 1997-06-26 | 1998-06-23 | Toroidförmige Reaktivgasquelle mit niedrigem Feld |
DE69841962T Expired - Lifetime DE69841962D1 (de) | 1997-06-26 | 1998-06-23 | Toroidförmige Reaktivgasquelle mit niedrigem Feld |
DE69841965T Expired - Lifetime DE69841965D1 (de) | 1997-06-26 | 1998-06-23 | Toroidförmige Reaktivgasquelle mit niedrigem Feld |
DE69842259T Expired - Lifetime DE69842259D1 (de) | 1997-06-26 | 1998-06-23 | Toroidförmige Reaktivgasquelle mit niedrigem Feld |
DE69841961T Expired - Lifetime DE69841961D1 (de) | 1997-06-26 | 1998-06-23 | Toroidförmige Reaktivgasquelle mit niedrigem Feld |
Family Applications After (7)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
DE69842098T Expired - Lifetime DE69842098D1 (de) | 1997-06-26 | 1998-06-23 | Toroidförmige Reaktivgasquelle mit niedrigem Feld |
DE69841964T Expired - Lifetime DE69841964D1 (de) | 1997-06-26 | 1998-06-23 | Toroidförmige Reaktivgasquelle mit niedrigem Feld |
DE69841963T Expired - Lifetime DE69841963D1 (de) | 1997-06-26 | 1998-06-23 | Toroidförmige Reaktivgasquelle mit niedrigem Feld |
DE69841962T Expired - Lifetime DE69841962D1 (de) | 1997-06-26 | 1998-06-23 | Toroidförmige Reaktivgasquelle mit niedrigem Feld |
DE69841965T Expired - Lifetime DE69841965D1 (de) | 1997-06-26 | 1998-06-23 | Toroidförmige Reaktivgasquelle mit niedrigem Feld |
DE69842259T Expired - Lifetime DE69842259D1 (de) | 1997-06-26 | 1998-06-23 | Toroidförmige Reaktivgasquelle mit niedrigem Feld |
DE69841961T Expired - Lifetime DE69841961D1 (de) | 1997-06-26 | 1998-06-23 | Toroidförmige Reaktivgasquelle mit niedrigem Feld |
Country Status (5)
Country | Link |
---|---|
US (5) | US6150628A (de) |
EP (9) | EP1313128B1 (de) |
JP (5) | JP4070152B2 (de) |
DE (8) | DE69811497T2 (de) |
WO (1) | WO1999000823A1 (de) |
Families Citing this family (373)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8779322B2 (en) | 1997-06-26 | 2014-07-15 | Mks Instruments Inc. | Method and apparatus for processing metal bearing gases |
US7569790B2 (en) * | 1997-06-26 | 2009-08-04 | Mks Instruments, Inc. | Method and apparatus for processing metal bearing gases |
US20030118491A1 (en) * | 1998-08-26 | 2003-06-26 | Frieze Marcia A. | Filtered gas plasma sterilization container with improved circulation |
JP2003506888A (ja) * | 1999-08-06 | 2003-02-18 | アドバンスト・エナジー・インダストリーズ・インコーポレイテッド | ガスおよび材料を処理する誘導結合環状プラズマ源装置およびその方法 |
US7838850B2 (en) | 1999-12-13 | 2010-11-23 | Semequip, Inc. | External cathode ion source |
WO2001043157A1 (en) * | 1999-12-13 | 2001-06-14 | Semequip, Inc. | Ion implantation ion source, system and method |
US20070107841A1 (en) * | 2000-12-13 | 2007-05-17 | Semequip, Inc. | Ion implantation ion source, system and method |
KR100767762B1 (ko) * | 2000-01-18 | 2007-10-17 | 에이에스엠 저펜 가부시기가이샤 | 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치 |
DE10010707C2 (de) * | 2000-03-04 | 2002-01-10 | Philips Corp Intellectual Pty | Piezoelektrischer Aktuator |
US6679981B1 (en) * | 2000-05-11 | 2004-01-20 | Applied Materials, Inc. | Inductive plasma loop enhancing magnetron sputtering |
US6418874B1 (en) | 2000-05-25 | 2002-07-16 | Applied Materials, Inc. | Toroidal plasma source for plasma processing |
US6835278B2 (en) * | 2000-07-07 | 2004-12-28 | Mattson Technology Inc. | Systems and methods for remote plasma clean |
US7294563B2 (en) | 2000-08-10 | 2007-11-13 | Applied Materials, Inc. | Semiconductor on insulator vertical transistor fabrication and doping process |
US7166524B2 (en) | 2000-08-11 | 2007-01-23 | Applied Materials, Inc. | Method for ion implanting insulator material to reduce dielectric constant |
US7223676B2 (en) | 2002-06-05 | 2007-05-29 | Applied Materials, Inc. | Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer |
US6939434B2 (en) | 2000-08-11 | 2005-09-06 | Applied Materials, Inc. | Externally excited torroidal plasma source with magnetic control of ion distribution |
US20070042580A1 (en) * | 2000-08-10 | 2007-02-22 | Amir Al-Bayati | Ion implanted insulator material with reduced dielectric constant |
US6893907B2 (en) | 2002-06-05 | 2005-05-17 | Applied Materials, Inc. | Fabrication of silicon-on-insulator structure using plasma immersion ion implantation |
US6410449B1 (en) | 2000-08-11 | 2002-06-25 | Applied Materials, Inc. | Method of processing a workpiece using an externally excited torroidal plasma source |
US7303982B2 (en) | 2000-08-11 | 2007-12-04 | Applied Materials, Inc. | Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage |
US7037813B2 (en) | 2000-08-11 | 2006-05-02 | Applied Materials, Inc. | Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage |
US20050230047A1 (en) * | 2000-08-11 | 2005-10-20 | Applied Materials, Inc. | Plasma immersion ion implantation apparatus |
US7137354B2 (en) | 2000-08-11 | 2006-11-21 | Applied Materials, Inc. | Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage |
JP5204941B2 (ja) * | 2000-08-11 | 2013-06-05 | アプライド マテリアルズ インコーポレイテッド | 外部から励磁されるトロイダルプラズマチャンバ |
US7479456B2 (en) * | 2004-08-26 | 2009-01-20 | Applied Materials, Inc. | Gasless high voltage high contact force wafer contact-cooling electrostatic chuck |
US7094670B2 (en) | 2000-08-11 | 2006-08-22 | Applied Materials, Inc. | Plasma immersion ion implantation process |
US7320734B2 (en) | 2000-08-11 | 2008-01-22 | Applied Materials, Inc. | Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage |
US6453842B1 (en) | 2000-08-11 | 2002-09-24 | Applied Materials Inc. | Externally excited torroidal plasma source using a gas distribution plate |
US7288491B2 (en) | 2000-08-11 | 2007-10-30 | Applied Materials, Inc. | Plasma immersion ion implantation process |
US7430984B2 (en) * | 2000-08-11 | 2008-10-07 | Applied Materials, Inc. | Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements |
US6468388B1 (en) | 2000-08-11 | 2002-10-22 | Applied Materials, Inc. | Reactor chamber for an externally excited torroidal plasma source with a gas distribution plate |
US7465478B2 (en) | 2000-08-11 | 2008-12-16 | Applied Materials, Inc. | Plasma immersion ion implantation process |
US6494986B1 (en) | 2000-08-11 | 2002-12-17 | Applied Materials, Inc. | Externally excited multiple torroidal plasma source |
US7183177B2 (en) | 2000-08-11 | 2007-02-27 | Applied Materials, Inc. | Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement |
US6348126B1 (en) | 2000-08-11 | 2002-02-19 | Applied Materials, Inc. | Externally excited torroidal plasma source |
US6551446B1 (en) | 2000-08-11 | 2003-04-22 | Applied Materials Inc. | Externally excited torroidal plasma source with a gas distribution plate |
US7094316B1 (en) | 2000-08-11 | 2006-08-22 | Applied Materials, Inc. | Externally excited torroidal plasma source |
US6547979B1 (en) * | 2000-08-31 | 2003-04-15 | Micron Technology, Inc. | Methods of enhancing selectivity of etching silicon dioxide relative to one or more organic substances; and plasma reaction chambers |
WO2002052060A1 (en) * | 2000-12-26 | 2002-07-04 | Valery Godyak | Inductively coupled plasma reactor |
US6634313B2 (en) | 2001-02-13 | 2003-10-21 | Applied Materials, Inc. | High-frequency electrostatically shielded toroidal plasma and radical source |
JP4799748B2 (ja) * | 2001-03-28 | 2011-10-26 | 忠弘 大見 | マイクロ波プラズマプロセス装置、プラズマ着火方法、プラズマ形成方法及びプラズマプロセス方法 |
US6755150B2 (en) * | 2001-04-20 | 2004-06-29 | Applied Materials Inc. | Multi-core transformer plasma source |
WO2003018867A1 (en) * | 2001-08-29 | 2003-03-06 | Applied Materials, Inc. | Semiconductor processing using an efficiently coupled gas source |
JP4772232B2 (ja) * | 2001-08-29 | 2011-09-14 | アジレント・テクノロジーズ・インク | 高周波増幅回路及び高周波増幅回路の駆動方法 |
US7132996B2 (en) * | 2001-10-09 | 2006-11-07 | Plasma Control Systems Llc | Plasma production device and method and RF driver circuit |
US7100532B2 (en) * | 2001-10-09 | 2006-09-05 | Plasma Control Systems, Llc | Plasma production device and method and RF driver circuit with adjustable duty cycle |
US7084832B2 (en) * | 2001-10-09 | 2006-08-01 | Plasma Control Systems, Llc | Plasma production device and method and RF driver circuit with adjustable duty cycle |
US6991739B2 (en) * | 2001-10-15 | 2006-01-31 | Applied Materials, Inc. | Method of photoresist removal in the presence of a dielectric layer having a low k-value |
US6855906B2 (en) | 2001-10-16 | 2005-02-15 | Adam Alexander Brailove | Induction plasma reactor |
KR100481313B1 (ko) | 2001-11-09 | 2005-04-07 | 최대규 | 유도결합 플라즈마 반응기 |
US6869880B2 (en) * | 2002-01-24 | 2005-03-22 | Applied Materials, Inc. | In situ application of etch back for improved deposition into high-aspect-ratio features |
US6761804B2 (en) | 2002-02-11 | 2004-07-13 | Applied Materials, Inc. | Inverted magnetron |
JP3641785B2 (ja) * | 2002-08-09 | 2005-04-27 | 株式会社京三製作所 | プラズマ発生用電源装置 |
US20030015965A1 (en) * | 2002-08-15 | 2003-01-23 | Valery Godyak | Inductively coupled plasma reactor |
US20070051471A1 (en) * | 2002-10-04 | 2007-03-08 | Applied Materials, Inc. | Methods and apparatus for stripping |
KR100542740B1 (ko) * | 2002-11-11 | 2006-01-11 | 삼성전자주식회사 | 가스 플라즈마 생성 방법 및 장치, 플라즈마 생성용 가스조성물 및 이를 이용한 반도체 장치의 제조 방법 |
US6927358B2 (en) * | 2003-01-31 | 2005-08-09 | Advanced Energy Industries, Inc. | Vacuum seal protection in a dielectric break |
US7355687B2 (en) * | 2003-02-20 | 2008-04-08 | Hunter Engineering Company | Method and apparatus for vehicle service system with imaging components |
DE10308539B3 (de) * | 2003-02-27 | 2004-06-03 | Bauer Maschinen Gmbh | Fräsvorrichtung zum Fräsen von Schlitzen im Boden |
US20040192059A1 (en) * | 2003-03-28 | 2004-09-30 | Mosel Vitelic, Inc. | Method for etching a titanium-containing layer prior to etching an aluminum layer in a metal stack |
US6872909B2 (en) * | 2003-04-16 | 2005-03-29 | Applied Science And Technology, Inc. | Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel |
US8053700B2 (en) * | 2003-04-16 | 2011-11-08 | Mks Instruments, Inc. | Applicators and cooling systems for a plasma device |
US8409400B2 (en) * | 2003-05-07 | 2013-04-02 | Gen Co., Ltd. | Inductive plasma chamber having multi discharge tube bridge |
US20040237897A1 (en) * | 2003-05-27 | 2004-12-02 | Hiroji Hanawa | High-Frequency electrostatically shielded toroidal plasma and radical source |
US7115185B1 (en) | 2003-09-16 | 2006-10-03 | Advanced Energy Industries, Inc. | Pulsed excitation of inductively coupled plasma sources |
USH2212H1 (en) * | 2003-09-26 | 2008-04-01 | The United States Of America As Represented By The Secretary Of The Navy | Method and apparatus for producing an ion-ion plasma continuous in time |
KR100883148B1 (ko) | 2003-12-12 | 2009-02-10 | 세미이큅, 인코포레이티드 | 이온 주입시 설비의 가동 시간을 늘리기 위한 방법과 장치 |
JP2007073539A (ja) * | 2003-12-18 | 2007-03-22 | Tokyo Electron Ltd | 成膜方法およびプラズマ発生方法、基板処理装置 |
US20050194099A1 (en) * | 2004-03-03 | 2005-09-08 | Jewett Russell F.Jr. | Inductively coupled plasma source using induced eddy currents |
EP1733467A1 (de) * | 2004-03-12 | 2006-12-20 | MKS Instruments, Inc. | Steuerschaltung für ein schaltnetzteil |
US20050258137A1 (en) * | 2004-03-24 | 2005-11-24 | Sawin Herbert H | Remote chamber methods for removing surface deposits |
US7244474B2 (en) * | 2004-03-26 | 2007-07-17 | Applied Materials, Inc. | Chemical vapor deposition plasma process using an ion shower grid |
US7695590B2 (en) | 2004-03-26 | 2010-04-13 | Applied Materials, Inc. | Chemical vapor deposition plasma reactor having plural ion shower grids |
US20050211171A1 (en) * | 2004-03-26 | 2005-09-29 | Applied Materials, Inc. | Chemical vapor deposition plasma reactor having an ion shower grid |
US7291360B2 (en) * | 2004-03-26 | 2007-11-06 | Applied Materials, Inc. | Chemical vapor deposition plasma process using plural ion shower grids |
US20050211546A1 (en) * | 2004-03-26 | 2005-09-29 | Applied Materials, Inc. | Reactive sputter deposition plasma process using an ion shower grid |
US7358192B2 (en) * | 2004-04-08 | 2008-04-15 | Applied Materials, Inc. | Method and apparatus for in-situ film stack processing |
US7164095B2 (en) * | 2004-07-07 | 2007-01-16 | Noritsu Koki Co., Ltd. | Microwave plasma nozzle with enhanced plume stability and heating efficiency |
US7307375B2 (en) * | 2004-07-09 | 2007-12-11 | Energetiq Technology Inc. | Inductively-driven plasma light source |
US7183717B2 (en) * | 2004-07-09 | 2007-02-27 | Energetiq Technology Inc. | Inductively-driven light source for microscopy |
US20060017387A1 (en) * | 2004-07-09 | 2006-01-26 | Energetiq Technology Inc. | Inductively-driven plasma light source |
US7948185B2 (en) * | 2004-07-09 | 2011-05-24 | Energetiq Technology Inc. | Inductively-driven plasma light source |
US7199384B2 (en) * | 2004-07-09 | 2007-04-03 | Energetiq Technology Inc. | Inductively-driven light source for lithography |
US8058156B2 (en) | 2004-07-20 | 2011-11-15 | Applied Materials, Inc. | Plasma immersion ion implantation reactor having multiple ion shower grids |
US7767561B2 (en) | 2004-07-20 | 2010-08-03 | Applied Materials, Inc. | Plasma immersion ion implantation reactor having an ion shower grid |
US20060052883A1 (en) * | 2004-09-08 | 2006-03-09 | Lee Sang H | System and method for optimizing data acquisition of plasma using a feedback control module |
US7666464B2 (en) | 2004-10-23 | 2010-02-23 | Applied Materials, Inc. | RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor |
US20060093730A1 (en) * | 2004-11-03 | 2006-05-04 | Applied Materials, Inc. | Monitoring a flow distribution of an energized gas |
WO2006078340A2 (en) * | 2004-11-08 | 2006-07-27 | Mks Instruments, Inc. | Method and apparatus for processing metal bearing gases |
US20060105114A1 (en) * | 2004-11-16 | 2006-05-18 | White John M | Multi-layer high quality gate dielectric for low-temperature poly-silicon TFTs |
US20060118240A1 (en) * | 2004-12-03 | 2006-06-08 | Applied Science And Technology, Inc. | Methods and apparatus for downstream dissociation of gases |
US20060144820A1 (en) * | 2004-12-30 | 2006-07-06 | Sawin Herbert H | Remote chamber methods for removing surface deposits |
US20060144819A1 (en) * | 2004-12-30 | 2006-07-06 | Sawin Herbert H | Remote chamber methods for removing surface deposits |
US20060182886A1 (en) * | 2005-02-15 | 2006-08-17 | Guidotti Emmanuel P | Method and system for improved delivery of a precursor vapor to a processing zone |
KR101121418B1 (ko) * | 2005-02-17 | 2012-03-16 | 주성엔지니어링(주) | 토로이드형 코어를 포함하는 플라즈마 발생장치 |
AU2006223254B2 (en) * | 2005-03-11 | 2012-04-26 | Perkinelmer U.S. Llc | Plasmas and methods of using them |
US20060249507A1 (en) * | 2005-04-11 | 2006-11-09 | Watlow Electric Manufacturing Company | Modular controller user interface and method |
US20060230297A1 (en) * | 2005-04-11 | 2006-10-12 | Watlow Electric Manufacturing Company | Electronic device mounting assembly and method |
US20060229740A1 (en) * | 2005-04-11 | 2006-10-12 | Watlow Electric Manufacturing Company | Portable user interface assembly and method |
US7652888B2 (en) * | 2005-04-11 | 2010-01-26 | Watlow Electric Manufacturing Company | Controller housing with connector retention assembly and method |
US8044329B2 (en) * | 2005-04-11 | 2011-10-25 | Watlow Electric Manufacturing Company | Compact limiter and controller assembly and method |
US7428915B2 (en) | 2005-04-26 | 2008-09-30 | Applied Materials, Inc. | O-ringless tandem throttle valve for a plasma reactor chamber |
US7109098B1 (en) | 2005-05-17 | 2006-09-19 | Applied Materials, Inc. | Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing |
US7422775B2 (en) | 2005-05-17 | 2008-09-09 | Applied Materials, Inc. | Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing |
US7312162B2 (en) | 2005-05-17 | 2007-12-25 | Applied Materials, Inc. | Low temperature plasma deposition process for carbon layer deposition |
US20060260545A1 (en) * | 2005-05-17 | 2006-11-23 | Kartik Ramaswamy | Low temperature absorption layer deposition and high speed optical annealing system |
EP1727186B1 (de) * | 2005-05-23 | 2012-01-25 | New Power Plasma Co., Ltd. | Plasmakammer mit Entladung induzierender Brücke |
DE102005040596B4 (de) * | 2005-06-17 | 2009-02-12 | Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. | Verfahren zur Entfernung einer dotierten Oberflächenschicht an Rückseiten von kristallinen Silizium-Solarwafern |
KR100720989B1 (ko) * | 2005-07-15 | 2007-05-28 | 주식회사 뉴파워 프라즈마 | 멀티 챔버 플라즈마 프로세스 시스템 |
US7323401B2 (en) | 2005-08-08 | 2008-01-29 | Applied Materials, Inc. | Semiconductor substrate process using a low temperature deposited carbon-containing hard mask |
US7429532B2 (en) | 2005-08-08 | 2008-09-30 | Applied Materials, Inc. | Semiconductor substrate process using an optically writable carbon-containing mask |
US7335611B2 (en) | 2005-08-08 | 2008-02-26 | Applied Materials, Inc. | Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer |
US7312148B2 (en) | 2005-08-08 | 2007-12-25 | Applied Materials, Inc. | Copper barrier reflow process employing high speed optical annealing |
US20070051388A1 (en) | 2005-09-06 | 2007-03-08 | Applied Materials, Inc. | Apparatus and methods for using high frequency chokes in a substrate deposition apparatus |
JP2009510698A (ja) * | 2005-09-30 | 2009-03-12 | エナジェティック・テクノロジー・インコーポレーテッド | 誘導駆動型プラズマ光源 |
US20070080141A1 (en) * | 2005-10-07 | 2007-04-12 | Applied Materials, Inc. | Low-voltage inductively coupled source for plasma processing |
US7353771B2 (en) * | 2005-11-07 | 2008-04-08 | Mks Instruments, Inc. | Method and apparatus of providing power to ignite and sustain a plasma in a reactive gas generator |
US7679024B2 (en) * | 2005-12-23 | 2010-03-16 | Lam Research Corporation | Highly efficient gas distribution arrangement for plasma tube of a plasma processing chamber |
US7562638B2 (en) * | 2005-12-23 | 2009-07-21 | Lam Research Corporation | Methods and arrangement for implementing highly efficient plasma traps |
US7554053B2 (en) * | 2005-12-23 | 2009-06-30 | Lam Research Corporation | Corrugated plasma trap arrangement for creating a highly efficient downstream microwave plasma system |
TW200742506A (en) * | 2006-02-17 | 2007-11-01 | Noritsu Koki Co Ltd | Plasma generation apparatus and work process apparatus |
US7524750B2 (en) | 2006-04-17 | 2009-04-28 | Applied Materials, Inc. | Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD |
JP5257917B2 (ja) * | 2006-04-24 | 2013-08-07 | 株式会社ニューパワープラズマ | 多重マグネチックコアが結合された誘導結合プラズマ反応器 |
US20080083701A1 (en) * | 2006-10-04 | 2008-04-10 | Mks Instruments, Inc. | Oxygen conditioning of plasma vessels |
US20080118663A1 (en) * | 2006-10-12 | 2008-05-22 | Applied Materials, Inc. | Contamination reducing liner for inductively coupled chamber |
US7939422B2 (en) | 2006-12-07 | 2011-05-10 | Applied Materials, Inc. | Methods of thin film process |
US7969096B2 (en) | 2006-12-15 | 2011-06-28 | Mks Instruments, Inc. | Inductively-coupled plasma source |
CN101583736A (zh) * | 2007-01-19 | 2009-11-18 | 应用材料股份有限公司 | 浸没式等离子体室 |
JP2009006350A (ja) * | 2007-06-27 | 2009-01-15 | Sony Corp | レーザ加工装置とその加工方法、デブリ回収機構とその回収方法、並びに表示パネルの製造方法 |
WO2009039382A1 (en) | 2007-09-21 | 2009-03-26 | Semequip. Inc. | Method for extending equipment uptime in ion implantation |
KR101595686B1 (ko) * | 2007-10-19 | 2016-02-18 | 엠케이에스 인스트루먼츠, 인코포레이티드 | 높은 가스 유량 공정을 위한 환형 플라즈마 챔버 |
WO2009082763A2 (en) * | 2007-12-25 | 2009-07-02 | Applied Materials, Inc. | Method and apparatus for controlling plasma uniformity |
US9272359B2 (en) | 2008-05-30 | 2016-03-01 | Colorado State University Research Foundation | Liquid-gas interface plasma device |
WO2009146432A1 (en) * | 2008-05-30 | 2009-12-03 | Colorado State University Research Foundation | Plasma-based chemical source device and method of use thereof |
US8994270B2 (en) | 2008-05-30 | 2015-03-31 | Colorado State University Research Foundation | System and methods for plasma application |
WO2009146439A1 (en) * | 2008-05-30 | 2009-12-03 | Colorado State University Research Foundation | System, method and apparatus for generating plasma |
US7914603B2 (en) * | 2008-06-26 | 2011-03-29 | Mks Instruments, Inc. | Particle trap for a plasma source |
US20100074810A1 (en) * | 2008-09-23 | 2010-03-25 | Sang Hun Lee | Plasma generating system having tunable plasma nozzle |
US20100101727A1 (en) * | 2008-10-27 | 2010-04-29 | Helin Ji | Capacitively coupled remote plasma source with large operating pressure range |
US7921804B2 (en) * | 2008-12-08 | 2011-04-12 | Amarante Technologies, Inc. | Plasma generating nozzle having impedance control mechanism |
WO2010089670A1 (en) | 2009-02-04 | 2010-08-12 | General Fusion, Inc. | Systems and methods for compressing plasma |
US20100201272A1 (en) * | 2009-02-09 | 2010-08-12 | Sang Hun Lee | Plasma generating system having nozzle with electrical biasing |
US8692466B2 (en) * | 2009-02-27 | 2014-04-08 | Mks Instruments Inc. | Method and apparatus of providing power to ignite and sustain a plasma in a reactive gas generator |
KR101507738B1 (ko) | 2009-02-27 | 2015-04-06 | 주식회사 뉴파워 프라즈마 | 플라즈마 점화와 전력 제어를 위한 방법 및 장치 |
US20100252047A1 (en) | 2009-04-03 | 2010-10-07 | Kirk Seth M | Remote fluorination of fibrous filter webs |
US20100254853A1 (en) * | 2009-04-06 | 2010-10-07 | Sang Hun Lee | Method of sterilization using plasma generated sterilant gas |
JP2013503430A (ja) * | 2009-08-27 | 2013-01-31 | モザイク・クリスタルズ・リミテッド | 高真空チャンバー用貫通型プラズマ発生装置 |
US8222822B2 (en) * | 2009-10-27 | 2012-07-17 | Tyco Healthcare Group Lp | Inductively-coupled plasma device |
US8771538B2 (en) * | 2009-11-18 | 2014-07-08 | Applied Materials, Inc. | Plasma source design |
US8742665B2 (en) * | 2009-11-18 | 2014-06-03 | Applied Materials, Inc. | Plasma source design |
US9111729B2 (en) | 2009-12-03 | 2015-08-18 | Lam Research Corporation | Small plasma chamber systems and methods |
US8282906B2 (en) * | 2009-12-23 | 2012-10-09 | 3M Innovative Properties Company | Remote plasma synthesis of metal oxide nanoparticles |
US8124942B2 (en) * | 2010-02-16 | 2012-02-28 | Fei Company | Plasma igniter for an inductively coupled plasma ion source |
US9190289B2 (en) * | 2010-02-26 | 2015-11-17 | Lam Research Corporation | System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas |
AU2010349785B2 (en) | 2010-03-31 | 2014-02-27 | Colorado State University Research Foundation | Liquid-gas interface plasma device |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
KR100989316B1 (ko) | 2010-06-25 | 2010-10-25 | 이창경 | 플라즈마-강화 화학 증착장치 |
US9967965B2 (en) | 2010-08-06 | 2018-05-08 | Lam Research Corporation | Distributed, concentric multi-zone plasma source systems, methods and apparatus |
US9155181B2 (en) | 2010-08-06 | 2015-10-06 | Lam Research Corporation | Distributed multi-zone plasma source systems, methods and apparatus |
US9449793B2 (en) * | 2010-08-06 | 2016-09-20 | Lam Research Corporation | Systems, methods and apparatus for choked flow element extraction |
US8999104B2 (en) | 2010-08-06 | 2015-04-07 | Lam Research Corporation | Systems, methods and apparatus for separate plasma source control |
US8624501B2 (en) * | 2010-12-08 | 2014-01-07 | Mks Instruments, Inc. | Measuring and controlling parameters of a plasma generator |
US8741778B2 (en) | 2010-12-14 | 2014-06-03 | Applied Materials, Inc. | Uniform dry etch in two stages |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US9263237B2 (en) * | 2011-02-22 | 2016-02-16 | Gen Co., Ltd. | Plasma processing apparatus and method thereof |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8884525B2 (en) * | 2011-03-22 | 2014-11-11 | Advanced Energy Industries, Inc. | Remote plasma source generating a disc-shaped plasma |
US8497211B2 (en) | 2011-06-24 | 2013-07-30 | Applied Materials, Inc. | Integrated process modulation for PSG gapfill |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US10049881B2 (en) * | 2011-08-10 | 2018-08-14 | Applied Materials, Inc. | Method and apparatus for selective nitridation process |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
US9177762B2 (en) | 2011-11-16 | 2015-11-03 | Lam Research Corporation | System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing |
US10283325B2 (en) | 2012-10-10 | 2019-05-07 | Lam Research Corporation | Distributed multi-zone plasma source systems, methods and apparatus |
US9508530B2 (en) | 2011-11-21 | 2016-11-29 | Lam Research Corporation | Plasma processing chamber with flexible symmetric RF return strap |
US8872525B2 (en) | 2011-11-21 | 2014-10-28 | Lam Research Corporation | System, method and apparatus for detecting DC bias in a plasma processing chamber |
US9083182B2 (en) | 2011-11-21 | 2015-07-14 | Lam Research Corporation | Bypass capacitors for high voltage bias power in the mid frequency RF range |
US9396908B2 (en) | 2011-11-22 | 2016-07-19 | Lam Research Corporation | Systems and methods for controlling a plasma edge region |
US8898889B2 (en) | 2011-11-22 | 2014-12-02 | Lam Research Corporation | Chuck assembly for plasma processing |
US10586686B2 (en) | 2011-11-22 | 2020-03-10 | Law Research Corporation | Peripheral RF feed and symmetric RF return for symmetric RF delivery |
US9263240B2 (en) | 2011-11-22 | 2016-02-16 | Lam Research Corporation | Dual zone temperature control of upper electrodes |
US20130146225A1 (en) * | 2011-12-08 | 2013-06-13 | Mks Instruments, Inc. | Gas injector apparatus for plasma applicator |
US9279722B2 (en) | 2012-04-30 | 2016-03-08 | Agilent Technologies, Inc. | Optical emission system including dichroic beam combiner |
WO2014007472A1 (en) * | 2012-07-03 | 2014-01-09 | Plasmart Inc. | Plasma generation apparatus and plasma generation method |
KR101446159B1 (ko) | 2012-07-03 | 2014-10-02 | 주식회사 플라즈마트 | 플라즈마 발생 장치 및 플라즈마 발생 방법 |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
RU2505949C1 (ru) * | 2012-08-03 | 2014-01-27 | Федеральное государственное бюджетное учреждение науки Институт теплофизики им. С.С. Кутателадзе Сибирского отделения Российской академии наук (ИТ СО РАН) | Трансформаторный плазматрон низкого давления для ионно-плазменной обработки поверхности материалов |
US20140062285A1 (en) | 2012-08-29 | 2014-03-06 | Mks Instruments, Inc. | Method and Apparatus for a Large Area Inductive Plasma Source |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8944003B2 (en) * | 2012-11-16 | 2015-02-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Remote plasma system and method |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US9532826B2 (en) | 2013-03-06 | 2017-01-03 | Covidien Lp | System and method for sinus surgery |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US9555145B2 (en) | 2013-03-13 | 2017-01-31 | Covidien Lp | System and method for biofilm remediation |
KR102009513B1 (ko) * | 2013-03-14 | 2019-08-09 | 엠케이에스 인스트루먼츠, 인코포레이티드 | 토로이달 플라즈마 저감 장치 및 방법 |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US20140272108A1 (en) | 2013-03-15 | 2014-09-18 | Plasmability, Llc | Toroidal Plasma Processing Apparatus |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
CN105474362B (zh) | 2013-08-16 | 2018-05-25 | 应用材料公司 | 用于高温低压力环境的细长的容性耦合的等离子体源 |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US9741918B2 (en) | 2013-10-07 | 2017-08-22 | Hypres, Inc. | Method for increasing the integration level of superconducting electronics circuits, and a resulting circuit |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9155184B2 (en) * | 2013-11-18 | 2015-10-06 | Applied Materials, Inc. | Plasma generation source employing dielectric conduit assemblies having removable interfaces and related assemblies and methods |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9240308B2 (en) * | 2014-03-06 | 2016-01-19 | Applied Materials, Inc. | Hall effect enhanced capacitively coupled plasma source, an abatement system, and vacuum processing system |
KR101548922B1 (ko) * | 2014-03-13 | 2015-09-02 | 주식회사 테라텍 | 고밀도 구속 플라즈마 소스 장치 |
JP6387635B2 (ja) * | 2014-03-17 | 2018-09-12 | 株式会社リコー | プラズマ発生装置及び表面改質装置 |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9336997B2 (en) | 2014-03-17 | 2016-05-10 | Applied Materials, Inc. | RF multi-feed structure to improve plasma uniformity |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9653266B2 (en) | 2014-03-27 | 2017-05-16 | Mks Instruments, Inc. | Microwave plasma applicator with improved power uniformity |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9533909B2 (en) | 2014-03-31 | 2017-01-03 | Corning Incorporated | Methods and apparatus for material processing using atmospheric thermal plasma reactor |
US9550694B2 (en) | 2014-03-31 | 2017-01-24 | Corning Incorporated | Methods and apparatus for material processing using plasma thermal source |
US9284210B2 (en) | 2014-03-31 | 2016-03-15 | Corning Incorporated | Methods and apparatus for material processing using dual source cyclonic plasma reactor |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
RU2558728C1 (ru) * | 2014-05-29 | 2015-08-10 | Федеральное государственное бюджетное учреждение науки Институт теплофизики им. С.С. Кутателадзе Сибирского отделения Российской академии наук (ИТ СО РАН) | Комбинированный индукционно-дуговой плазмотрон и способ поджига индукционного разряда |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
JP5729514B1 (ja) * | 2014-06-14 | 2015-06-03 | プラスウェア株式会社 | プラズマ発生装置、液上溶融方法及び給電装置 |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
BR112017003327B1 (pt) | 2014-08-19 | 2021-01-19 | General Fusion Inc. | sistema e método para controlar campo magnético de plasma |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US10083818B2 (en) | 2014-09-24 | 2018-09-25 | Applied Materials, Inc. | Auto frequency tuned remote plasma source |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US20160200618A1 (en) | 2015-01-08 | 2016-07-14 | Corning Incorporated | Method and apparatus for adding thermal energy to a glass melt |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9736920B2 (en) * | 2015-02-06 | 2017-08-15 | Mks Instruments, Inc. | Apparatus and method for plasma ignition with a self-resonating device |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
TWI670749B (zh) | 2015-03-13 | 2019-09-01 | 美商應用材料股份有限公司 | 耦接至工藝腔室的電漿源 |
US10224186B2 (en) | 2015-03-13 | 2019-03-05 | Aes Global Holdings, Pte. Ltd | Plasma source device and methods |
CN107810542A (zh) | 2015-05-21 | 2018-03-16 | 普拉斯玛比利提有限责任公司 | 具有成形工件夹具的环形等离子体处理装置 |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
JP6548991B2 (ja) * | 2015-08-28 | 2019-07-24 | 株式会社ダイヘン | プラズマ生成装置 |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
ES2814004T3 (es) | 2016-08-09 | 2021-03-25 | John Bean Technologies Corp | Aparato y procedimiento de procesamiento de radiofrecuencia |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
JP6746865B2 (ja) | 2016-09-23 | 2020-08-26 | 株式会社ダイヘン | プラズマ生成装置 |
JP6736443B2 (ja) * | 2016-09-30 | 2020-08-05 | 株式会社ダイヘン | プラズマ発生装置 |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
DE102018204585A1 (de) * | 2017-03-31 | 2018-10-04 | centrotherm international AG | Plasmagenerator, Plasma-Behandlungsvorrichtung und Verfahren zum gepulsten Bereitstellen von elektrischer Leistung |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10264663B1 (en) | 2017-10-18 | 2019-04-16 | Lam Research Corporation | Matchless plasma source for semiconductor wafer fabrication |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10811144B2 (en) * | 2017-11-06 | 2020-10-20 | General Fusion Inc. | System and method for plasma generation and compression |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
JP7301075B2 (ja) | 2018-06-14 | 2023-06-30 | エムケーエス インストゥルメンツ,インコーポレイテッド | リモートプラズマ源用のラジカル出力モニタ及びその使用方法 |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US11019715B2 (en) | 2018-07-13 | 2021-05-25 | Mks Instruments, Inc. | Plasma source having a dielectric plasma chamber with improved plasma resistance |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
US10553403B1 (en) | 2019-05-08 | 2020-02-04 | Mks Instruments, Inc. | Polygonal toroidal plasma source |
US10886104B2 (en) | 2019-06-10 | 2021-01-05 | Advanced Energy Industries, Inc. | Adaptive plasma ignition |
CN110718437A (zh) * | 2019-09-16 | 2020-01-21 | 明远精密科技股份有限公司 | 远距电浆产生装置 |
US11623197B2 (en) * | 2020-01-23 | 2023-04-11 | Lyten, Inc. | Complex modality reactor for materials production and synthesis |
US11688584B2 (en) | 2020-04-29 | 2023-06-27 | Advanced Energy Industries, Inc. | Programmable ignition profiles for enhanced plasma ignition |
US11776793B2 (en) | 2020-11-13 | 2023-10-03 | Applied Materials, Inc. | Plasma source with ceramic electrode plate |
US12068134B2 (en) | 2021-01-29 | 2024-08-20 | Applied Materials, Inc. | Digital control of plasma processing |
US12027426B2 (en) | 2021-01-29 | 2024-07-02 | Applied Materials, Inc. | Image-based digital control of plasma processing |
US20240196506A1 (en) * | 2022-12-08 | 2024-06-13 | Hamamatsu Photonics K.K. | Inductively Coupled Plasma Light Source with Switched Power Supply |
Family Cites Families (178)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3054742A (en) * | 1956-10-26 | 1962-09-18 | Atomic Energy Authority Uk | Gas discharge apparatus |
NL228790A (de) | 1957-06-20 | |||
FR1207566A (fr) * | 1958-06-26 | 1960-02-17 | Trt Telecom Radio Electr | Perfectionnements aux dispositifs d'accord automatique sur une charge largement variable |
US3343022A (en) * | 1965-03-16 | 1967-09-19 | Lockheed Aircraft Corp | Transpiration cooled induction plasma generator |
US3278384A (en) | 1965-04-13 | 1966-10-11 | Lenard Andrew | Negative "v" stellarator |
US3509500A (en) * | 1966-12-05 | 1970-04-28 | Avco Corp | Automatic digital tuning apparatus |
US3500118A (en) | 1967-07-17 | 1970-03-10 | Gen Electric | Electrodeless gaseous electric discharge devices utilizing ferrite cores |
US3433705A (en) * | 1968-02-28 | 1969-03-18 | Atomic Energy Commission | Stellarator having multipole magnets |
US3663361A (en) | 1970-02-17 | 1972-05-16 | Atomic Energy Commission | Nuclear fusion device of the air-core tokamak type |
US3663362A (en) * | 1970-12-22 | 1972-05-16 | Atomic Energy Commission | Controlled fusion reactor |
USH554H (en) | 1972-03-02 | 1988-12-06 | The United States Of America As Represented By The United States Department Of Energy | Toroidal reactor |
US3794941A (en) * | 1972-05-08 | 1974-02-26 | Hughes Aircraft Co | Automatic antenna impedance tuner including digital control circuits |
US3906405A (en) * | 1974-07-01 | 1975-09-16 | Motorola Inc | Tunable antenna coupling circuit |
US5099100A (en) | 1974-08-16 | 1992-03-24 | Branson International Plasma Corporation | Plasma etching device and process |
FR2290126A1 (fr) | 1974-10-31 | 1976-05-28 | Anvar | Perfectionnements apportes aux dispositifs d'excitation, par des ondes hf, d'une colonne de gaz enfermee dans une enveloppe |
US3987334A (en) | 1975-01-20 | 1976-10-19 | General Electric Company | Integrally ballasted electrodeless fluorescent lamp |
US4057462A (en) | 1975-02-26 | 1977-11-08 | The United States Of America As Represented By The United States Energy Research And Development Administration | Radio frequency sustained ion energy |
US4110595A (en) * | 1975-06-19 | 1978-08-29 | The United States Of America As Represented By The United States Department Of Energy | High-frequency plasma-heating apparatus |
US4073680A (en) * | 1975-06-26 | 1978-02-14 | The United States Of America As Represented By The United States Department Of Energy | Toroidal band limiter for a plasma containment device |
JPS5211175A (en) | 1975-07-18 | 1977-01-27 | Toshiba Corp | Activated gas reacting apparatus |
US4263096A (en) * | 1976-02-02 | 1981-04-21 | The United States Of America As Represented By The United States Department Of Energy | Toroidal magnet system |
US4088926A (en) | 1976-05-10 | 1978-05-09 | Nasa | Plasma cleaning device |
US4095198A (en) * | 1977-01-31 | 1978-06-13 | Gte Sylvania Incorporated | Impedance-matching network |
US4859399A (en) | 1977-10-13 | 1989-08-22 | Fdx Patents Holding Company, N.V. | Modular fusion power apparatus using disposable core |
US4180763A (en) | 1978-01-25 | 1979-12-25 | General Electric Company | High intensity discharge lamp geometries |
US4201960A (en) * | 1978-05-24 | 1980-05-06 | Motorola, Inc. | Method for automatically matching a radio frequency transmitter to an antenna |
US4292125A (en) * | 1978-08-21 | 1981-09-29 | Massachusetts Institute Of Technology | System and method for generating steady state confining current for a toroidal plasma fusion reactor |
US4252609A (en) | 1978-11-24 | 1981-02-24 | The United States Of America As Represented By The United States Department Of Energy | Crossed-field divertor for a plasma device |
US4285800A (en) | 1979-04-18 | 1981-08-25 | Branson International Plasma Corp. | Gas plasma reactor for circuit boards and the like |
US4282267A (en) * | 1979-09-20 | 1981-08-04 | Western Electric Co., Inc. | Methods and apparatus for generating plasmas |
SU957744A1 (ru) * | 1980-06-09 | 1996-02-10 | Всесоюзный научно-исследовательский, проектно-конструкторский и технологический институт токов высокой частоты им.В.П.Вологдина | Трансформаторный плазмотрон |
US4324611A (en) | 1980-06-26 | 1982-04-13 | Branson International Plasma Corporation | Process and gas mixture for etching silicon dioxide and silicon nitride |
US4368092A (en) | 1981-04-02 | 1983-01-11 | The Perkin-Elmer Corporation | Apparatus for the etching for semiconductor devices |
JPS57174467A (en) | 1981-04-20 | 1982-10-27 | Inoue Japax Res Inc | Ion working device |
GB2098138B (en) | 1981-05-07 | 1984-11-14 | Hitachi Shipbuilding Eng Co | Slurry carrying ship with drainage devices |
US4350578A (en) | 1981-05-11 | 1982-09-21 | International Business Machines Corporation | Cathode for etching |
US4431898A (en) * | 1981-09-01 | 1984-02-14 | The Perkin-Elmer Corporation | Inductively coupled discharge for plasma etching and resist stripping |
US4486722A (en) | 1982-02-18 | 1984-12-04 | Rockwell International Corporation | Pin diode switched impedance matching network having diode driver circuits transparent to RF potential |
US4431901A (en) * | 1982-07-02 | 1984-02-14 | The United States Of America As Represented By The United States Department Of Energy | Induction plasma tube |
US4486723A (en) | 1983-01-06 | 1984-12-04 | Rca Corporation | Diode switching system for a selectable impedance matching network |
US4601871A (en) * | 1983-05-17 | 1986-07-22 | The United States Of America As Represented By The United States Department Of Energy | Steady state compact toroidal plasma production |
JPS59221694A (ja) * | 1983-05-31 | 1984-12-13 | 株式会社日立製作所 | 核融合装置用真空容器 |
US4626400A (en) | 1983-06-01 | 1986-12-02 | The United States Of America As Represented By The United States Department Of Energy | Variable control of neutron albedo in toroidal fusion devices |
JPS6050486A (ja) | 1983-08-30 | 1985-03-20 | 三菱電機株式会社 | 核融合装置 |
JPS6048195U (ja) * | 1983-09-09 | 1985-04-04 | 三菱重工業株式会社 | 真空容器の絶縁シ−ル装置 |
JPS6056298U (ja) * | 1983-09-26 | 1985-04-19 | 株式会社日立製作所 | 核融合装置用真空容器 |
USH268H (en) * | 1984-03-20 | 1987-05-05 | The United States Of America As Represented By The United States Department Of Energy | Elmo bumpy square plasma confinement device |
JPS611024A (ja) * | 1984-06-12 | 1986-01-07 | Mitsubishi Electric Corp | 半導体回路製造装置 |
US4668366A (en) | 1984-08-02 | 1987-05-26 | The Perkin-Elmer Corporation | Optical figuring by plasma assisted chemical transport and etching apparatus therefor |
JPS6180088A (ja) * | 1984-09-28 | 1986-04-23 | 株式会社東芝 | 核融合装置の真空容器 |
JPS61139029A (ja) | 1984-12-10 | 1986-06-26 | Mitsubishi Electric Corp | シリコンイオンビ−ムによる加工方法 |
JPH0697660B2 (ja) * | 1985-03-23 | 1994-11-30 | 日本電信電話株式会社 | 薄膜形成方法 |
US4794217A (en) | 1985-04-01 | 1988-12-27 | Qing Hua University | Induction system for rapid heat treatment of semiconductor wafers |
US4631105A (en) | 1985-04-22 | 1986-12-23 | Branson International Plasma Corporation | Plasma etching apparatus |
US4680694A (en) | 1985-04-22 | 1987-07-14 | National Distillers And Chemical Corporation | Ozonator power supply |
US4793975A (en) | 1985-05-20 | 1988-12-27 | Tegal Corporation | Plasma Reactor with removable insert |
US4679007A (en) * | 1985-05-20 | 1987-07-07 | Advanced Energy, Inc. | Matching circuit for delivering radio frequency electromagnetic energy to a variable impedance load |
DE3522569A1 (de) | 1985-06-24 | 1987-01-02 | Metallgesellschaft Ag | Stromversorgung fuer ein elektrofilter |
CA1246762A (en) | 1985-07-05 | 1988-12-13 | Zenon Zakrzewski | Surface wave launchers to produce plasma columns and means for producing plasma of different shapes |
US4668336A (en) | 1985-07-23 | 1987-05-26 | Micronix Corporation | Process for making a mask used in x-ray photolithography |
US4734247A (en) * | 1985-08-28 | 1988-03-29 | Ga Technologies Inc. | Helical shaping method and apparatus to produce large translational transform in pinch plasma magnetic confinement |
JPS6269520A (ja) * | 1985-09-21 | 1987-03-30 | Semiconductor Energy Lab Co Ltd | 光cvd法により凹部を充填する方法 |
USH627H (en) | 1985-10-03 | 1989-04-04 | The United States Of America As Represented By The United States Department Of Energy | Spherical torus fusion reactor |
NL8503008A (nl) | 1985-11-04 | 1987-06-01 | Philips Nv | Gelijkstroom-wisselstroomomzetter voor het ontsteken en voeden van een ontladingslamp. |
US4735765A (en) * | 1985-11-26 | 1988-04-05 | The United States Of America As Represented By The United States Department Of Energy | Flexible helical-axis stellarator |
DE3603947A1 (de) * | 1986-02-06 | 1987-08-13 | Stiehl Hans Henrich Dr | System zur dosierung von luftgetragenen ionen mit hoher genauigkeit und verbessertem wirkungsgrad zur eliminierung elektrostatischer flaechenladungen |
US4767590A (en) * | 1986-04-25 | 1988-08-30 | The United States Of America As Represented By The United States Department Of Energy | Anomalous - viscosity current drive |
JPH0810258B2 (ja) * | 1986-06-02 | 1996-01-31 | 株式会社日立製作所 | プラズマ閉じ込め方法 |
US4897282A (en) | 1986-09-08 | 1990-01-30 | Iowa State University Reserach Foundation, Inc. | Thin film coating process using an inductively coupled plasma |
US4786352A (en) | 1986-09-12 | 1988-11-22 | Benzing Technologies, Inc. | Apparatus for in-situ chamber cleaning |
US4859908A (en) | 1986-09-24 | 1989-08-22 | Matsushita Electric Industrial Co., Ltd. | Plasma processing apparatus for large area ion irradiation |
ES2003363A6 (es) | 1986-10-02 | 1988-11-01 | Gh Ind Sa | Perfeccionamientos en generadores de alta frecuencia para aplicaciones de calentamiento por induccion laser plasma y similares |
US5773919A (en) * | 1986-10-02 | 1998-06-30 | Electron Power Systems | Electron spiral toroid |
JPS63210797A (ja) * | 1987-02-27 | 1988-09-01 | 株式会社東芝 | 核融合装置 |
US4766287A (en) * | 1987-03-06 | 1988-08-23 | The Perkin-Elmer Corporation | Inductively coupled plasma torch with adjustable sample injector |
US4877757A (en) | 1987-07-16 | 1989-10-31 | Texas Instruments Incorporated | Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma |
JPH01122363A (ja) * | 1987-10-31 | 1989-05-15 | Japan Atom Energy Res Inst | 加速電源装置の保護回路 |
JP2805009B2 (ja) * | 1988-05-11 | 1998-09-30 | 株式会社日立製作所 | プラズマ発生装置及びプラズマ元素分析装置 |
US4853250A (en) | 1988-05-11 | 1989-08-01 | Universite De Sherbrooke | Process of depositing particulate material on a substrate |
JPH068510B2 (ja) * | 1988-09-02 | 1994-02-02 | 日本電信電話株式会社 | プラズマ/イオン生成源およびプラズマ/イオン処理装置 |
US4996077A (en) | 1988-10-07 | 1991-02-26 | Texas Instruments Incorporated | Distributed ECR remote plasma processing and apparatus |
US4918031A (en) * | 1988-12-28 | 1990-04-17 | American Telephone And Telegraph Company,At&T Bell Laboratories | Processes depending on plasma generation using a helical resonator |
GB8905073D0 (en) | 1989-03-06 | 1989-04-19 | Nordiko Ltd | Ion gun |
US4985113A (en) * | 1989-03-10 | 1991-01-15 | Hitachi, Ltd. | Sample treating method and apparatus |
JPH02260399A (ja) * | 1989-03-31 | 1990-10-23 | Fuji Denpa Koki Kk | 高気圧プラズマアーク発生方法 |
US5061838A (en) | 1989-06-23 | 1991-10-29 | Massachusetts Institute Of Technology | Toroidal electron cyclotron resonance reactor |
JP2779000B2 (ja) * | 1989-08-07 | 1998-07-23 | 日本電子株式会社 | 誘導プラズマ発生装置 |
US4948458A (en) | 1989-08-14 | 1990-08-14 | Lam Research Corporation | Method and apparatus for producing magnetically-coupled planar plasma |
US5106827A (en) | 1989-09-18 | 1992-04-21 | The Perkin Elmer Corporation | Plasma assisted oxidation of perovskites for forming high temperature superconductors using inductively coupled discharges |
RU2022917C1 (ru) | 1989-09-27 | 1994-11-15 | Уланов Игорь Максимович | Способ получения окиси азота |
US5223457A (en) * | 1989-10-03 | 1993-06-29 | Applied Materials, Inc. | High-frequency semiconductor wafer processing method using a negative self-bias |
US5030889A (en) | 1989-12-21 | 1991-07-09 | General Electric Company | Lamp ballast configuration |
US5000771A (en) | 1989-12-29 | 1991-03-19 | At&T Bell Laboratories | Method for manufacturing an article comprising a refractory dielectric body |
JP3381916B2 (ja) | 1990-01-04 | 2003-03-04 | マトソン テクノロジー,インコーポレイテッド | 低周波誘導型高周波プラズマ反応装置 |
US5016332A (en) | 1990-04-13 | 1991-05-21 | Branson International Plasma Corporation | Plasma reactor and process with wafer temperature control |
US5130003A (en) | 1990-06-14 | 1992-07-14 | Conrad Richard H | method of powering corona discharge in ozone generators |
US5008593A (en) | 1990-07-13 | 1991-04-16 | The United States Of America As Represented By The Secretary Of The Air Force | Coaxial liquid cooling of high power microwave excited plasma UV lamps |
JPH0492414A (ja) * | 1990-08-08 | 1992-03-25 | Mitsubishi Electric Corp | 薄膜形成装置 |
DE4035272A1 (de) | 1990-11-02 | 1992-05-07 | Sorbios Gmbh | Vorrichtung zur erzeugung von ozon aus sauerstoff |
US5365147A (en) | 1990-11-28 | 1994-11-15 | Nichimen Kabushiki Kaisha | Plasma stabilizing apparatus employing feedback controls |
US5200595A (en) | 1991-04-12 | 1993-04-06 | Universite De Sherbrooke | High performance induction plasma torch with a water-cooled ceramic confinement tube |
US5206516A (en) | 1991-04-29 | 1993-04-27 | International Business Machines Corporation | Low energy, steered ion beam deposition system having high current at low pressure |
US5254830A (en) | 1991-05-07 | 1993-10-19 | Hughes Aircraft Company | System for removing material from semiconductor wafers using a contained plasma |
DE4119362A1 (de) | 1991-06-12 | 1992-12-17 | Leybold Ag | Teilchenquelle, insbesondere fuer reaktive ionenaetz- und plasmaunterstuetzte cvd-verfahren |
US5187454A (en) | 1992-01-23 | 1993-02-16 | Applied Materials, Inc. | Electronically tuned matching network using predictor-corrector control system |
US6063233A (en) | 1991-06-27 | 2000-05-16 | Applied Materials, Inc. | Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna |
DE9109503U1 (de) | 1991-07-31 | 1991-10-17 | Magtron Magneto Elektronische Geraete Gmbh, 7583 Ottersweier | Schaltungsanordnung für ein Stromversorgungsgerät für Geräte und Anlagen der Plasma- und Oberflächentechnik |
US5353314A (en) | 1991-09-30 | 1994-10-04 | The United States Of America As Represented By The United States Department Of Energy | Electric field divertor plasma pump |
JP3231367B2 (ja) * | 1991-10-16 | 2001-11-19 | 益弘 小駒 | グロープラズマ反応方法 |
JP3109871B2 (ja) | 1991-10-21 | 2000-11-20 | 関東電化工業株式会社 | 物品の水切り・乾燥方法及び装置 |
US5285372A (en) | 1991-10-23 | 1994-02-08 | Henkel Corporation | Power supply for an ozone generator with a bridge inverter |
US5153484A (en) | 1991-10-31 | 1992-10-06 | General Electric Company | Electrodeless high intensity discharge lamp excitation coil and ballast configuration for maximum efficiency |
US5479072A (en) * | 1991-11-12 | 1995-12-26 | General Electric Company | Low mercury arc discharge lamp containing neodymium |
JPH05166595A (ja) | 1991-12-12 | 1993-07-02 | Fuji Denpa Koki Kk | 高気圧高密度プラズマ発生方法 |
US5291415A (en) | 1991-12-13 | 1994-03-01 | Hughes Aircraft Company | Method to determine tool paths for thinning and correcting errors in thickness profiles of films |
US5336355A (en) | 1991-12-13 | 1994-08-09 | Hughes Aircraft Company | Methods and apparatus for confinement of a plasma etch region for precision shaping of surfaces of substances and films |
US5290382A (en) * | 1991-12-13 | 1994-03-01 | Hughes Aircraft Company | Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films |
US5180150A (en) | 1992-01-24 | 1993-01-19 | Hughes Danbury Optical Systems, Inc. | Apparatus for providing consistent registration of semiconductor wafers |
US5280154A (en) | 1992-01-30 | 1994-01-18 | International Business Machines Corporation | Radio frequency induction plasma processing system utilizing a uniform field coil |
US5238532A (en) | 1992-02-27 | 1993-08-24 | Hughes Aircraft Company | Method and apparatus for removal of subsurface damage in semiconductor materials by plasma etching |
DE69304522T2 (de) * | 1992-04-16 | 1997-01-23 | Advanced Energy Ind Inc | Stabilisator fuer schalt-mode geleistet radio-frequenz plasma einrichtung |
US5277751A (en) * | 1992-06-18 | 1994-01-11 | Ogle John S | Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window |
US5397962A (en) | 1992-06-29 | 1995-03-14 | Texas Instruments Incorporated | Source and method for generating high-density plasma with inductive power coupling |
US5700297A (en) | 1992-08-28 | 1997-12-23 | Ipec Precision, Inc. | Apparatus for providing consistent, non-jamming registration of notched semiconductor wafers |
US5352249A (en) | 1992-08-28 | 1994-10-04 | Hughes Aircraft Company | Apparatus for providing consistent, non-jamming registration of semiconductor wafers |
DE4231905C2 (de) * | 1992-09-18 | 1999-05-20 | Stiehl Hans Henrich Dr | Vorrichtung zur Messung von Ionen in einem Gas |
US5414238A (en) * | 1992-10-02 | 1995-05-09 | Martin Marietta Corporation | Resonant power supply for an arcjet thruster |
US5359180A (en) | 1992-10-02 | 1994-10-25 | General Electric Company | Power supply system for arcjet thrusters |
US5346578A (en) * | 1992-11-04 | 1994-09-13 | Novellus Systems, Inc. | Induction plasma source |
JPH0732078B2 (ja) | 1993-01-14 | 1995-04-10 | 株式会社アドテック | 高周波プラズマ用電源及びインピーダンス整合装置 |
US5401350A (en) * | 1993-03-08 | 1995-03-28 | Lsi Logic Corporation | Coil configurations for improved uniformity in inductively coupled plasma systems |
US5372674A (en) | 1993-05-14 | 1994-12-13 | Hughes Aircraft Company | Electrode for use in a plasma assisted chemical etching process |
US5298103A (en) | 1993-07-15 | 1994-03-29 | Hughes Aircraft Company | Electrode assembly useful in confined plasma assisted chemical etching |
US5430355A (en) * | 1993-07-30 | 1995-07-04 | Texas Instruments Incorporated | RF induction plasma source for plasma processing |
US5364496A (en) | 1993-08-20 | 1994-11-15 | Hughes Aircraft Company | Highly durable noncontaminating surround materials for plasma etching |
US5449432A (en) * | 1993-10-25 | 1995-09-12 | Applied Materials, Inc. | Method of treating a workpiece with a plasma and processing reactor having plasma igniter and inductive coupler for semiconductor fabrication |
JP3228644B2 (ja) * | 1993-11-05 | 2001-11-12 | 東京エレクトロン株式会社 | 真空処理装置用素材及びその製造方法 |
US5610102A (en) | 1993-11-15 | 1997-03-11 | Integrated Process Equipment Corp. | Method for co-registering semiconductor wafers undergoing work in one or more blind process modules |
US5419803A (en) | 1993-11-17 | 1995-05-30 | Hughes Aircraft Company | Method of planarizing microstructures |
US5467013A (en) * | 1993-12-07 | 1995-11-14 | Sematech, Inc. | Radio frequency monitor for semiconductor process control |
US5468296A (en) * | 1993-12-17 | 1995-11-21 | Lsi Logic Corporation | Apparatus for igniting low pressure inductively coupled plasma |
US5565036A (en) | 1994-01-19 | 1996-10-15 | Tel America, Inc. | Apparatus and method for igniting plasma in a process module |
JP3279038B2 (ja) | 1994-01-31 | 2002-04-30 | ソニー株式会社 | プラズマ装置およびこれを用いたプラズマ処理方法 |
JP3365067B2 (ja) | 1994-02-10 | 2003-01-08 | ソニー株式会社 | プラズマ装置およびこれを用いたプラズマ処理方法 |
US5798016A (en) | 1994-03-08 | 1998-08-25 | International Business Machines Corporation | Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability |
US5406177A (en) | 1994-04-18 | 1995-04-11 | General Electric Company | Gas discharge lamp ballast circuit with compact starting circuit |
US5556549A (en) | 1994-05-02 | 1996-09-17 | Lsi Logic Corporation | Power control and delivery in plasma processing equipment |
US5514246A (en) | 1994-06-02 | 1996-05-07 | Micron Technology, Inc. | Plasma reactors and method of cleaning a plasma reactor |
EP0697467A1 (de) * | 1994-07-21 | 1996-02-21 | Applied Materials, Inc. | Verfahren und Vorrichtung zur Reinigung einer Beschichtungskammer |
US5637279A (en) | 1994-08-31 | 1997-06-10 | Applied Science & Technology, Inc. | Ozone and other reactive gas generator cell and system |
US5563709A (en) | 1994-09-13 | 1996-10-08 | Integrated Process Equipment Corp. | Apparatus for measuring, thinning and flattening silicon structures |
US5515167A (en) | 1994-09-13 | 1996-05-07 | Hughes Aircraft Company | Transparent optical chuck incorporating optical monitoring |
US5567255A (en) | 1994-10-13 | 1996-10-22 | Integrated Process Equipment Corp. | Solid annular gas discharge electrode |
US5576629A (en) * | 1994-10-24 | 1996-11-19 | Fourth State Technology, Inc. | Plasma monitoring and control method and system |
US5585766A (en) | 1994-10-27 | 1996-12-17 | Applied Materials, Inc. | Electrically tuned matching networks using adjustable inductance elements |
US5811022A (en) | 1994-11-15 | 1998-09-22 | Mattson Technology, Inc. | Inductive plasma reactor |
US5473291A (en) | 1994-11-16 | 1995-12-05 | Brounley Associates, Inc. | Solid state plasma chamber tuner |
DE69509046T2 (de) * | 1994-11-30 | 1999-10-21 | Applied Materials, Inc. | Plasmareaktoren zur Behandlung von Halbleiterscheiben |
JP3150058B2 (ja) * | 1994-12-05 | 2001-03-26 | 東京エレクトロン株式会社 | プラズマ処理装置及びプラズマ処理方法 |
US5468955A (en) | 1994-12-20 | 1995-11-21 | International Business Machines Corporation | Neutral beam apparatus for in-situ production of reactants and kinetic energy transfer |
JP3426382B2 (ja) | 1995-01-24 | 2003-07-14 | アネルバ株式会社 | プラズマ処理装置 |
US5568015A (en) | 1995-02-16 | 1996-10-22 | Applied Science And Technology, Inc. | Fluid-cooled dielectric window for a plasma system |
JP3257328B2 (ja) | 1995-03-16 | 2002-02-18 | 株式会社日立製作所 | プラズマ処理装置及びプラズマ処理方法 |
JPH08292278A (ja) * | 1995-04-21 | 1996-11-05 | Hitachi Ltd | プラズマ対抗材料 |
US5688415A (en) | 1995-05-30 | 1997-11-18 | Ipec Precision, Inc. | Localized plasma assisted chemical etching through a mask |
JPH097795A (ja) * | 1995-06-21 | 1997-01-10 | Mitsubishi Electric Corp | Ecrプロセス装置 |
US5834905A (en) | 1995-09-15 | 1998-11-10 | Osram Sylvania Inc. | High intensity electrodeless low pressure light source driven by a transformer core arrangement |
US6253704B1 (en) * | 1995-10-13 | 2001-07-03 | Mattson Technology, Inc. | Apparatus and method for pulsed plasma processing of a semiconductor substrate |
US5965034A (en) | 1995-12-04 | 1999-10-12 | Mc Electronics Co., Ltd. | High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced |
US5756400A (en) * | 1995-12-08 | 1998-05-26 | Applied Materials, Inc. | Method and apparatus for cleaning by-products from plasma chamber surfaces |
US5767628A (en) | 1995-12-20 | 1998-06-16 | International Business Machines Corporation | Helicon plasma processing tool utilizing a ferromagnetic induction coil with an internal cooling channel |
JP3328498B2 (ja) | 1996-02-16 | 2002-09-24 | 株式会社荏原製作所 | 高速原子線源 |
US5630880A (en) | 1996-03-07 | 1997-05-20 | Eastlund; Bernard J. | Method and apparatus for a large volume plasma processor that can utilize any feedstock material |
US5892198A (en) | 1996-03-29 | 1999-04-06 | Lam Research Corporation | Method of and apparatus for electronically controlling r.f. energy supplied to a vacuum plasma processor and memory for same |
USD384173S (en) | 1996-07-19 | 1997-09-23 | Osram Sylvania Inc. | Electrodeless compact fluorescent lamp |
US5814154A (en) | 1997-01-23 | 1998-09-29 | Gasonics International | Short-coupled-path extender for plasma source |
US5914278A (en) | 1997-01-23 | 1999-06-22 | Gasonics International | Backside etch process chamber and method |
US6424232B1 (en) * | 1999-11-30 | 2002-07-23 | Advanced Energy's Voorhees Operations | Method and apparatus for matching a variable load impedance with an RF power generator impedance |
-
1997
- 1997-06-26 US US08/883,281 patent/US6150628A/en not_active Expired - Lifetime
-
1998
- 1998-06-23 EP EP03002613A patent/EP1313128B1/de not_active Expired - Lifetime
- 1998-06-23 WO PCT/US1998/013155 patent/WO1999000823A1/en active IP Right Grant
- 1998-06-23 EP EP03002583A patent/EP1310981B1/de not_active Expired - Lifetime
- 1998-06-23 DE DE69811497T patent/DE69811497T2/de not_active Expired - Lifetime
- 1998-06-23 EP EP03002617A patent/EP1313132B1/de not_active Expired - Lifetime
- 1998-06-23 EP EP03002582A patent/EP1310980B1/de not_active Expired - Lifetime
- 1998-06-23 JP JP50568899A patent/JP4070152B2/ja not_active Expired - Lifetime
- 1998-06-23 DE DE69842098T patent/DE69842098D1/de not_active Expired - Lifetime
- 1998-06-23 EP EP03002614A patent/EP1313129B1/de not_active Expired - Lifetime
- 1998-06-23 DE DE69841964T patent/DE69841964D1/de not_active Expired - Lifetime
- 1998-06-23 DE DE69841963T patent/DE69841963D1/de not_active Expired - Lifetime
- 1998-06-23 EP EP03002615A patent/EP1313130B1/de not_active Expired - Lifetime
- 1998-06-23 DE DE69841962T patent/DE69841962D1/de not_active Expired - Lifetime
- 1998-06-23 DE DE69841965T patent/DE69841965D1/de not_active Expired - Lifetime
- 1998-06-23 DE DE69842259T patent/DE69842259D1/de not_active Expired - Lifetime
- 1998-06-23 EP EP98930492A patent/EP0992059B1/de not_active Expired - Lifetime
- 1998-06-23 EP EP03002616A patent/EP1313131B1/de not_active Expired - Lifetime
- 1998-06-23 EP EP10176063A patent/EP2256781B1/de not_active Expired - Lifetime
- 1998-06-23 DE DE69841961T patent/DE69841961D1/de not_active Expired - Lifetime
-
2000
- 2000-09-12 US US09/659,881 patent/US6486431B1/en not_active Expired - Lifetime
-
2002
- 2002-05-10 US US10/143,070 patent/US6664497B2/en not_active Expired - Lifetime
- 2002-05-10 US US10/143,061 patent/US6559408B2/en not_active Expired - Lifetime
-
2003
- 2003-10-20 US US10/689,165 patent/US7161112B2/en not_active Expired - Fee Related
-
2006
- 2006-11-24 JP JP2006317424A patent/JP4791941B2/ja not_active Expired - Lifetime
- 2006-12-28 JP JP2006354857A patent/JP2007165325A/ja active Pending
-
2008
- 2008-05-14 JP JP2008126850A patent/JP4431183B2/ja not_active Expired - Lifetime
-
2009
- 2009-04-24 JP JP2009106092A patent/JP4608583B2/ja not_active Expired - Lifetime
Also Published As
Similar Documents
Publication | Publication Date | Title |
---|---|---|
DE69811497T2 (de) | Torusförmige reaktivgasquelle mit niedriger feldstärke | |
DE69814687T2 (de) | Plasmavorrichtung mit einem mit einer spannungsquelle verbundenen metallteil, das zwischen einer rf-plasma-anregungsquelle und dem plasma angeordnet ist | |
DE68924413T2 (de) | Radiofrequenzinduktion/Mehrpolplasma-Bearbeitungsvorrichtung. | |
DE69421033T2 (de) | RF induktive Plasmaquelle zur Plasmabehandlung | |
US6924455B1 (en) | Integrated plasma chamber and inductively-coupled toroidal plasma source | |
DE69128345T2 (de) | Induktiver plasmareaktor im unteren hochfrequenzbereich | |
DE3750808T2 (de) | Verfahren und Vorrichtung zur Ionenätzung. | |
DE4132558C1 (de) | ||
DE4319717A1 (de) | Vorrichtung zum Erzeugen planaren Niedrigdruckplasmas unter Verwendung einer Spule mit deren Achse parallel zu der Oberfläche eines Koppelfensters | |
DE212007000107U1 (de) | Ringförmige Plasmakammer für Prozesse mit hohen Gasdurchflussraten | |
DE69030347T2 (de) | Plasmaprozess, Verfahren und Gerät | |
DE3688860T2 (de) | Mittels Elektronenstrahl angeregte Ionenstrahlquelle. | |
DE2950330C2 (de) | Vorrichtung zur chemischen Analyse von Proben | |
EP0607787A2 (de) | Vorrichtung zum Beschichten oder Ätzen von Substraten | |
EP1275133A1 (de) | Vorrichtung und verfahren zum ätzen eines substrates mittels eines induktiv gekoppelten plasmas | |
DE102022112132A1 (de) | Verfahren und vorrichtung zur plasmagestützten atomlagenabscheidung |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
8327 | Change in the person/name/address of the patent owner |
Owner name: APPLIED SCIENCE AND TECHNOLOGY, INC., WILMINGTON, |
|
8328 | Change in the person/name/address of the agent |
Representative=s name: PATENT- UND RECHTSANWAELTE BARDEHLE, PAGENBERG, DOS |
|
8310 | Action for declaration of annulment | ||
8364 | No opposition during term of opposition | ||
8313 | Request for invalidation rejected/withdrawn | ||
8327 | Change in the person/name/address of the patent owner |
Owner name: MKS INSTRUMENTS, INC., WILMINGTON, MASS., US |
|
8381 | Inventor (new situation) |
Inventor name: SMITH, K., DONALD, BELMONT, US Inventor name: CHEN, XING, LEXINGTON, US Inventor name: HOLBER, M., WILLIAM, WINCHESTER, US Inventor name: GEORGELIS, ERIC, CANTON, US |