JP2008218431A - トロイダル・プラズマ・チャンバ - Google Patents
トロイダル・プラズマ・チャンバ Download PDFInfo
- Publication number
- JP2008218431A JP2008218431A JP2008126850A JP2008126850A JP2008218431A JP 2008218431 A JP2008218431 A JP 2008218431A JP 2008126850 A JP2008126850 A JP 2008126850A JP 2008126850 A JP2008126850 A JP 2008126850A JP 2008218431 A JP2008218431 A JP 2008218431A
- Authority
- JP
- Japan
- Prior art keywords
- plasma
- plasma chamber
- chamber
- source
- primary winding
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J27/00—Ion beam tubes
- H01J27/02—Ion sources; Ion guns
- H01J27/16—Ion sources; Ion guns using high-frequency excitation, e.g. microwave excitation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/321—Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32357—Generation remote from the workpiece, e.g. down-stream
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32798—Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
- H01J37/32853—Hygiene
- H01J37/32862—In situ cleaning of vessels and/or internal parts
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Health & Medical Sciences (AREA)
- Combustion & Propulsion (AREA)
- Epidemiology (AREA)
- Public Health (AREA)
- Plasma Technology (AREA)
- Drying Of Semiconductors (AREA)
- Chemical Vapour Deposition (AREA)
- Electron Sources, Ion Sources (AREA)
- Physical Or Chemical Processes And Apparatus (AREA)
Abstract
【解決手段】トロイダル低電場プラズマソースとともに用いられ得る金属製プラズマチャンバ100は、第1の誘電体領域108および第2の誘電体領域110を含む。誘電体領域108および110は、プラズマチャンバ100を、第1の領域112および第2の領域114に電気的に分離する。第1の領域112および第2の領域114の各々は、高度真空シールにより誘電体領域108、110に接続されることにより、プラズマチャンバ100を形成している。誘電体領域108、110は、プラズマチャンバ100の組み合わせ面116を分離する誘電体スペーサを有してなっていてもよい。
【選択図】図3
Description
本発明の主要な発見は、スイッチング半導体デバイスが、変圧器の2次回路を形成するように、電磁的エネルギーをプラズマへ連結する電力変圧器の1次巻線を効率的に駆動させるために用いられ得ることである。誘導的駆動型トロイダルプラズマソースが金属性プラズマチャンバを備えて構成され得ることは、本発明の別の主要な発見である。
Claims (5)
- 反応性ガスを発生するトロイダル・プラズマ・チャンバであって、
ガスを受け取る入口と、
金属材料とコーティングされた金属材料との少なくとも一方で構成されており前記ガスを保持する少なくとも1つのプラズマ・チャンバ壁と、
前記プラズマ・チャンバの複数の部分を電気的に分離して前記プラズマ・チャンバの内部に誘導電流が形成されることを防止し、前記プラズマ・チャンバの内部に形成されたプラズマから少なくとも1つのプラズマ・チャンバ壁によって保護される少なくとも1つの誘電スペーサと、
前記プラズマと前記ガスとの相互作用によって発生された反応性ガスを出力する出口と、
を含むことを特徴とするトロイダル・プラズマ・チャンバ。 - 反応性ガスを発生するトロイダル・プラズマ・チャンバであって、
ガスを受け取る入口と、
金属材料とコーティングされた金属材料と誘電材料との少なくとも1つで構成されており前記ガスを保持する1又は複数のプラズマ・チャンバ壁であって、前記プラズマ・チャンバのある領域を電気的に分離して前記プラズマ・チャンバの内部に誘導電流が形成されることを防止する少なくとも1つの誘電スペーサを受け取ることができ、前記プラズマ・チャンバの内部に形成されたプラズマから前記少なくとも1つの誘電スペーサを保護することができる1又は複数のプラズマ・チャンバ壁と、
前記プラズマと前記ガスとの相互作用によって発生された反応性ガスを出力する出口と、
を含むことを特徴とするトロイダル・プラズマ・チャンバ。 - 請求項2記載のトロイダル・プラズマ・チャンバにおいて、少なくとも1つの誘電スペーサを更に含むことを特徴とするトロイダル・プラズマ・チャンバ。
- 請求項2記載のトロイダル・プラズマ・チャンバにおいて、前記誘電スペーサに隣接して配置された真空シールを更に含むことを特徴とするトロイダル・プラズマ・チャンバ。
- 請求項3記載のトロイダル・プラズマ・チャンバにおいて、前記誘電スペーサに隣接して配置された真空シールを更に含むことを特徴とするトロイダル・プラズマ・チャンバ。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US08/883,281 US6150628A (en) | 1997-06-26 | 1997-06-26 | Toroidal low-field reactive gas source |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2006317424A Division JP4791941B2 (ja) | 1997-06-26 | 2006-11-24 | トロイダル・プラズマ・チャンバ |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2008218431A true JP2008218431A (ja) | 2008-09-18 |
JP4431183B2 JP4431183B2 (ja) | 2010-03-10 |
Family
ID=25382309
Family Applications (5)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP50568899A Expired - Lifetime JP4070152B2 (ja) | 1997-06-26 | 1998-06-23 | トロイダル低電場反応性ガスソース |
JP2006317424A Expired - Lifetime JP4791941B2 (ja) | 1997-06-26 | 2006-11-24 | トロイダル・プラズマ・チャンバ |
JP2006354857A Pending JP2007165325A (ja) | 1997-06-26 | 2006-12-28 | 反応性ガス発生装置及び方法 |
JP2008126850A Expired - Lifetime JP4431183B2 (ja) | 1997-06-26 | 2008-05-14 | トロイダル・プラズマ・チャンバ |
JP2009106092A Expired - Lifetime JP4608583B2 (ja) | 1997-06-26 | 2009-04-24 | トロイダル・プラズマ・チャンバ |
Family Applications Before (3)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP50568899A Expired - Lifetime JP4070152B2 (ja) | 1997-06-26 | 1998-06-23 | トロイダル低電場反応性ガスソース |
JP2006317424A Expired - Lifetime JP4791941B2 (ja) | 1997-06-26 | 2006-11-24 | トロイダル・プラズマ・チャンバ |
JP2006354857A Pending JP2007165325A (ja) | 1997-06-26 | 2006-12-28 | 反応性ガス発生装置及び方法 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2009106092A Expired - Lifetime JP4608583B2 (ja) | 1997-06-26 | 2009-04-24 | トロイダル・プラズマ・チャンバ |
Country Status (5)
Country | Link |
---|---|
US (5) | US6150628A (ja) |
EP (9) | EP1313128B1 (ja) |
JP (5) | JP4070152B2 (ja) |
DE (8) | DE69811497T2 (ja) |
WO (1) | WO1999000823A1 (ja) |
Cited By (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100989316B1 (ko) | 2010-06-25 | 2010-10-25 | 이창경 | 플라즈마-강화 화학 증착장치 |
JP2015500557A (ja) * | 2011-12-08 | 2015-01-05 | エム ケー エス インストルメンツインコーポレーテッドMks Instruments,Incorporated | プラズマ・アプリケーター用のガス注入器 |
KR101507738B1 (ko) | 2009-02-27 | 2015-04-06 | 주식회사 뉴파워 프라즈마 | 플라즈마 점화와 전력 제어를 위한 방법 및 장치 |
JP2015176820A (ja) * | 2014-03-17 | 2015-10-05 | 株式会社リコー | プラズマ発生装置及び表面改質装置 |
JP2017503307A (ja) * | 2013-11-18 | 2017-01-26 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 取り外し可能なインターフェイスを有する誘電体導管アセンブリを使用したプラズマ発生源及び関連するアセンブリ及び方法 |
Families Citing this family (368)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8779322B2 (en) | 1997-06-26 | 2014-07-15 | Mks Instruments Inc. | Method and apparatus for processing metal bearing gases |
US7569790B2 (en) * | 1997-06-26 | 2009-08-04 | Mks Instruments, Inc. | Method and apparatus for processing metal bearing gases |
US20030118491A1 (en) * | 1998-08-26 | 2003-06-26 | Frieze Marcia A. | Filtered gas plasma sterilization container with improved circulation |
JP2003506888A (ja) * | 1999-08-06 | 2003-02-18 | アドバンスト・エナジー・インダストリーズ・インコーポレイテッド | ガスおよび材料を処理する誘導結合環状プラズマ源装置およびその方法 |
US7838850B2 (en) | 1999-12-13 | 2010-11-23 | Semequip, Inc. | External cathode ion source |
WO2001043157A1 (en) * | 1999-12-13 | 2001-06-14 | Semequip, Inc. | Ion implantation ion source, system and method |
US20070107841A1 (en) * | 2000-12-13 | 2007-05-17 | Semequip, Inc. | Ion implantation ion source, system and method |
KR100767762B1 (ko) * | 2000-01-18 | 2007-10-17 | 에이에스엠 저펜 가부시기가이샤 | 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치 |
DE10010707C2 (de) * | 2000-03-04 | 2002-01-10 | Philips Corp Intellectual Pty | Piezoelektrischer Aktuator |
US6679981B1 (en) * | 2000-05-11 | 2004-01-20 | Applied Materials, Inc. | Inductive plasma loop enhancing magnetron sputtering |
US6418874B1 (en) | 2000-05-25 | 2002-07-16 | Applied Materials, Inc. | Toroidal plasma source for plasma processing |
US6835278B2 (en) * | 2000-07-07 | 2004-12-28 | Mattson Technology Inc. | Systems and methods for remote plasma clean |
US7294563B2 (en) | 2000-08-10 | 2007-11-13 | Applied Materials, Inc. | Semiconductor on insulator vertical transistor fabrication and doping process |
US7166524B2 (en) | 2000-08-11 | 2007-01-23 | Applied Materials, Inc. | Method for ion implanting insulator material to reduce dielectric constant |
US7223676B2 (en) | 2002-06-05 | 2007-05-29 | Applied Materials, Inc. | Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer |
US6939434B2 (en) | 2000-08-11 | 2005-09-06 | Applied Materials, Inc. | Externally excited torroidal plasma source with magnetic control of ion distribution |
US20070042580A1 (en) * | 2000-08-10 | 2007-02-22 | Amir Al-Bayati | Ion implanted insulator material with reduced dielectric constant |
US6893907B2 (en) | 2002-06-05 | 2005-05-17 | Applied Materials, Inc. | Fabrication of silicon-on-insulator structure using plasma immersion ion implantation |
US6410449B1 (en) | 2000-08-11 | 2002-06-25 | Applied Materials, Inc. | Method of processing a workpiece using an externally excited torroidal plasma source |
US7303982B2 (en) | 2000-08-11 | 2007-12-04 | Applied Materials, Inc. | Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage |
US7037813B2 (en) | 2000-08-11 | 2006-05-02 | Applied Materials, Inc. | Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage |
US20050230047A1 (en) * | 2000-08-11 | 2005-10-20 | Applied Materials, Inc. | Plasma immersion ion implantation apparatus |
US7137354B2 (en) | 2000-08-11 | 2006-11-21 | Applied Materials, Inc. | Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage |
JP5204941B2 (ja) * | 2000-08-11 | 2013-06-05 | アプライド マテリアルズ インコーポレイテッド | 外部から励磁されるトロイダルプラズマチャンバ |
US7479456B2 (en) * | 2004-08-26 | 2009-01-20 | Applied Materials, Inc. | Gasless high voltage high contact force wafer contact-cooling electrostatic chuck |
US7094670B2 (en) | 2000-08-11 | 2006-08-22 | Applied Materials, Inc. | Plasma immersion ion implantation process |
US7320734B2 (en) | 2000-08-11 | 2008-01-22 | Applied Materials, Inc. | Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage |
US6453842B1 (en) | 2000-08-11 | 2002-09-24 | Applied Materials Inc. | Externally excited torroidal plasma source using a gas distribution plate |
US7288491B2 (en) | 2000-08-11 | 2007-10-30 | Applied Materials, Inc. | Plasma immersion ion implantation process |
US7430984B2 (en) * | 2000-08-11 | 2008-10-07 | Applied Materials, Inc. | Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements |
US6468388B1 (en) | 2000-08-11 | 2002-10-22 | Applied Materials, Inc. | Reactor chamber for an externally excited torroidal plasma source with a gas distribution plate |
US7465478B2 (en) | 2000-08-11 | 2008-12-16 | Applied Materials, Inc. | Plasma immersion ion implantation process |
US6494986B1 (en) | 2000-08-11 | 2002-12-17 | Applied Materials, Inc. | Externally excited multiple torroidal plasma source |
US7183177B2 (en) | 2000-08-11 | 2007-02-27 | Applied Materials, Inc. | Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement |
US6348126B1 (en) | 2000-08-11 | 2002-02-19 | Applied Materials, Inc. | Externally excited torroidal plasma source |
US6551446B1 (en) | 2000-08-11 | 2003-04-22 | Applied Materials Inc. | Externally excited torroidal plasma source with a gas distribution plate |
US7094316B1 (en) | 2000-08-11 | 2006-08-22 | Applied Materials, Inc. | Externally excited torroidal plasma source |
US6547979B1 (en) * | 2000-08-31 | 2003-04-15 | Micron Technology, Inc. | Methods of enhancing selectivity of etching silicon dioxide relative to one or more organic substances; and plasma reaction chambers |
WO2002052060A1 (en) * | 2000-12-26 | 2002-07-04 | Valery Godyak | Inductively coupled plasma reactor |
US6634313B2 (en) | 2001-02-13 | 2003-10-21 | Applied Materials, Inc. | High-frequency electrostatically shielded toroidal plasma and radical source |
JP4799748B2 (ja) * | 2001-03-28 | 2011-10-26 | 忠弘 大見 | マイクロ波プラズマプロセス装置、プラズマ着火方法、プラズマ形成方法及びプラズマプロセス方法 |
US6755150B2 (en) * | 2001-04-20 | 2004-06-29 | Applied Materials Inc. | Multi-core transformer plasma source |
WO2003018867A1 (en) * | 2001-08-29 | 2003-03-06 | Applied Materials, Inc. | Semiconductor processing using an efficiently coupled gas source |
JP4772232B2 (ja) * | 2001-08-29 | 2011-09-14 | アジレント・テクノロジーズ・インク | 高周波増幅回路及び高周波増幅回路の駆動方法 |
US7132996B2 (en) * | 2001-10-09 | 2006-11-07 | Plasma Control Systems Llc | Plasma production device and method and RF driver circuit |
US7100532B2 (en) * | 2001-10-09 | 2006-09-05 | Plasma Control Systems, Llc | Plasma production device and method and RF driver circuit with adjustable duty cycle |
US7084832B2 (en) * | 2001-10-09 | 2006-08-01 | Plasma Control Systems, Llc | Plasma production device and method and RF driver circuit with adjustable duty cycle |
US6991739B2 (en) * | 2001-10-15 | 2006-01-31 | Applied Materials, Inc. | Method of photoresist removal in the presence of a dielectric layer having a low k-value |
US6855906B2 (en) | 2001-10-16 | 2005-02-15 | Adam Alexander Brailove | Induction plasma reactor |
KR100481313B1 (ko) | 2001-11-09 | 2005-04-07 | 최대규 | 유도결합 플라즈마 반응기 |
US6869880B2 (en) * | 2002-01-24 | 2005-03-22 | Applied Materials, Inc. | In situ application of etch back for improved deposition into high-aspect-ratio features |
US6761804B2 (en) | 2002-02-11 | 2004-07-13 | Applied Materials, Inc. | Inverted magnetron |
JP3641785B2 (ja) * | 2002-08-09 | 2005-04-27 | 株式会社京三製作所 | プラズマ発生用電源装置 |
US20030015965A1 (en) * | 2002-08-15 | 2003-01-23 | Valery Godyak | Inductively coupled plasma reactor |
US20070051471A1 (en) * | 2002-10-04 | 2007-03-08 | Applied Materials, Inc. | Methods and apparatus for stripping |
KR100542740B1 (ko) * | 2002-11-11 | 2006-01-11 | 삼성전자주식회사 | 가스 플라즈마 생성 방법 및 장치, 플라즈마 생성용 가스조성물 및 이를 이용한 반도체 장치의 제조 방법 |
US6927358B2 (en) * | 2003-01-31 | 2005-08-09 | Advanced Energy Industries, Inc. | Vacuum seal protection in a dielectric break |
US7355687B2 (en) * | 2003-02-20 | 2008-04-08 | Hunter Engineering Company | Method and apparatus for vehicle service system with imaging components |
DE10308539B3 (de) * | 2003-02-27 | 2004-06-03 | Bauer Maschinen Gmbh | Fräsvorrichtung zum Fräsen von Schlitzen im Boden |
US20040192059A1 (en) * | 2003-03-28 | 2004-09-30 | Mosel Vitelic, Inc. | Method for etching a titanium-containing layer prior to etching an aluminum layer in a metal stack |
US6872909B2 (en) * | 2003-04-16 | 2005-03-29 | Applied Science And Technology, Inc. | Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel |
US8053700B2 (en) * | 2003-04-16 | 2011-11-08 | Mks Instruments, Inc. | Applicators and cooling systems for a plasma device |
US8409400B2 (en) * | 2003-05-07 | 2013-04-02 | Gen Co., Ltd. | Inductive plasma chamber having multi discharge tube bridge |
US20040237897A1 (en) * | 2003-05-27 | 2004-12-02 | Hiroji Hanawa | High-Frequency electrostatically shielded toroidal plasma and radical source |
US7115185B1 (en) | 2003-09-16 | 2006-10-03 | Advanced Energy Industries, Inc. | Pulsed excitation of inductively coupled plasma sources |
USH2212H1 (en) * | 2003-09-26 | 2008-04-01 | The United States Of America As Represented By The Secretary Of The Navy | Method and apparatus for producing an ion-ion plasma continuous in time |
KR100883148B1 (ko) | 2003-12-12 | 2009-02-10 | 세미이큅, 인코포레이티드 | 이온 주입시 설비의 가동 시간을 늘리기 위한 방법과 장치 |
JP2007073539A (ja) * | 2003-12-18 | 2007-03-22 | Tokyo Electron Ltd | 成膜方法およびプラズマ発生方法、基板処理装置 |
US20050194099A1 (en) * | 2004-03-03 | 2005-09-08 | Jewett Russell F.Jr. | Inductively coupled plasma source using induced eddy currents |
EP1733467A1 (en) * | 2004-03-12 | 2006-12-20 | MKS Instruments, Inc. | Control circuit for switching power supply |
US20050258137A1 (en) * | 2004-03-24 | 2005-11-24 | Sawin Herbert H | Remote chamber methods for removing surface deposits |
US7244474B2 (en) * | 2004-03-26 | 2007-07-17 | Applied Materials, Inc. | Chemical vapor deposition plasma process using an ion shower grid |
US7695590B2 (en) | 2004-03-26 | 2010-04-13 | Applied Materials, Inc. | Chemical vapor deposition plasma reactor having plural ion shower grids |
US20050211171A1 (en) * | 2004-03-26 | 2005-09-29 | Applied Materials, Inc. | Chemical vapor deposition plasma reactor having an ion shower grid |
US7291360B2 (en) * | 2004-03-26 | 2007-11-06 | Applied Materials, Inc. | Chemical vapor deposition plasma process using plural ion shower grids |
US20050211546A1 (en) * | 2004-03-26 | 2005-09-29 | Applied Materials, Inc. | Reactive sputter deposition plasma process using an ion shower grid |
US7358192B2 (en) * | 2004-04-08 | 2008-04-15 | Applied Materials, Inc. | Method and apparatus for in-situ film stack processing |
US7164095B2 (en) * | 2004-07-07 | 2007-01-16 | Noritsu Koki Co., Ltd. | Microwave plasma nozzle with enhanced plume stability and heating efficiency |
US7307375B2 (en) * | 2004-07-09 | 2007-12-11 | Energetiq Technology Inc. | Inductively-driven plasma light source |
US7183717B2 (en) * | 2004-07-09 | 2007-02-27 | Energetiq Technology Inc. | Inductively-driven light source for microscopy |
US20060017387A1 (en) * | 2004-07-09 | 2006-01-26 | Energetiq Technology Inc. | Inductively-driven plasma light source |
US7948185B2 (en) * | 2004-07-09 | 2011-05-24 | Energetiq Technology Inc. | Inductively-driven plasma light source |
US7199384B2 (en) * | 2004-07-09 | 2007-04-03 | Energetiq Technology Inc. | Inductively-driven light source for lithography |
US8058156B2 (en) | 2004-07-20 | 2011-11-15 | Applied Materials, Inc. | Plasma immersion ion implantation reactor having multiple ion shower grids |
US7767561B2 (en) | 2004-07-20 | 2010-08-03 | Applied Materials, Inc. | Plasma immersion ion implantation reactor having an ion shower grid |
US20060052883A1 (en) * | 2004-09-08 | 2006-03-09 | Lee Sang H | System and method for optimizing data acquisition of plasma using a feedback control module |
US7666464B2 (en) | 2004-10-23 | 2010-02-23 | Applied Materials, Inc. | RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor |
US20060093730A1 (en) * | 2004-11-03 | 2006-05-04 | Applied Materials, Inc. | Monitoring a flow distribution of an energized gas |
WO2006078340A2 (en) * | 2004-11-08 | 2006-07-27 | Mks Instruments, Inc. | Method and apparatus for processing metal bearing gases |
US20060105114A1 (en) * | 2004-11-16 | 2006-05-18 | White John M | Multi-layer high quality gate dielectric for low-temperature poly-silicon TFTs |
US20060118240A1 (en) * | 2004-12-03 | 2006-06-08 | Applied Science And Technology, Inc. | Methods and apparatus for downstream dissociation of gases |
US20060144820A1 (en) * | 2004-12-30 | 2006-07-06 | Sawin Herbert H | Remote chamber methods for removing surface deposits |
US20060144819A1 (en) * | 2004-12-30 | 2006-07-06 | Sawin Herbert H | Remote chamber methods for removing surface deposits |
US20060182886A1 (en) * | 2005-02-15 | 2006-08-17 | Guidotti Emmanuel P | Method and system for improved delivery of a precursor vapor to a processing zone |
KR101121418B1 (ko) * | 2005-02-17 | 2012-03-16 | 주성엔지니어링(주) | 토로이드형 코어를 포함하는 플라즈마 발생장치 |
AU2006223254B2 (en) * | 2005-03-11 | 2012-04-26 | Perkinelmer U.S. Llc | Plasmas and methods of using them |
US20060249507A1 (en) * | 2005-04-11 | 2006-11-09 | Watlow Electric Manufacturing Company | Modular controller user interface and method |
US20060230297A1 (en) * | 2005-04-11 | 2006-10-12 | Watlow Electric Manufacturing Company | Electronic device mounting assembly and method |
US20060229740A1 (en) * | 2005-04-11 | 2006-10-12 | Watlow Electric Manufacturing Company | Portable user interface assembly and method |
US7652888B2 (en) * | 2005-04-11 | 2010-01-26 | Watlow Electric Manufacturing Company | Controller housing with connector retention assembly and method |
US8044329B2 (en) * | 2005-04-11 | 2011-10-25 | Watlow Electric Manufacturing Company | Compact limiter and controller assembly and method |
US7428915B2 (en) | 2005-04-26 | 2008-09-30 | Applied Materials, Inc. | O-ringless tandem throttle valve for a plasma reactor chamber |
US7109098B1 (en) | 2005-05-17 | 2006-09-19 | Applied Materials, Inc. | Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing |
US7422775B2 (en) | 2005-05-17 | 2008-09-09 | Applied Materials, Inc. | Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing |
US7312162B2 (en) | 2005-05-17 | 2007-12-25 | Applied Materials, Inc. | Low temperature plasma deposition process for carbon layer deposition |
US20060260545A1 (en) * | 2005-05-17 | 2006-11-23 | Kartik Ramaswamy | Low temperature absorption layer deposition and high speed optical annealing system |
EP1727186B1 (en) * | 2005-05-23 | 2012-01-25 | New Power Plasma Co., Ltd. | Plasma chamber with discharge inducing bridge |
DE102005040596B4 (de) * | 2005-06-17 | 2009-02-12 | Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. | Verfahren zur Entfernung einer dotierten Oberflächenschicht an Rückseiten von kristallinen Silizium-Solarwafern |
KR100720989B1 (ko) * | 2005-07-15 | 2007-05-28 | 주식회사 뉴파워 프라즈마 | 멀티 챔버 플라즈마 프로세스 시스템 |
US7323401B2 (en) | 2005-08-08 | 2008-01-29 | Applied Materials, Inc. | Semiconductor substrate process using a low temperature deposited carbon-containing hard mask |
US7429532B2 (en) | 2005-08-08 | 2008-09-30 | Applied Materials, Inc. | Semiconductor substrate process using an optically writable carbon-containing mask |
US7335611B2 (en) | 2005-08-08 | 2008-02-26 | Applied Materials, Inc. | Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer |
US7312148B2 (en) | 2005-08-08 | 2007-12-25 | Applied Materials, Inc. | Copper barrier reflow process employing high speed optical annealing |
US20070051388A1 (en) | 2005-09-06 | 2007-03-08 | Applied Materials, Inc. | Apparatus and methods for using high frequency chokes in a substrate deposition apparatus |
JP2009510698A (ja) * | 2005-09-30 | 2009-03-12 | エナジェティック・テクノロジー・インコーポレーテッド | 誘導駆動型プラズマ光源 |
US20070080141A1 (en) * | 2005-10-07 | 2007-04-12 | Applied Materials, Inc. | Low-voltage inductively coupled source for plasma processing |
US7353771B2 (en) * | 2005-11-07 | 2008-04-08 | Mks Instruments, Inc. | Method and apparatus of providing power to ignite and sustain a plasma in a reactive gas generator |
US7679024B2 (en) * | 2005-12-23 | 2010-03-16 | Lam Research Corporation | Highly efficient gas distribution arrangement for plasma tube of a plasma processing chamber |
US7562638B2 (en) * | 2005-12-23 | 2009-07-21 | Lam Research Corporation | Methods and arrangement for implementing highly efficient plasma traps |
US7554053B2 (en) * | 2005-12-23 | 2009-06-30 | Lam Research Corporation | Corrugated plasma trap arrangement for creating a highly efficient downstream microwave plasma system |
TW200742506A (en) * | 2006-02-17 | 2007-11-01 | Noritsu Koki Co Ltd | Plasma generation apparatus and work process apparatus |
US7524750B2 (en) | 2006-04-17 | 2009-04-28 | Applied Materials, Inc. | Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD |
JP5257917B2 (ja) * | 2006-04-24 | 2013-08-07 | 株式会社ニューパワープラズマ | 多重マグネチックコアが結合された誘導結合プラズマ反応器 |
US20080083701A1 (en) * | 2006-10-04 | 2008-04-10 | Mks Instruments, Inc. | Oxygen conditioning of plasma vessels |
US20080118663A1 (en) * | 2006-10-12 | 2008-05-22 | Applied Materials, Inc. | Contamination reducing liner for inductively coupled chamber |
US7939422B2 (en) | 2006-12-07 | 2011-05-10 | Applied Materials, Inc. | Methods of thin film process |
US7969096B2 (en) | 2006-12-15 | 2011-06-28 | Mks Instruments, Inc. | Inductively-coupled plasma source |
CN101583736A (zh) * | 2007-01-19 | 2009-11-18 | 应用材料股份有限公司 | 浸没式等离子体室 |
JP2009006350A (ja) * | 2007-06-27 | 2009-01-15 | Sony Corp | レーザ加工装置とその加工方法、デブリ回収機構とその回収方法、並びに表示パネルの製造方法 |
WO2009039382A1 (en) | 2007-09-21 | 2009-03-26 | Semequip. Inc. | Method for extending equipment uptime in ion implantation |
KR101595686B1 (ko) * | 2007-10-19 | 2016-02-18 | 엠케이에스 인스트루먼츠, 인코포레이티드 | 높은 가스 유량 공정을 위한 환형 플라즈마 챔버 |
WO2009082763A2 (en) * | 2007-12-25 | 2009-07-02 | Applied Materials, Inc. | Method and apparatus for controlling plasma uniformity |
US9272359B2 (en) | 2008-05-30 | 2016-03-01 | Colorado State University Research Foundation | Liquid-gas interface plasma device |
WO2009146432A1 (en) * | 2008-05-30 | 2009-12-03 | Colorado State University Research Foundation | Plasma-based chemical source device and method of use thereof |
US8994270B2 (en) | 2008-05-30 | 2015-03-31 | Colorado State University Research Foundation | System and methods for plasma application |
WO2009146439A1 (en) * | 2008-05-30 | 2009-12-03 | Colorado State University Research Foundation | System, method and apparatus for generating plasma |
US7914603B2 (en) * | 2008-06-26 | 2011-03-29 | Mks Instruments, Inc. | Particle trap for a plasma source |
US20100074810A1 (en) * | 2008-09-23 | 2010-03-25 | Sang Hun Lee | Plasma generating system having tunable plasma nozzle |
US20100101727A1 (en) * | 2008-10-27 | 2010-04-29 | Helin Ji | Capacitively coupled remote plasma source with large operating pressure range |
US7921804B2 (en) * | 2008-12-08 | 2011-04-12 | Amarante Technologies, Inc. | Plasma generating nozzle having impedance control mechanism |
WO2010089670A1 (en) | 2009-02-04 | 2010-08-12 | General Fusion, Inc. | Systems and methods for compressing plasma |
US20100201272A1 (en) * | 2009-02-09 | 2010-08-12 | Sang Hun Lee | Plasma generating system having nozzle with electrical biasing |
US8692466B2 (en) * | 2009-02-27 | 2014-04-08 | Mks Instruments Inc. | Method and apparatus of providing power to ignite and sustain a plasma in a reactive gas generator |
US20100252047A1 (en) | 2009-04-03 | 2010-10-07 | Kirk Seth M | Remote fluorination of fibrous filter webs |
US20100254853A1 (en) * | 2009-04-06 | 2010-10-07 | Sang Hun Lee | Method of sterilization using plasma generated sterilant gas |
JP2013503430A (ja) * | 2009-08-27 | 2013-01-31 | モザイク・クリスタルズ・リミテッド | 高真空チャンバー用貫通型プラズマ発生装置 |
US8222822B2 (en) * | 2009-10-27 | 2012-07-17 | Tyco Healthcare Group Lp | Inductively-coupled plasma device |
US8771538B2 (en) * | 2009-11-18 | 2014-07-08 | Applied Materials, Inc. | Plasma source design |
US8742665B2 (en) * | 2009-11-18 | 2014-06-03 | Applied Materials, Inc. | Plasma source design |
US9111729B2 (en) | 2009-12-03 | 2015-08-18 | Lam Research Corporation | Small plasma chamber systems and methods |
US8282906B2 (en) * | 2009-12-23 | 2012-10-09 | 3M Innovative Properties Company | Remote plasma synthesis of metal oxide nanoparticles |
US8124942B2 (en) * | 2010-02-16 | 2012-02-28 | Fei Company | Plasma igniter for an inductively coupled plasma ion source |
US9190289B2 (en) * | 2010-02-26 | 2015-11-17 | Lam Research Corporation | System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas |
AU2010349785B2 (en) | 2010-03-31 | 2014-02-27 | Colorado State University Research Foundation | Liquid-gas interface plasma device |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US9967965B2 (en) | 2010-08-06 | 2018-05-08 | Lam Research Corporation | Distributed, concentric multi-zone plasma source systems, methods and apparatus |
US9155181B2 (en) | 2010-08-06 | 2015-10-06 | Lam Research Corporation | Distributed multi-zone plasma source systems, methods and apparatus |
US9449793B2 (en) * | 2010-08-06 | 2016-09-20 | Lam Research Corporation | Systems, methods and apparatus for choked flow element extraction |
US8999104B2 (en) | 2010-08-06 | 2015-04-07 | Lam Research Corporation | Systems, methods and apparatus for separate plasma source control |
US8624501B2 (en) * | 2010-12-08 | 2014-01-07 | Mks Instruments, Inc. | Measuring and controlling parameters of a plasma generator |
US8741778B2 (en) | 2010-12-14 | 2014-06-03 | Applied Materials, Inc. | Uniform dry etch in two stages |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US9263237B2 (en) * | 2011-02-22 | 2016-02-16 | Gen Co., Ltd. | Plasma processing apparatus and method thereof |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8884525B2 (en) * | 2011-03-22 | 2014-11-11 | Advanced Energy Industries, Inc. | Remote plasma source generating a disc-shaped plasma |
US8497211B2 (en) | 2011-06-24 | 2013-07-30 | Applied Materials, Inc. | Integrated process modulation for PSG gapfill |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US10049881B2 (en) * | 2011-08-10 | 2018-08-14 | Applied Materials, Inc. | Method and apparatus for selective nitridation process |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
US9177762B2 (en) | 2011-11-16 | 2015-11-03 | Lam Research Corporation | System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing |
US10283325B2 (en) | 2012-10-10 | 2019-05-07 | Lam Research Corporation | Distributed multi-zone plasma source systems, methods and apparatus |
US9508530B2 (en) | 2011-11-21 | 2016-11-29 | Lam Research Corporation | Plasma processing chamber with flexible symmetric RF return strap |
US8872525B2 (en) | 2011-11-21 | 2014-10-28 | Lam Research Corporation | System, method and apparatus for detecting DC bias in a plasma processing chamber |
US9083182B2 (en) | 2011-11-21 | 2015-07-14 | Lam Research Corporation | Bypass capacitors for high voltage bias power in the mid frequency RF range |
US9396908B2 (en) | 2011-11-22 | 2016-07-19 | Lam Research Corporation | Systems and methods for controlling a plasma edge region |
US8898889B2 (en) | 2011-11-22 | 2014-12-02 | Lam Research Corporation | Chuck assembly for plasma processing |
US10586686B2 (en) | 2011-11-22 | 2020-03-10 | Law Research Corporation | Peripheral RF feed and symmetric RF return for symmetric RF delivery |
US9263240B2 (en) | 2011-11-22 | 2016-02-16 | Lam Research Corporation | Dual zone temperature control of upper electrodes |
US9279722B2 (en) | 2012-04-30 | 2016-03-08 | Agilent Technologies, Inc. | Optical emission system including dichroic beam combiner |
WO2014007472A1 (en) * | 2012-07-03 | 2014-01-09 | Plasmart Inc. | Plasma generation apparatus and plasma generation method |
KR101446159B1 (ko) | 2012-07-03 | 2014-10-02 | 주식회사 플라즈마트 | 플라즈마 발생 장치 및 플라즈마 발생 방법 |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
RU2505949C1 (ru) * | 2012-08-03 | 2014-01-27 | Федеральное государственное бюджетное учреждение науки Институт теплофизики им. С.С. Кутателадзе Сибирского отделения Российской академии наук (ИТ СО РАН) | Трансформаторный плазматрон низкого давления для ионно-плазменной обработки поверхности материалов |
US20140062285A1 (en) | 2012-08-29 | 2014-03-06 | Mks Instruments, Inc. | Method and Apparatus for a Large Area Inductive Plasma Source |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8944003B2 (en) * | 2012-11-16 | 2015-02-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Remote plasma system and method |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US9532826B2 (en) | 2013-03-06 | 2017-01-03 | Covidien Lp | System and method for sinus surgery |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US9555145B2 (en) | 2013-03-13 | 2017-01-31 | Covidien Lp | System and method for biofilm remediation |
KR102009513B1 (ko) * | 2013-03-14 | 2019-08-09 | 엠케이에스 인스트루먼츠, 인코포레이티드 | 토로이달 플라즈마 저감 장치 및 방법 |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US20140272108A1 (en) | 2013-03-15 | 2014-09-18 | Plasmability, Llc | Toroidal Plasma Processing Apparatus |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
CN105474362B (zh) | 2013-08-16 | 2018-05-25 | 应用材料公司 | 用于高温低压力环境的细长的容性耦合的等离子体源 |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US9741918B2 (en) | 2013-10-07 | 2017-08-22 | Hypres, Inc. | Method for increasing the integration level of superconducting electronics circuits, and a resulting circuit |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9240308B2 (en) * | 2014-03-06 | 2016-01-19 | Applied Materials, Inc. | Hall effect enhanced capacitively coupled plasma source, an abatement system, and vacuum processing system |
KR101548922B1 (ko) * | 2014-03-13 | 2015-09-02 | 주식회사 테라텍 | 고밀도 구속 플라즈마 소스 장치 |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9336997B2 (en) | 2014-03-17 | 2016-05-10 | Applied Materials, Inc. | RF multi-feed structure to improve plasma uniformity |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9653266B2 (en) | 2014-03-27 | 2017-05-16 | Mks Instruments, Inc. | Microwave plasma applicator with improved power uniformity |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9533909B2 (en) | 2014-03-31 | 2017-01-03 | Corning Incorporated | Methods and apparatus for material processing using atmospheric thermal plasma reactor |
US9550694B2 (en) | 2014-03-31 | 2017-01-24 | Corning Incorporated | Methods and apparatus for material processing using plasma thermal source |
US9284210B2 (en) | 2014-03-31 | 2016-03-15 | Corning Incorporated | Methods and apparatus for material processing using dual source cyclonic plasma reactor |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
RU2558728C1 (ru) * | 2014-05-29 | 2015-08-10 | Федеральное государственное бюджетное учреждение науки Институт теплофизики им. С.С. Кутателадзе Сибирского отделения Российской академии наук (ИТ СО РАН) | Комбинированный индукционно-дуговой плазмотрон и способ поджига индукционного разряда |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
JP5729514B1 (ja) * | 2014-06-14 | 2015-06-03 | プラスウェア株式会社 | プラズマ発生装置、液上溶融方法及び給電装置 |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
BR112017003327B1 (pt) | 2014-08-19 | 2021-01-19 | General Fusion Inc. | sistema e método para controlar campo magnético de plasma |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US10083818B2 (en) | 2014-09-24 | 2018-09-25 | Applied Materials, Inc. | Auto frequency tuned remote plasma source |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US20160200618A1 (en) | 2015-01-08 | 2016-07-14 | Corning Incorporated | Method and apparatus for adding thermal energy to a glass melt |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9736920B2 (en) * | 2015-02-06 | 2017-08-15 | Mks Instruments, Inc. | Apparatus and method for plasma ignition with a self-resonating device |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
TWI670749B (zh) | 2015-03-13 | 2019-09-01 | 美商應用材料股份有限公司 | 耦接至工藝腔室的電漿源 |
US10224186B2 (en) | 2015-03-13 | 2019-03-05 | Aes Global Holdings, Pte. Ltd | Plasma source device and methods |
CN107810542A (zh) | 2015-05-21 | 2018-03-16 | 普拉斯玛比利提有限责任公司 | 具有成形工件夹具的环形等离子体处理装置 |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
JP6548991B2 (ja) * | 2015-08-28 | 2019-07-24 | 株式会社ダイヘン | プラズマ生成装置 |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
ES2814004T3 (es) | 2016-08-09 | 2021-03-25 | John Bean Technologies Corp | Aparato y procedimiento de procesamiento de radiofrecuencia |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
JP6746865B2 (ja) | 2016-09-23 | 2020-08-26 | 株式会社ダイヘン | プラズマ生成装置 |
JP6736443B2 (ja) * | 2016-09-30 | 2020-08-05 | 株式会社ダイヘン | プラズマ発生装置 |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
DE102018204585A1 (de) * | 2017-03-31 | 2018-10-04 | centrotherm international AG | Plasmagenerator, Plasma-Behandlungsvorrichtung und Verfahren zum gepulsten Bereitstellen von elektrischer Leistung |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10264663B1 (en) | 2017-10-18 | 2019-04-16 | Lam Research Corporation | Matchless plasma source for semiconductor wafer fabrication |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10811144B2 (en) * | 2017-11-06 | 2020-10-20 | General Fusion Inc. | System and method for plasma generation and compression |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
JP7301075B2 (ja) | 2018-06-14 | 2023-06-30 | エムケーエス インストゥルメンツ,インコーポレイテッド | リモートプラズマ源用のラジカル出力モニタ及びその使用方法 |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US11019715B2 (en) | 2018-07-13 | 2021-05-25 | Mks Instruments, Inc. | Plasma source having a dielectric plasma chamber with improved plasma resistance |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
US10553403B1 (en) | 2019-05-08 | 2020-02-04 | Mks Instruments, Inc. | Polygonal toroidal plasma source |
US10886104B2 (en) | 2019-06-10 | 2021-01-05 | Advanced Energy Industries, Inc. | Adaptive plasma ignition |
CN110718437A (zh) * | 2019-09-16 | 2020-01-21 | 明远精密科技股份有限公司 | 远距电浆产生装置 |
US11623197B2 (en) * | 2020-01-23 | 2023-04-11 | Lyten, Inc. | Complex modality reactor for materials production and synthesis |
US11688584B2 (en) | 2020-04-29 | 2023-06-27 | Advanced Energy Industries, Inc. | Programmable ignition profiles for enhanced plasma ignition |
US11776793B2 (en) | 2020-11-13 | 2023-10-03 | Applied Materials, Inc. | Plasma source with ceramic electrode plate |
US12068134B2 (en) | 2021-01-29 | 2024-08-20 | Applied Materials, Inc. | Digital control of plasma processing |
US12027426B2 (en) | 2021-01-29 | 2024-07-02 | Applied Materials, Inc. | Image-based digital control of plasma processing |
US20240196506A1 (en) * | 2022-12-08 | 2024-06-13 | Hamamatsu Photonics K.K. | Inductively Coupled Plasma Light Source with Switched Power Supply |
Citations (20)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4431898A (en) * | 1981-09-01 | 1984-02-14 | The Perkin-Elmer Corporation | Inductively coupled discharge for plasma etching and resist stripping |
JPS59221694A (ja) * | 1983-05-31 | 1984-12-13 | 株式会社日立製作所 | 核融合装置用真空容器 |
JPS6048195U (ja) * | 1983-09-09 | 1985-04-04 | 三菱重工業株式会社 | 真空容器の絶縁シ−ル装置 |
JPS6056298U (ja) * | 1983-09-26 | 1985-04-19 | 株式会社日立製作所 | 核融合装置用真空容器 |
JPS611024A (ja) * | 1984-06-12 | 1986-01-07 | Mitsubishi Electric Corp | 半導体回路製造装置 |
JPS6180088A (ja) * | 1984-09-28 | 1986-04-23 | 株式会社東芝 | 核融合装置の真空容器 |
JPS63210797A (ja) * | 1987-02-27 | 1988-09-01 | 株式会社東芝 | 核融合装置 |
JPS64699A (en) * | 1987-03-06 | 1989-01-05 | Perkin Elmer Corp:The | Induced plasma generator and its method |
JPH01122363A (ja) * | 1987-10-31 | 1989-05-15 | Japan Atom Energy Res Inst | 加速電源装置の保護回路 |
JPH0270063A (ja) * | 1988-09-02 | 1990-03-08 | Nippon Telegr & Teleph Corp <Ntt> | プラズマ/イオン生成源およびプラズマ/イオン処理装置 |
JPH02260399A (ja) * | 1989-03-31 | 1990-10-23 | Fuji Denpa Koki Kk | 高気圧プラズマアーク発生方法 |
JPH0367497A (ja) * | 1989-08-07 | 1991-03-22 | Jeol Ltd | 誘導プラズマ発生装置 |
JPH0492414A (ja) * | 1990-08-08 | 1992-03-25 | Mitsubishi Electric Corp | 薄膜形成装置 |
JPH05106053A (ja) * | 1991-10-16 | 1993-04-27 | Masuhiro Kokoma | グロープラズマ反応方法 |
JPH07176524A (ja) * | 1993-11-05 | 1995-07-14 | Tokyo Electron Ltd | 真空処理装置用素材及びその製造方法 |
JPH07183097A (ja) * | 1993-10-25 | 1995-07-21 | Applied Materials Inc | 半導体作製のための、プラズマと、プラズマ点火装置及び誘導結合装置を有するプロセス反応装置を用いたワ−クピ−スの処理方法 |
WO1996015545A1 (en) * | 1994-11-15 | 1996-05-23 | Mattson Technology, Inc. | Inductive plasma reactor |
JPH08227800A (ja) * | 1994-12-05 | 1996-09-03 | Tokyo Electron Ltd | プラズマ処理装置及びプラズマ処理方法 |
JPH08292278A (ja) * | 1995-04-21 | 1996-11-05 | Hitachi Ltd | プラズマ対抗材料 |
JPH097795A (ja) * | 1995-06-21 | 1997-01-10 | Mitsubishi Electric Corp | Ecrプロセス装置 |
Family Cites Families (158)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3054742A (en) * | 1956-10-26 | 1962-09-18 | Atomic Energy Authority Uk | Gas discharge apparatus |
NL228790A (ja) | 1957-06-20 | |||
FR1207566A (fr) * | 1958-06-26 | 1960-02-17 | Trt Telecom Radio Electr | Perfectionnements aux dispositifs d'accord automatique sur une charge largement variable |
US3343022A (en) * | 1965-03-16 | 1967-09-19 | Lockheed Aircraft Corp | Transpiration cooled induction plasma generator |
US3278384A (en) | 1965-04-13 | 1966-10-11 | Lenard Andrew | Negative "v" stellarator |
US3509500A (en) * | 1966-12-05 | 1970-04-28 | Avco Corp | Automatic digital tuning apparatus |
US3500118A (en) | 1967-07-17 | 1970-03-10 | Gen Electric | Electrodeless gaseous electric discharge devices utilizing ferrite cores |
US3433705A (en) * | 1968-02-28 | 1969-03-18 | Atomic Energy Commission | Stellarator having multipole magnets |
US3663361A (en) | 1970-02-17 | 1972-05-16 | Atomic Energy Commission | Nuclear fusion device of the air-core tokamak type |
US3663362A (en) * | 1970-12-22 | 1972-05-16 | Atomic Energy Commission | Controlled fusion reactor |
USH554H (en) | 1972-03-02 | 1988-12-06 | The United States Of America As Represented By The United States Department Of Energy | Toroidal reactor |
US3794941A (en) * | 1972-05-08 | 1974-02-26 | Hughes Aircraft Co | Automatic antenna impedance tuner including digital control circuits |
US3906405A (en) * | 1974-07-01 | 1975-09-16 | Motorola Inc | Tunable antenna coupling circuit |
US5099100A (en) | 1974-08-16 | 1992-03-24 | Branson International Plasma Corporation | Plasma etching device and process |
FR2290126A1 (fr) | 1974-10-31 | 1976-05-28 | Anvar | Perfectionnements apportes aux dispositifs d'excitation, par des ondes hf, d'une colonne de gaz enfermee dans une enveloppe |
US3987334A (en) | 1975-01-20 | 1976-10-19 | General Electric Company | Integrally ballasted electrodeless fluorescent lamp |
US4057462A (en) | 1975-02-26 | 1977-11-08 | The United States Of America As Represented By The United States Energy Research And Development Administration | Radio frequency sustained ion energy |
US4110595A (en) * | 1975-06-19 | 1978-08-29 | The United States Of America As Represented By The United States Department Of Energy | High-frequency plasma-heating apparatus |
US4073680A (en) * | 1975-06-26 | 1978-02-14 | The United States Of America As Represented By The United States Department Of Energy | Toroidal band limiter for a plasma containment device |
JPS5211175A (en) | 1975-07-18 | 1977-01-27 | Toshiba Corp | Activated gas reacting apparatus |
US4263096A (en) * | 1976-02-02 | 1981-04-21 | The United States Of America As Represented By The United States Department Of Energy | Toroidal magnet system |
US4088926A (en) | 1976-05-10 | 1978-05-09 | Nasa | Plasma cleaning device |
US4095198A (en) * | 1977-01-31 | 1978-06-13 | Gte Sylvania Incorporated | Impedance-matching network |
US4859399A (en) | 1977-10-13 | 1989-08-22 | Fdx Patents Holding Company, N.V. | Modular fusion power apparatus using disposable core |
US4180763A (en) | 1978-01-25 | 1979-12-25 | General Electric Company | High intensity discharge lamp geometries |
US4201960A (en) * | 1978-05-24 | 1980-05-06 | Motorola, Inc. | Method for automatically matching a radio frequency transmitter to an antenna |
US4292125A (en) * | 1978-08-21 | 1981-09-29 | Massachusetts Institute Of Technology | System and method for generating steady state confining current for a toroidal plasma fusion reactor |
US4252609A (en) | 1978-11-24 | 1981-02-24 | The United States Of America As Represented By The United States Department Of Energy | Crossed-field divertor for a plasma device |
US4285800A (en) | 1979-04-18 | 1981-08-25 | Branson International Plasma Corp. | Gas plasma reactor for circuit boards and the like |
US4282267A (en) * | 1979-09-20 | 1981-08-04 | Western Electric Co., Inc. | Methods and apparatus for generating plasmas |
SU957744A1 (ru) * | 1980-06-09 | 1996-02-10 | Всесоюзный научно-исследовательский, проектно-конструкторский и технологический институт токов высокой частоты им.В.П.Вологдина | Трансформаторный плазмотрон |
US4324611A (en) | 1980-06-26 | 1982-04-13 | Branson International Plasma Corporation | Process and gas mixture for etching silicon dioxide and silicon nitride |
US4368092A (en) | 1981-04-02 | 1983-01-11 | The Perkin-Elmer Corporation | Apparatus for the etching for semiconductor devices |
JPS57174467A (en) | 1981-04-20 | 1982-10-27 | Inoue Japax Res Inc | Ion working device |
GB2098138B (en) | 1981-05-07 | 1984-11-14 | Hitachi Shipbuilding Eng Co | Slurry carrying ship with drainage devices |
US4350578A (en) | 1981-05-11 | 1982-09-21 | International Business Machines Corporation | Cathode for etching |
US4486722A (en) | 1982-02-18 | 1984-12-04 | Rockwell International Corporation | Pin diode switched impedance matching network having diode driver circuits transparent to RF potential |
US4431901A (en) * | 1982-07-02 | 1984-02-14 | The United States Of America As Represented By The United States Department Of Energy | Induction plasma tube |
US4486723A (en) | 1983-01-06 | 1984-12-04 | Rca Corporation | Diode switching system for a selectable impedance matching network |
US4601871A (en) * | 1983-05-17 | 1986-07-22 | The United States Of America As Represented By The United States Department Of Energy | Steady state compact toroidal plasma production |
US4626400A (en) | 1983-06-01 | 1986-12-02 | The United States Of America As Represented By The United States Department Of Energy | Variable control of neutron albedo in toroidal fusion devices |
JPS6050486A (ja) | 1983-08-30 | 1985-03-20 | 三菱電機株式会社 | 核融合装置 |
USH268H (en) * | 1984-03-20 | 1987-05-05 | The United States Of America As Represented By The United States Department Of Energy | Elmo bumpy square plasma confinement device |
US4668366A (en) | 1984-08-02 | 1987-05-26 | The Perkin-Elmer Corporation | Optical figuring by plasma assisted chemical transport and etching apparatus therefor |
JPS61139029A (ja) | 1984-12-10 | 1986-06-26 | Mitsubishi Electric Corp | シリコンイオンビ−ムによる加工方法 |
JPH0697660B2 (ja) * | 1985-03-23 | 1994-11-30 | 日本電信電話株式会社 | 薄膜形成方法 |
US4794217A (en) | 1985-04-01 | 1988-12-27 | Qing Hua University | Induction system for rapid heat treatment of semiconductor wafers |
US4631105A (en) | 1985-04-22 | 1986-12-23 | Branson International Plasma Corporation | Plasma etching apparatus |
US4680694A (en) | 1985-04-22 | 1987-07-14 | National Distillers And Chemical Corporation | Ozonator power supply |
US4793975A (en) | 1985-05-20 | 1988-12-27 | Tegal Corporation | Plasma Reactor with removable insert |
US4679007A (en) * | 1985-05-20 | 1987-07-07 | Advanced Energy, Inc. | Matching circuit for delivering radio frequency electromagnetic energy to a variable impedance load |
DE3522569A1 (de) | 1985-06-24 | 1987-01-02 | Metallgesellschaft Ag | Stromversorgung fuer ein elektrofilter |
CA1246762A (en) | 1985-07-05 | 1988-12-13 | Zenon Zakrzewski | Surface wave launchers to produce plasma columns and means for producing plasma of different shapes |
US4668336A (en) | 1985-07-23 | 1987-05-26 | Micronix Corporation | Process for making a mask used in x-ray photolithography |
US4734247A (en) * | 1985-08-28 | 1988-03-29 | Ga Technologies Inc. | Helical shaping method and apparatus to produce large translational transform in pinch plasma magnetic confinement |
JPS6269520A (ja) * | 1985-09-21 | 1987-03-30 | Semiconductor Energy Lab Co Ltd | 光cvd法により凹部を充填する方法 |
USH627H (en) | 1985-10-03 | 1989-04-04 | The United States Of America As Represented By The United States Department Of Energy | Spherical torus fusion reactor |
NL8503008A (nl) | 1985-11-04 | 1987-06-01 | Philips Nv | Gelijkstroom-wisselstroomomzetter voor het ontsteken en voeden van een ontladingslamp. |
US4735765A (en) * | 1985-11-26 | 1988-04-05 | The United States Of America As Represented By The United States Department Of Energy | Flexible helical-axis stellarator |
DE3603947A1 (de) * | 1986-02-06 | 1987-08-13 | Stiehl Hans Henrich Dr | System zur dosierung von luftgetragenen ionen mit hoher genauigkeit und verbessertem wirkungsgrad zur eliminierung elektrostatischer flaechenladungen |
US4767590A (en) * | 1986-04-25 | 1988-08-30 | The United States Of America As Represented By The United States Department Of Energy | Anomalous - viscosity current drive |
JPH0810258B2 (ja) * | 1986-06-02 | 1996-01-31 | 株式会社日立製作所 | プラズマ閉じ込め方法 |
US4897282A (en) | 1986-09-08 | 1990-01-30 | Iowa State University Reserach Foundation, Inc. | Thin film coating process using an inductively coupled plasma |
US4786352A (en) | 1986-09-12 | 1988-11-22 | Benzing Technologies, Inc. | Apparatus for in-situ chamber cleaning |
US4859908A (en) | 1986-09-24 | 1989-08-22 | Matsushita Electric Industrial Co., Ltd. | Plasma processing apparatus for large area ion irradiation |
ES2003363A6 (es) | 1986-10-02 | 1988-11-01 | Gh Ind Sa | Perfeccionamientos en generadores de alta frecuencia para aplicaciones de calentamiento por induccion laser plasma y similares |
US5773919A (en) * | 1986-10-02 | 1998-06-30 | Electron Power Systems | Electron spiral toroid |
US4877757A (en) | 1987-07-16 | 1989-10-31 | Texas Instruments Incorporated | Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma |
JP2805009B2 (ja) * | 1988-05-11 | 1998-09-30 | 株式会社日立製作所 | プラズマ発生装置及びプラズマ元素分析装置 |
US4853250A (en) | 1988-05-11 | 1989-08-01 | Universite De Sherbrooke | Process of depositing particulate material on a substrate |
US4996077A (en) | 1988-10-07 | 1991-02-26 | Texas Instruments Incorporated | Distributed ECR remote plasma processing and apparatus |
US4918031A (en) * | 1988-12-28 | 1990-04-17 | American Telephone And Telegraph Company,At&T Bell Laboratories | Processes depending on plasma generation using a helical resonator |
GB8905073D0 (en) | 1989-03-06 | 1989-04-19 | Nordiko Ltd | Ion gun |
US4985113A (en) * | 1989-03-10 | 1991-01-15 | Hitachi, Ltd. | Sample treating method and apparatus |
US5061838A (en) | 1989-06-23 | 1991-10-29 | Massachusetts Institute Of Technology | Toroidal electron cyclotron resonance reactor |
US4948458A (en) | 1989-08-14 | 1990-08-14 | Lam Research Corporation | Method and apparatus for producing magnetically-coupled planar plasma |
US5106827A (en) | 1989-09-18 | 1992-04-21 | The Perkin Elmer Corporation | Plasma assisted oxidation of perovskites for forming high temperature superconductors using inductively coupled discharges |
RU2022917C1 (ru) | 1989-09-27 | 1994-11-15 | Уланов Игорь Максимович | Способ получения окиси азота |
US5223457A (en) * | 1989-10-03 | 1993-06-29 | Applied Materials, Inc. | High-frequency semiconductor wafer processing method using a negative self-bias |
US5030889A (en) | 1989-12-21 | 1991-07-09 | General Electric Company | Lamp ballast configuration |
US5000771A (en) | 1989-12-29 | 1991-03-19 | At&T Bell Laboratories | Method for manufacturing an article comprising a refractory dielectric body |
JP3381916B2 (ja) | 1990-01-04 | 2003-03-04 | マトソン テクノロジー,インコーポレイテッド | 低周波誘導型高周波プラズマ反応装置 |
US5016332A (en) | 1990-04-13 | 1991-05-21 | Branson International Plasma Corporation | Plasma reactor and process with wafer temperature control |
US5130003A (en) | 1990-06-14 | 1992-07-14 | Conrad Richard H | method of powering corona discharge in ozone generators |
US5008593A (en) | 1990-07-13 | 1991-04-16 | The United States Of America As Represented By The Secretary Of The Air Force | Coaxial liquid cooling of high power microwave excited plasma UV lamps |
DE4035272A1 (de) | 1990-11-02 | 1992-05-07 | Sorbios Gmbh | Vorrichtung zur erzeugung von ozon aus sauerstoff |
US5365147A (en) | 1990-11-28 | 1994-11-15 | Nichimen Kabushiki Kaisha | Plasma stabilizing apparatus employing feedback controls |
US5200595A (en) | 1991-04-12 | 1993-04-06 | Universite De Sherbrooke | High performance induction plasma torch with a water-cooled ceramic confinement tube |
US5206516A (en) | 1991-04-29 | 1993-04-27 | International Business Machines Corporation | Low energy, steered ion beam deposition system having high current at low pressure |
US5254830A (en) | 1991-05-07 | 1993-10-19 | Hughes Aircraft Company | System for removing material from semiconductor wafers using a contained plasma |
DE4119362A1 (de) | 1991-06-12 | 1992-12-17 | Leybold Ag | Teilchenquelle, insbesondere fuer reaktive ionenaetz- und plasmaunterstuetzte cvd-verfahren |
US5187454A (en) | 1992-01-23 | 1993-02-16 | Applied Materials, Inc. | Electronically tuned matching network using predictor-corrector control system |
US6063233A (en) | 1991-06-27 | 2000-05-16 | Applied Materials, Inc. | Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna |
DE9109503U1 (de) | 1991-07-31 | 1991-10-17 | Magtron Magneto Elektronische Geraete Gmbh, 7583 Ottersweier | Schaltungsanordnung für ein Stromversorgungsgerät für Geräte und Anlagen der Plasma- und Oberflächentechnik |
US5353314A (en) | 1991-09-30 | 1994-10-04 | The United States Of America As Represented By The United States Department Of Energy | Electric field divertor plasma pump |
JP3109871B2 (ja) | 1991-10-21 | 2000-11-20 | 関東電化工業株式会社 | 物品の水切り・乾燥方法及び装置 |
US5285372A (en) | 1991-10-23 | 1994-02-08 | Henkel Corporation | Power supply for an ozone generator with a bridge inverter |
US5153484A (en) | 1991-10-31 | 1992-10-06 | General Electric Company | Electrodeless high intensity discharge lamp excitation coil and ballast configuration for maximum efficiency |
US5479072A (en) * | 1991-11-12 | 1995-12-26 | General Electric Company | Low mercury arc discharge lamp containing neodymium |
JPH05166595A (ja) | 1991-12-12 | 1993-07-02 | Fuji Denpa Koki Kk | 高気圧高密度プラズマ発生方法 |
US5291415A (en) | 1991-12-13 | 1994-03-01 | Hughes Aircraft Company | Method to determine tool paths for thinning and correcting errors in thickness profiles of films |
US5336355A (en) | 1991-12-13 | 1994-08-09 | Hughes Aircraft Company | Methods and apparatus for confinement of a plasma etch region for precision shaping of surfaces of substances and films |
US5290382A (en) * | 1991-12-13 | 1994-03-01 | Hughes Aircraft Company | Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films |
US5180150A (en) | 1992-01-24 | 1993-01-19 | Hughes Danbury Optical Systems, Inc. | Apparatus for providing consistent registration of semiconductor wafers |
US5280154A (en) | 1992-01-30 | 1994-01-18 | International Business Machines Corporation | Radio frequency induction plasma processing system utilizing a uniform field coil |
US5238532A (en) | 1992-02-27 | 1993-08-24 | Hughes Aircraft Company | Method and apparatus for removal of subsurface damage in semiconductor materials by plasma etching |
DE69304522T2 (de) * | 1992-04-16 | 1997-01-23 | Advanced Energy Ind Inc | Stabilisator fuer schalt-mode geleistet radio-frequenz plasma einrichtung |
US5277751A (en) * | 1992-06-18 | 1994-01-11 | Ogle John S | Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window |
US5397962A (en) | 1992-06-29 | 1995-03-14 | Texas Instruments Incorporated | Source and method for generating high-density plasma with inductive power coupling |
US5700297A (en) | 1992-08-28 | 1997-12-23 | Ipec Precision, Inc. | Apparatus for providing consistent, non-jamming registration of notched semiconductor wafers |
US5352249A (en) | 1992-08-28 | 1994-10-04 | Hughes Aircraft Company | Apparatus for providing consistent, non-jamming registration of semiconductor wafers |
DE4231905C2 (de) * | 1992-09-18 | 1999-05-20 | Stiehl Hans Henrich Dr | Vorrichtung zur Messung von Ionen in einem Gas |
US5414238A (en) * | 1992-10-02 | 1995-05-09 | Martin Marietta Corporation | Resonant power supply for an arcjet thruster |
US5359180A (en) | 1992-10-02 | 1994-10-25 | General Electric Company | Power supply system for arcjet thrusters |
US5346578A (en) * | 1992-11-04 | 1994-09-13 | Novellus Systems, Inc. | Induction plasma source |
JPH0732078B2 (ja) | 1993-01-14 | 1995-04-10 | 株式会社アドテック | 高周波プラズマ用電源及びインピーダンス整合装置 |
US5401350A (en) * | 1993-03-08 | 1995-03-28 | Lsi Logic Corporation | Coil configurations for improved uniformity in inductively coupled plasma systems |
US5372674A (en) | 1993-05-14 | 1994-12-13 | Hughes Aircraft Company | Electrode for use in a plasma assisted chemical etching process |
US5298103A (en) | 1993-07-15 | 1994-03-29 | Hughes Aircraft Company | Electrode assembly useful in confined plasma assisted chemical etching |
US5430355A (en) * | 1993-07-30 | 1995-07-04 | Texas Instruments Incorporated | RF induction plasma source for plasma processing |
US5364496A (en) | 1993-08-20 | 1994-11-15 | Hughes Aircraft Company | Highly durable noncontaminating surround materials for plasma etching |
US5610102A (en) | 1993-11-15 | 1997-03-11 | Integrated Process Equipment Corp. | Method for co-registering semiconductor wafers undergoing work in one or more blind process modules |
US5419803A (en) | 1993-11-17 | 1995-05-30 | Hughes Aircraft Company | Method of planarizing microstructures |
US5467013A (en) * | 1993-12-07 | 1995-11-14 | Sematech, Inc. | Radio frequency monitor for semiconductor process control |
US5468296A (en) * | 1993-12-17 | 1995-11-21 | Lsi Logic Corporation | Apparatus for igniting low pressure inductively coupled plasma |
US5565036A (en) | 1994-01-19 | 1996-10-15 | Tel America, Inc. | Apparatus and method for igniting plasma in a process module |
JP3279038B2 (ja) | 1994-01-31 | 2002-04-30 | ソニー株式会社 | プラズマ装置およびこれを用いたプラズマ処理方法 |
JP3365067B2 (ja) | 1994-02-10 | 2003-01-08 | ソニー株式会社 | プラズマ装置およびこれを用いたプラズマ処理方法 |
US5798016A (en) | 1994-03-08 | 1998-08-25 | International Business Machines Corporation | Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability |
US5406177A (en) | 1994-04-18 | 1995-04-11 | General Electric Company | Gas discharge lamp ballast circuit with compact starting circuit |
US5556549A (en) | 1994-05-02 | 1996-09-17 | Lsi Logic Corporation | Power control and delivery in plasma processing equipment |
US5514246A (en) | 1994-06-02 | 1996-05-07 | Micron Technology, Inc. | Plasma reactors and method of cleaning a plasma reactor |
EP0697467A1 (en) * | 1994-07-21 | 1996-02-21 | Applied Materials, Inc. | Method and apparatus for cleaning a deposition chamber |
US5637279A (en) | 1994-08-31 | 1997-06-10 | Applied Science & Technology, Inc. | Ozone and other reactive gas generator cell and system |
US5563709A (en) | 1994-09-13 | 1996-10-08 | Integrated Process Equipment Corp. | Apparatus for measuring, thinning and flattening silicon structures |
US5515167A (en) | 1994-09-13 | 1996-05-07 | Hughes Aircraft Company | Transparent optical chuck incorporating optical monitoring |
US5567255A (en) | 1994-10-13 | 1996-10-22 | Integrated Process Equipment Corp. | Solid annular gas discharge electrode |
US5576629A (en) * | 1994-10-24 | 1996-11-19 | Fourth State Technology, Inc. | Plasma monitoring and control method and system |
US5585766A (en) | 1994-10-27 | 1996-12-17 | Applied Materials, Inc. | Electrically tuned matching networks using adjustable inductance elements |
US5473291A (en) | 1994-11-16 | 1995-12-05 | Brounley Associates, Inc. | Solid state plasma chamber tuner |
DE69509046T2 (de) * | 1994-11-30 | 1999-10-21 | Applied Materials, Inc. | Plasmareaktoren zur Behandlung von Halbleiterscheiben |
US5468955A (en) | 1994-12-20 | 1995-11-21 | International Business Machines Corporation | Neutral beam apparatus for in-situ production of reactants and kinetic energy transfer |
JP3426382B2 (ja) | 1995-01-24 | 2003-07-14 | アネルバ株式会社 | プラズマ処理装置 |
US5568015A (en) | 1995-02-16 | 1996-10-22 | Applied Science And Technology, Inc. | Fluid-cooled dielectric window for a plasma system |
JP3257328B2 (ja) | 1995-03-16 | 2002-02-18 | 株式会社日立製作所 | プラズマ処理装置及びプラズマ処理方法 |
US5688415A (en) | 1995-05-30 | 1997-11-18 | Ipec Precision, Inc. | Localized plasma assisted chemical etching through a mask |
US5834905A (en) | 1995-09-15 | 1998-11-10 | Osram Sylvania Inc. | High intensity electrodeless low pressure light source driven by a transformer core arrangement |
US6253704B1 (en) * | 1995-10-13 | 2001-07-03 | Mattson Technology, Inc. | Apparatus and method for pulsed plasma processing of a semiconductor substrate |
US5965034A (en) | 1995-12-04 | 1999-10-12 | Mc Electronics Co., Ltd. | High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced |
US5756400A (en) * | 1995-12-08 | 1998-05-26 | Applied Materials, Inc. | Method and apparatus for cleaning by-products from plasma chamber surfaces |
US5767628A (en) | 1995-12-20 | 1998-06-16 | International Business Machines Corporation | Helicon plasma processing tool utilizing a ferromagnetic induction coil with an internal cooling channel |
JP3328498B2 (ja) | 1996-02-16 | 2002-09-24 | 株式会社荏原製作所 | 高速原子線源 |
US5630880A (en) | 1996-03-07 | 1997-05-20 | Eastlund; Bernard J. | Method and apparatus for a large volume plasma processor that can utilize any feedstock material |
US5892198A (en) | 1996-03-29 | 1999-04-06 | Lam Research Corporation | Method of and apparatus for electronically controlling r.f. energy supplied to a vacuum plasma processor and memory for same |
USD384173S (en) | 1996-07-19 | 1997-09-23 | Osram Sylvania Inc. | Electrodeless compact fluorescent lamp |
US5814154A (en) | 1997-01-23 | 1998-09-29 | Gasonics International | Short-coupled-path extender for plasma source |
US5914278A (en) | 1997-01-23 | 1999-06-22 | Gasonics International | Backside etch process chamber and method |
US6424232B1 (en) * | 1999-11-30 | 2002-07-23 | Advanced Energy's Voorhees Operations | Method and apparatus for matching a variable load impedance with an RF power generator impedance |
-
1997
- 1997-06-26 US US08/883,281 patent/US6150628A/en not_active Expired - Lifetime
-
1998
- 1998-06-23 EP EP03002613A patent/EP1313128B1/en not_active Expired - Lifetime
- 1998-06-23 WO PCT/US1998/013155 patent/WO1999000823A1/en active IP Right Grant
- 1998-06-23 EP EP03002583A patent/EP1310981B1/en not_active Expired - Lifetime
- 1998-06-23 DE DE69811497T patent/DE69811497T2/de not_active Expired - Lifetime
- 1998-06-23 EP EP03002617A patent/EP1313132B1/en not_active Expired - Lifetime
- 1998-06-23 EP EP03002582A patent/EP1310980B1/en not_active Expired - Lifetime
- 1998-06-23 JP JP50568899A patent/JP4070152B2/ja not_active Expired - Lifetime
- 1998-06-23 DE DE69842098T patent/DE69842098D1/de not_active Expired - Lifetime
- 1998-06-23 EP EP03002614A patent/EP1313129B1/en not_active Expired - Lifetime
- 1998-06-23 DE DE69841964T patent/DE69841964D1/de not_active Expired - Lifetime
- 1998-06-23 DE DE69841963T patent/DE69841963D1/de not_active Expired - Lifetime
- 1998-06-23 EP EP03002615A patent/EP1313130B1/en not_active Expired - Lifetime
- 1998-06-23 DE DE69841962T patent/DE69841962D1/de not_active Expired - Lifetime
- 1998-06-23 DE DE69841965T patent/DE69841965D1/de not_active Expired - Lifetime
- 1998-06-23 DE DE69842259T patent/DE69842259D1/de not_active Expired - Lifetime
- 1998-06-23 EP EP98930492A patent/EP0992059B1/en not_active Expired - Lifetime
- 1998-06-23 EP EP03002616A patent/EP1313131B1/en not_active Expired - Lifetime
- 1998-06-23 EP EP10176063A patent/EP2256781B1/en not_active Expired - Lifetime
- 1998-06-23 DE DE69841961T patent/DE69841961D1/de not_active Expired - Lifetime
-
2000
- 2000-09-12 US US09/659,881 patent/US6486431B1/en not_active Expired - Lifetime
-
2002
- 2002-05-10 US US10/143,070 patent/US6664497B2/en not_active Expired - Lifetime
- 2002-05-10 US US10/143,061 patent/US6559408B2/en not_active Expired - Lifetime
-
2003
- 2003-10-20 US US10/689,165 patent/US7161112B2/en not_active Expired - Fee Related
-
2006
- 2006-11-24 JP JP2006317424A patent/JP4791941B2/ja not_active Expired - Lifetime
- 2006-12-28 JP JP2006354857A patent/JP2007165325A/ja active Pending
-
2008
- 2008-05-14 JP JP2008126850A patent/JP4431183B2/ja not_active Expired - Lifetime
-
2009
- 2009-04-24 JP JP2009106092A patent/JP4608583B2/ja not_active Expired - Lifetime
Patent Citations (21)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4431898A (en) * | 1981-09-01 | 1984-02-14 | The Perkin-Elmer Corporation | Inductively coupled discharge for plasma etching and resist stripping |
JPS59221694A (ja) * | 1983-05-31 | 1984-12-13 | 株式会社日立製作所 | 核融合装置用真空容器 |
JPS6048195U (ja) * | 1983-09-09 | 1985-04-04 | 三菱重工業株式会社 | 真空容器の絶縁シ−ル装置 |
JPS6056298U (ja) * | 1983-09-26 | 1985-04-19 | 株式会社日立製作所 | 核融合装置用真空容器 |
JPS611024A (ja) * | 1984-06-12 | 1986-01-07 | Mitsubishi Electric Corp | 半導体回路製造装置 |
JPS6180088A (ja) * | 1984-09-28 | 1986-04-23 | 株式会社東芝 | 核融合装置の真空容器 |
JPS63210797A (ja) * | 1987-02-27 | 1988-09-01 | 株式会社東芝 | 核融合装置 |
JPS64699A (en) * | 1987-03-06 | 1989-01-05 | Perkin Elmer Corp:The | Induced plasma generator and its method |
JPH01122363A (ja) * | 1987-10-31 | 1989-05-15 | Japan Atom Energy Res Inst | 加速電源装置の保護回路 |
JPH0270063A (ja) * | 1988-09-02 | 1990-03-08 | Nippon Telegr & Teleph Corp <Ntt> | プラズマ/イオン生成源およびプラズマ/イオン処理装置 |
JPH02260399A (ja) * | 1989-03-31 | 1990-10-23 | Fuji Denpa Koki Kk | 高気圧プラズマアーク発生方法 |
JPH0367497A (ja) * | 1989-08-07 | 1991-03-22 | Jeol Ltd | 誘導プラズマ発生装置 |
JPH0492414A (ja) * | 1990-08-08 | 1992-03-25 | Mitsubishi Electric Corp | 薄膜形成装置 |
JPH05106053A (ja) * | 1991-10-16 | 1993-04-27 | Masuhiro Kokoma | グロープラズマ反応方法 |
JPH07183097A (ja) * | 1993-10-25 | 1995-07-21 | Applied Materials Inc | 半導体作製のための、プラズマと、プラズマ点火装置及び誘導結合装置を有するプロセス反応装置を用いたワ−クピ−スの処理方法 |
JPH07176524A (ja) * | 1993-11-05 | 1995-07-14 | Tokyo Electron Ltd | 真空処理装置用素材及びその製造方法 |
WO1996015545A1 (en) * | 1994-11-15 | 1996-05-23 | Mattson Technology, Inc. | Inductive plasma reactor |
JPH10508985A (ja) * | 1994-11-15 | 1998-09-02 | マットソン テクノロジー インコーポレーテッド | 誘導性プラズマリアクター |
JPH08227800A (ja) * | 1994-12-05 | 1996-09-03 | Tokyo Electron Ltd | プラズマ処理装置及びプラズマ処理方法 |
JPH08292278A (ja) * | 1995-04-21 | 1996-11-05 | Hitachi Ltd | プラズマ対抗材料 |
JPH097795A (ja) * | 1995-06-21 | 1997-01-10 | Mitsubishi Electric Corp | Ecrプロセス装置 |
Cited By (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR101507738B1 (ko) | 2009-02-27 | 2015-04-06 | 주식회사 뉴파워 프라즈마 | 플라즈마 점화와 전력 제어를 위한 방법 및 장치 |
KR100989316B1 (ko) | 2010-06-25 | 2010-10-25 | 이창경 | 플라즈마-강화 화학 증착장치 |
JP2015500557A (ja) * | 2011-12-08 | 2015-01-05 | エム ケー エス インストルメンツインコーポレーテッドMks Instruments,Incorporated | プラズマ・アプリケーター用のガス注入器 |
JP2017503307A (ja) * | 2013-11-18 | 2017-01-26 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 取り外し可能なインターフェイスを有する誘電体導管アセンブリを使用したプラズマ発生源及び関連するアセンブリ及び方法 |
JP2015176820A (ja) * | 2014-03-17 | 2015-10-05 | 株式会社リコー | プラズマ発生装置及び表面改質装置 |
Also Published As
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP4431183B2 (ja) | トロイダル・プラズマ・チャンバ | |
US6924455B1 (en) | Integrated plasma chamber and inductively-coupled toroidal plasma source | |
US7569790B2 (en) | Method and apparatus for processing metal bearing gases | |
US6815633B1 (en) | Inductively-coupled toroidal plasma source | |
US7541558B2 (en) | Inductively-coupled toroidal plasma source | |
US6552296B2 (en) | Toroidal low-field reactive gas source | |
EP1831425B1 (en) | Method of disposing metal bearing gases | |
US8779322B2 (en) | Method and apparatus for processing metal bearing gases |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A871 | Explanation of circumstances concerning accelerated examination |
Free format text: JAPANESE INTERMEDIATE CODE: A871 Effective date: 20080804 |
|
A975 | Report on accelerated examination |
Free format text: JAPANESE INTERMEDIATE CODE: A971005 Effective date: 20080929 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20081002 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20090303 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20090701 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20091030 |
|
A911 | Transfer to examiner for re-examination before appeal (zenchi) |
Free format text: JAPANESE INTERMEDIATE CODE: A911 Effective date: 20091110 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20091201 |
|
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20091218 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20121225 Year of fee payment: 3 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20121225 Year of fee payment: 3 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20131225 Year of fee payment: 4 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
EXPY | Cancellation because of completion of term |