DE69728256T2 - Modellgestützte prädiktive regelung für thermische behandlungen - Google Patents

Modellgestützte prädiktive regelung für thermische behandlungen Download PDF

Info

Publication number
DE69728256T2
DE69728256T2 DE69728256T DE69728256T DE69728256T2 DE 69728256 T2 DE69728256 T2 DE 69728256T2 DE 69728256 T DE69728256 T DE 69728256T DE 69728256 T DE69728256 T DE 69728256T DE 69728256 T2 DE69728256 T2 DE 69728256T2
Authority
DE
Germany
Prior art keywords
temperature
output
model
future
reactor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69728256T
Other languages
English (en)
Other versions
DE69728256D1 (de
Inventor
Hank De Ward
M. Robin DE KEYSER
Zhimin Lu
J. James DONALD
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM America Inc
Original Assignee
ASM America Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM America Inc filed Critical ASM America Inc
Publication of DE69728256D1 publication Critical patent/DE69728256D1/de
Application granted granted Critical
Publication of DE69728256T2 publication Critical patent/DE69728256T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B1/00Details of electric heating devices
    • H05B1/02Automatic switching arrangements specially adapted to apparatus ; Control of heating devices
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/04Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators
    • G05B13/048Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators using a predictor
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/0265Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric the criterion being a learning criterion
    • G05B13/027Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric the criterion being a learning criterion using neural networks only

Description

  • Bereich der Erfindung
  • Die Erfindung bezieht sich auf automatische Rückkopplungssteuerung von thermischen Vorgängen. Genauer gesagt bezieht sich die Erfindung auf modellbasierte, vorausberechnende Temperaturregelung von thermischen Prozeßreaktoren, wie sie bei der Halbleiterverarbeitung verwendet werden.
  • Beschreibung der verwandten Technik
  • Bis vor kurzem wurde Hochtemperaturverarbeitung, die zur Herstellung von integrierten Schaltkreisen notwendig ist, zumeist in heißwandigen, widerstandsbeheizten Batchreaktoren durchgeführt. Die Regelung der Gleichmäßigkeit der Wafertemperatur (innerhalb des Wafers, an verschiedenen Punkten) in diesen Reaktoren wurde generell nicht als ein Problem betrachtet, weil die Reaktoren im wesentlichen isotherm waren. Die räumliche Gleichmäßigkeit der (Wafer-zu-Wafer) Temperatur konnte effektiv durch Aufteilen der zylindrischen Heizspule in unterschiedliche Zonen gesteuert werden, wobei jede mit ihrem eigenen Temperaturfühlerregler und Stromversorgung ausgerüstet ist. Die äußeren Zonen werden typischerweise so angepaßt bzw. justiert, daß Wärmeverluste an den Enden des Ofens ausgeglichen werden. Unabhängige Einzelschleifen-PID-Regler von der Stange reichen für diese Zwecke aus. Der Trend zu größeren Wafer-Durchmessern, die anspruchsvollen Gleichmäßigkeitsanforderungen für ULSI-Anwendungen und die Anforderungen eines reduzierten thermischen Budgets führen alle zu einem erhöhten Gebrauch von Einzel-Wafer-Prozeßreaktcren. Für kommerziell machbaren Durchsatz ist es höchst wünschenswert, die Prozeßzykluszeit zu minimieren, indem im Wesentlichen nur der Wafer und seine unmittelbar Umgebung geheizt wird. In vielen Fällen sind Einzel-Wafer-Reaktoren von kaltwandigem oder warmwandigen Typ, bei denen Quarz- oder Edelstahl-Prozeßkammern wasser- oder luftgekühlt sind. Unter solchen Umständen ist das System nicht mehr isotherm und die Regelung der gleichmäßigen Temperatur wird zu einem Problem von beträchtlicher Bedeutung und technischer Schwierigkeit. Ein neuerer technischer Überblick über den Bereich wird in "Rapid Thermal Processing Systems: A Review with Emphasis on Temperature Control", F. Roozeboom, N. Parekh, J. Voc. Sci. Technol. B 8(6), 1249-1259, 1990, gegeben.
  • Spezifische physikalische Prozeßeigenschaften dienen dazu, den Bedarf an präziser Gleichmäßigkeit der Temperatur beispielhaft darzustellen. Eine gleichförmig epitaktische Abscheidung von Silizium sollte in einer Weise durchgeführt werden, die kristalline Wachstumsdefekte wie Kristallgitterversetzung minimiert. Solche Defekte werden durch Wärmegradienten in dem Wafer während der Hochtemperaturverarbeitung eingebracht, die mit steigender Temperatur gegenüber Gradienten empfindlicher reagiert. Während bei einer Prozeßtemperatur von 900°C zum Beispiel Gradienten von ungefähr 100°C über einen 8-Zoll-Wafer tolerabel sein können, sind bei Prozeßtemperaturen von 1100°C entsprechende Gradienten von nur 2-3°C zulässig. Es gibt gewisse experi mentelle Hinweise, die anzeigen, daß Gradienten von ungefähr 10°C für wenige Sekunden tolerierbar sein körnen. Die Abscheidung von polykristallinem Silizium (Polysilizium) findet typischerweise bei 600-700°C statt, wobei man sich nach einer Faustregel eine Gleichmäßigkeitsabweichung von 2% für jedes Grad des Temperaturgradienten einhandelt. Darüber hinaus können in Heterodepositionsprozessen wie der Polysiliziumabscheidung mehrfache Reflexionen und optische Interferenzen innerhalb der abgeschiedenen Overlayers bzw. Überlagerungsschichten zu Veränderungen in der Emission oder Absorption mit der Dicke der Overlayer Anlaß geben, was das Problem des Aufrechterhaltens einer gleichmäßigen Temperatur verschärft (J.C. Liao, T.I. Kamins, "Power Absorption During Polysilicon Deposition in a Lamp-Heated CVD Reactor", J. Appld. Phys., 67(8), 3848-3852 (1990)). Darüber hinaus können strukturierte Schichten auch zu Abweichungen bei der Lichtabsorption über den Wafer hinweg führen, wodurch lokale Temperaturgradienten erzeugt werden. (P. Vandenabeele, K. Maex, "Temperature Non-Uniformities During Rapid Thermal Processing of Patterned Wafers", Rapid Thermal Processinq, SPIE, Vol. 1189, S. 84-103, 1989).
  • Die vorgenannten Faktoren, die die Ausgestaltung eines Regelungssystems verkomplizieren, sind nicht nur für schnelle thermisch-chemische Gasphasenabscheidungssysteme (Rapid Thermal Chemical Vapor Deposition, RTCVD) offensichtlich, sondern gelten auch für thermische Verarbeitungssysteme (Thermal Processing, TP) im allgemeinen, wobei die Notwendigkeit genauer Prozeßsteuerung durch die Forderung nach minimalen Prozeßzykluszeiten ausgeglichen wird. Die im allgemeinen kurzen Prozeßzykluszeiten und die schnelle Dynamik der Einzel-Wafer-Systeme machen die dynamische Regelung der Gleichmäßigkeit der Temperatur zu einem Erfordernis von beträchtlicher technischer Schwierigkeit. Die Strahlungsheizungssysteme, die zur schnellen Waferheizung verwendet werden, weisen entweder Bogenlampen oder Bänke von linearen Wolfram-Halogen-Lampen auf, die in verschiedene unabhängig steuerbare Heizungszonen unterteilt sind. Der Wafer selbst stellt im Prinzip ein komplexes thermisches System dar, dessen Interaktion mit der Strahlungsenergie von Natur aus nicht-linear ist. Darüber hinaus genügt es nicht, die erforderlichen Leistungs- bzw. Spannungseinstellungen von einer Temperaturmessung des Wafers an einem einzelnen Punkt abzuleiten, da die Anforderungen an die Leistungsverteilung über den Wafer hinweg bezüglich der dynamischen Gleichmäßigkeit im Vergleich zu stationärer Gleichmäßigkeit andere sind. Im allgemeinen werden verschiedene Sensoren benötigt, um eine gleichmäßige Temperaturverteilung über den Wafer hinweg zu messen und aufrecht zu halten. Diese Überlegungen machen die Temperaturregelung im Wesentlichen zu einem Problem mit mehreren Eingangsgrößen und mehreren Ausgangsgrößen (Multi-Input, Multi-Output, MIMO) oder zu einem Problem mit mehreren Variablen. Wegen der großen Interaktion zwischen Zonen, die von Natur aus in strahlungsgeheizten Systemen vorhanden ist, kann von herkömmlichen Regelungstechniken, zum Beispiel dem Verwenden einer Einfachschleifen-, gekoppelter oder Master-Slave-artiger PID-Regelung, nicht erwartet werden, daß sie für thermische Prozeßreaktorsysteme die erforderlichen Regelungsspezifikationen für alle Betriebsbedingungen erfüllen. Herkömmliche PID-Regelungstechniken sind bei den gewünschten Prozeßraten anfällig für zeitliche Verzögerung, Überschreitung und Instabilität und werden daher zu begrenzenden Faktoren in Einzel-Wafer-Prozeßreaktoren. Somit besteht bei der Ver arbeitung von elektronischem Material ein deutlicher Bedarf an Systemen, die eine genaue, dynamische Regelung mit mehreren Variablen aufrecht halten können, während ein kommerziell brauchbarer Wafer-Durchsatz gewährleistet wird.
  • Die vorstehende Diskussion hat deutlich den Bedarf an effektiver Regelung der Gleichmäßigkeit in thermischen Prozeßreaktoren mittels eines Ansatzes mit mehreren Variablen skizziert. Diese Sicht wird von vielen Autoren bestätigt. Siehe zum Beispiel verschiedene Beiträge in Rapid Thermal and Integrated Processing Symposium, ed. J.C. Gelpey et al., Mater. Res. Soc. Symp Proc., Vol. 224, 1991. Speziell diskutieren Artikel von Moslehi et al. (S. 143-156), Apte et al. (S. 209-214) und Norman et al. (S. 177-183) verschiedene Aspekte der Temperaturregelung mit mehreren Variablen. Über verschiedene Versuche, Modelle für RTP- und RTCVD-Systeme zu entwikkeln, wird in der Literatur berichtet. Zwei Beispiele, Norman und Gyurcsik et al., haben verschiedene Modelle entwickelt, die beide einen First-Principles-Ansatz bzw. axiomatischen Ansatz verwenden, und haben die Modelle auf die Optimierung der Gleichmäßigkeit angewandt (S.A. Norman, "Optimization of Wafer Temperature Uniformitiy in Rapid Thermal Processing Systems", ISL Tech. Rep. No. 91-SAN-1 , Subm. to IEEE Trous. on Electron Devices, 1991; R.S. Gyurcsik, T.J. Riley, R.Y. Sorrel, "A Mode for Rapid Thermal Processing: Achieving Uniformity Through Lamp Control", IEEE Trans. on Semicon. Manf., Vol. 4(1), 1991). Das Modell von Norman (1991) besteht aus zwei Komponenten. Die erste Komponente modelliert den (zweidimensionalen) Wärmeausgleich des Wafers und wird zur Berechnung des Profils der Wafertemperatur im eingeschwungenen Zustand für einen gegebenen Wärmestrom aus den Lampen verwendet. Die zweite Komponente modelliert den Hitzefluß aus den Lampen als eine Funktion der individuellen Lampenleistung. Ein Verfahren kleinster Quadrate wird verwendet, um eine quadratische Beziehung zwischen der gewünschten Temperatur an diskreten radialen Positionen auf dem Wafer und der Flußdichte von den Lampen anzupassen. Als nächstes wird das Lampenmodell verwendet. um optimale relative Leistungseinstellungen für die Lampen zu ermitteln, die den benötigten Fluß approximieren. Dieses Verfahren ist nur zur Regelung der Gleichmäßigkeit im eingeschwungenen Zustand anwendbar, d.h. bei konstanter Eingangsgröße. Jedoch berachten Norman et al. (1991) nicht nur das Problem der Optimierung im eingeschwungenen Zustand, sondern auch das Problem, eine optimale Verlaufskurve zu entwerfen. Zu diesem Zweck ist das dynamische Modell eine Annäherung mit endlichen Differenzen (finite-difference approximatior) an die eindimensionale Wärmegleichung, einschließlich der Effekte der Leitung bzw. der Leitfähigkeit in dem Wafer, des Konvektionswärmeverlustes aus dem Wafer und der Strahlungsübertragung bzw. Übertragung der Strahlungsenergie. Eine Minimax-Lösung wird für die Optimierung der Teichmäßigkeit im eingeschwungenen Zustand und der Verfolgung der Verlaufskurve gewählt.
  • Das Modellieren eines dynamischen Systems ist ein wesentlicher Bestandteil der vorausberechnendn Steuerungsregeln, die die grundlegende Struktur für eine eindeutige bzw. einzigartige Klasse heutiger Steueralgorithmen zur Verfügung stellen. Im Wesentlichen gründen sich Strategien zur System – oder Anlagensteuerung auf vorausberechnetes zukünftiges Verhalten der Anlage, das auf einem geeignet akkuraten dynamischen Modell der Anlage vorausberechnet wird. Die zukünfti gen Steuerstrategien sind nicht statisch und erstrecken sich nicht beliebig auf zukünftige Zeitfenster; sondern sie werden vielmehr periodisch aktualisiert gemäß dem Anlagenmodell nach Art eines sogenannten zurückweichenden Horizonts (receding horizon fashion). Für eine Reihe von Jahren war die vorausberechnende Steuerung bzw. Regelung Gegenstand umfangreicher Forschung und Entwicklung. In der Tat ist die vorausberechnende Regelung das zentrale Thema hinter den Benchmark- bzw. Bewertungsarbeiten von Cutler und Ramaker in ihrem Dynamischen Matrix-Regelungsagorithmus (Dynamic Matrix Control, DMC) (C. Cutler, B.L. Ramaker, "Dynamic Matrix Control – A Computer Control Algorithm", Joint Automatic Controls Conference Proceedings, San Francisco, 1980) und Richalet et al. in ihrem Modell-Algorithinischen-Regelungsalgorithmus (Model Algorithmic Control, MAC) (J.A. Richalet, A. Rault, J.D. Testud, J. Papon, "Model Predictive Heuristic Control: Application to Industrial Processes", Automatica, Vol. 14, No. 413, 1978) Weitere vorausberechnende und adaptive Eigenschaften werden von R.M.C. de Keyser et al., "Self-Tuning Predictive Control", Journal A. Vol. 22, No. 4, S. 167-174, 1981 einbezogen; und jüngeren Datums von Clarke et al. in ihrem Verallgemeinerten Vorausberechnenden Regelungsalgorithmus (Generalized Predictive Control, GPC) (D.W. Clarke, C. Mohtadi, P.S. Tuffs, "Generalized Predicitve Control. Part I: The Basic Algorithm", Automatica, Vol. 23, No. 2, S. 137-148, 1987). Vieles von der heutigen Arbeit über Regelung in der Literatur basiert zum Teil auf diesen Ansätzen.
  • In DMC und anderen ähnlichen Ansätzen werden die Anlagenmodelle identifiziert und in die Form von deterministischen Impulsreaktions- oder Schrittreakaionsmodellen gebracht. Während diese Modellformen gut verstanden sind, sind sie häufig rechnerisch schwerfällig und stellen erhebliche Kompromisse zwischen Genauigkeit und Reaktion für langfristige Modellvorhersagen dar. Weiterhin scheint DMC nicht in der Lage zu sein, unstabile Anlagen mit nicht-minimalen Phasen und offenen Schleifen zu behandeln. Eine erhebliche, rehabilitierende Eigenschaft von DMC ist diejenige des zurückweichenden Horizonts, nach der Regelungsschrittgröße als Null angenommen werden. Diese vorteilhafte Annahme ist in GPC einbezogen, die in verschiedenen Ableitungen auch von Erweiterungen der Anlagenmodelle mit selbstregressiven, gleitenden Durchschnitten (Auto-Regressive Moving Average, ARMA) wie CARMA oder CARIMA (Controlled Auto-Regressive Moving Average, CAR-Integrated-MA) Gebrauch macht. Die ARMA-Anlagenrriodelle werden im allgemeinen durch Ausdrücke rapräsentiert, die Polynome A, B und C des Zeitverschiebe-Operators q–1 einbeziehen. Der Verschiebe-Operator q–1 wirkt auf eine Funktion der diskreten Zeitvariablen f(t), so daß q–1 f(t)= f(t-1) ist und im allgemeinen q–u f(t) = f(t-u) ist. Die Modellpolynome A, B und C wirken auf Prozeßeingangsgrößen u(t), Prozeßausgangsgrößen y(t) und Prozeßstörungen e(t), so daß:
    Figure 00040001
  • Solche Modelle stellen sowohl die Anlagendynamik mittels der Polynome A, B als auch die Störung mittels A, C dar. Ein besonderer Vorteil ist, daß die Anzahl von Parametern in dem Modell minimal ist, so daß sie mit hoher Effizienz abgeschätzt werden können. Wie von Clarke et al. skizziert, werden die Langzeit-Vorausberechnungen der Anlage am besten durch Rekursion einer zugehörigen Diophantischen Gleichung erreicht, die die Modellparameter einbezieht. Ein ähnliches AR-MA-Modell und rekursive Modellvorausberechnung findet sich auch im US Patent No. 5.301.101 von MacArthur et al., das eine adaptive, auf dem zurückweichenden Horizont basierte Steuerung offenbart, die Mechanismen zum Betreiben von Kostenminimierung einbezieht.
  • Nichtsdestoweniger gab es trotz der jüngsten Anstrengung, neue, nützliche Steuerungstechniken mit mehreren Variablen zu entwickeln, bis jetzt wenig Erfolg bei ihrer Anwendung auf die anspruchvollen Bedingungen, die durch kommerzielle Wärmeprozeßreaktoren auferlegt werden. Die einzigen augenscheinlichen Erfolge heutzutage gingen mit der Verwendung von physikalischen Modellen anstelle der hier eingesetzten Blackbox-Modelle einher (siehe z. B. Cole Porter et al., "Improving Furnaces with Model-Based Temperature Control", Solid State Technology, November 1996, Seite 119).
  • Ein Beispiel eines temperaturgeregelten Wafer-Temperaturreaktors wird in US-A-4.975.561 gegeben, das einen Reaktor beschreibt, der von Strahlungsheizung aus Heizungslampen Gebrauch macht, die durch einen einfachen Vergleicher geregelt werden. Ein komplexeres System wurde von T. Breedijk et al. beschrieben ("A model predicitive controller for multivariable temperature control in rapid thermal processing", Proceedings of the American Control Conference, San Francisco, 2.-4. Juni 1993, Vol. 3, 2. Juni 1993, Seiten 2980-2984, XP000410804 Institute of Electrical and Electronics Engineers). Dies beinhaltet eine Prozeßkammer mit einem Wafer, eine Vier-Zonen-Wolfram-Halogen-Leuchte zum Bereitstellen einer Heizung und vier Thermoelemente. Es wird eine vorausberechnende Regelung mit mehreren Variablen und einem nicht-linearen Modell verwendet.
  • A. Karaduman et al. ("Nonlinear model predictive temperature control of a batch polymerization reactor", Advances in Process Control, 27. September 1995, Seiten 203-210, XP002081211 UK) beschreiben einen alternativen Temperaturreaktor in der Form eines Tankreaktors für nasse, chemische Polymerisation. Ein schubweise gerührter Tankreaktor hat eine kühlende Hülle, einen manuell geregelten, elektrischen Heizer und Ausstattung zur Onlineregelung durch Computer. Die Reaktortemperatur wird durch Beeinflussung der Flußrate des Kühlwassers in die Hülle während einer wärmeerzeugenden Phase der Reaktion geregelt.
  • Vorausberechnende Regelung findet auch in anderen Bereichen Anwendung. Zum Beispiel beschreibt US-A-5.301.101 ein Heizungs- und Klimatisierungssystem zum Beibehalten der Temperatur eines Gebäudes, das ein lineares Prozeßmodell verwendet, um die vorausberechnende Regelung zu erreichen.
  • Zusammenfassung der Erfindung
  • Es ist ein Ziel der vorliegenden Erfindung, ein Verfahren und eine Vorrichtung für ein effektiveres Temperaturregelsystem in thermischen Prozessen mit mehreren Variablen bereitzustellen. Dementsprechend ist ein erster Aspekt der vorliegenden Erfindung auf einen temperaturgeregelten, thermischen Prozeßreaktor gerichtet, der aufweist:
    • eine Reaktionskammer, die einen zu erhitzenden Gegenstand umschließt;
    • eine Mehrzahl von Quellen thermischer Energie, die diesen Gegenstand erhitzen;
    • eine Mehrzahl von Wärmesensoren, wobei jeder Sensor darauf eingerichtet ist, eine Sensortemperatur zu messen, wobei jede Sensortemperatur in Beziehung zu einer tatsächlichen Temperatur des Gegenstandes steht, wobei jeder Wärmesensor ein Ausgabesignal liefert, das für die ent sprechende Sensortemperatur repräsentativ ist und wobei jede der Quellen thermischer Energie jede der Sensortemperaturen beeinflußt; und
    • einen modellbasierten, vorausberechnenden Temperaturregler, der ein nicht-lineares Prozeßmodell aufweist, wobei der Temperaturregler dafür eingerichtet ist, die Ausgangssignale zu empfangen und die Quellen thermischer Energie in Reaktion auf diese Ausgangssignale zu steuern, um eine ausgewählte räumliche und zeitliche Verteilung der Wärmeenergie zu erzeugen, um eine relativ gleichmäßige tatsächliche Temperatur auf dem Gegenstand beizubehalten, wobei der modellbasierte, vorausberechnende Temperaturregler ein thermisches Prozeßmodell mit mehreren Variablen aufweist, das die thermische Eingangsenergie des Prozesses mit mehreren Variablen zur Ausgangstemperatur des Prozesses mit mehreren Variablen in Beziehung setzt, weiterhin einen Vorausberechnen, der das thermische Prozeßmodell zum Berechnen einer vorausberechneten nominalen Temperaturausgabe über eine zukünftige Zeitspanne hinweg verwendet, und einen Steuerungsrechner, der die vorausberechnete nominale Temperaturausgangsgröße zum Berechnen einer optimalen Regelstrategie zum Regeln der Quellen thermischer Energie verwendet.
  • Ein zweiter Aspekt der vorliegenden Erfindung richtet sich auf ein Verfahren zur Regelung eines Wärmeprozesses in einem Reaktor, wobei der Reaktor eine Reaktionskammer aufweist, die einen zu erhitzenden Gegenstand, weiterhin eine Mehrzahl von Quellen thermischer Energie, die den Gegenstand erhitzen und eine Mehrzahl von Wärmesensoren umschließt, wobei jeder Sensor dafür eingerichtet ist, eine Sensortemperatur zu messen, die mit einer tatsächlichen Temperatur des Gegenstandes in Beziehung steht, wobei jeder Wärmesensor ein Ausgangssignal liefert, das für die Sensortemperatur repräsentativ ist, und wobei jede der Quellen thermischer Energie jede der Sensortemperaturen beeinflußt, und einen modellbasierten, vorausberechnenden Temperaturregler, der ein nicht-lineares Prozeßmodell aufweist, wobei das Verfahren die Schritte aufweist:
    • Messen einer oder mehrerer Prozeßausgangstemperaturen;
    • Vorausberechnen einer Mehrzahl zukünftiger Prozeßausgangstemperaturen durch Verwenden des nicht-linearen thermischen Prozeßmodells;
    • Verwenden einer oder mehrerer gemessener Prozeßausgangstemperaturen und der vorausberechneten zukünftigen Prozeßausgangstemperaturen zum Berechnen einer optimalen Regelungsstrategie für den Prozeßeingang durch Vergleich einer oder mehrerer vorausberechneter zukünftiger Prozeßausgangstemperaturen mit einer gewünschten zukünftigen Temperatur und dem Verwenden dieses Vergleichs in einem Algorithmus zum Berechnen der optimalen Regelungsstrategie für den Prozeßeingang; und
    • Regeln einer Prozeßeingangswärmeenergie mittels der berechneten optimalen Regelungsstrategie für den Prozeßeingang.
  • Kurzbeschreibung der Zeichnungen
  • 1 ist eine schematische perspektivische Ansicht eines schnellen thermisch-chemischen Gasphasenabscheidungs-Einzel-Wafer-Reaktors.
  • 2 ist ein schematisches Diagramm eines Temperaturregelsystems nach dem Stand der Technik, das in Einzel-Wafer-Reaktoren verwendet wird.
  • 3 zeigt repräsentative Daten, die das Verfolgen und die Reaktion eines Temperaturregelsystems mit mehreren Variablen nach dem Stand der Technik charakterisieren.
  • 4 ist ein Basis-Blockdiagramm eines modellbasierten Temperaturregelsystems mit mehreren Variablen.
  • 5 ist ein Blockdiagramm eines modellbasierten, vorausberechnenden Temperaturregelsystems mit mehreren Variablen.
  • 6 ist ein Flußdiagramm, das einen bevorzugten Vorausberechnungs- und Regelungsalgorithmus darstellt.
  • 7 ist ein Systemdiagramm eines bevorzugten modellbasierten vorausberechnenden Temperaturregelsystems mit mehreren Variablen.
  • Die 8A und 8B stellen einen beispielhaften Eingabe-/Ausgabe-Identifikationsdatensatz für die zentrale Zone dar, der die Systemstimuli (B) und Reaktion (A) zeigt.
  • 9 stellt eine beispielhafte Simulation der Systemausgabe mittels Systemeingabedaten für die zentrale Zone dar.
  • 10 stellt eine beispielhafte Restkorrelation für den Eingabe-/Ausgabedatensatz der zentralen Zone des Systems dar.
  • 11 stellt einen beispielhaften Modellvorausberechnungsdatensatz verglichen mit Systemausgabedaten dar.
  • 12A stellt eine beispielhafte Kommandosequenz und Ausgabereaktion für jede Reaktorzone dar.
  • 12B stellt eine beispielhafte Eingabereaktion auf die Kommandosequenz von 12A dar
  • 13A stellt beispielhafte Daten dar, die das Verfolgen und die Reaktion auf jede Ausgangsvariable des Systems charakterisieren.
  • 13B stellt beispielhafte Daten dar, die das Verfolgen und die Reaktion jeder Eingangsvariablen des Systems auf die Kommandosequenz von 13A charakterisieren.
  • 14A ist ein Blockdiagramm, das einen Überblick über ein Fabrikationssystem darstellt.
  • 14B ist ein Blockdiagramm, das genauer als 14A die verschiedenen Hardware-, Software- und konzeptionellen Komponenten eines Fabrikationssystems darstellt, das einen auf einem nicht-linearen neuronalen Netzwerk basierenden Regler aufweist.
  • 15 stellt ein Blockdiagramm des nicht-linearen Prozeßmodells dar.
  • 16 stellt ein typisches neuronales Netzwerk dar.
  • 17A ist ein Blockdiagramm des parallelen Modellnetzwerks.
  • 17B ist ein Blockdiagramm des reihen-parallelen Modellnetzwerks.
  • 18 ist ein Flußdiagramm, das den Prozeß zum Berechnen eines neuen Satzes von Vorausberechnungen für n(t+k|t), u(t+k|t) und y(t+k|t) bei jedem Zeitschritt t darstellt
  • 19 stellt ein einfaches neuronales Netzwerk mit einem verborgenen Neuron dar.
  • 20 stellt die Wellenformen in dem Regler mit einer einzigen Eingangsgröße und einer einzigen Ausgangsgröße (Single Input, Single Output, SISO) dar.
  • 21 ist ein Flußdiagramm, das die Schritte darstellt, die zur Berechnung der Schrittreaktionen in dem MIMO-Vorausberechnen nötig sind.
  • 22 stellt die Sigmoid-Funktion dar, die in dem neuronalen Netzwerk von 16 verwendet wird.
  • 23 (bestehend aus 23A und 23B) ist ein Flußdiagramm, das die Pseudo-Kleinste-Quadrate-Prozedur (Pseudo Least Square, PLS) darstellt.
  • 24 ist ein Blockdiagramm, das eine Erweiterung des Basis-Fabrikationssystems zu einem Softsensor-Fabrikationssystem darstellt.
  • Detaillierte Beschreibung der bevorzugten Ausführungsformen
  • ÜBERBLICK ÜBER RTP-PROZEßSTEUERUNG
  • Das modellbasierte vorausberechnende Steuerungssystem der vorliegenden Erfindung wird hier im Kontext eines Systems zur schnellen thermischen Verarbeitung (Rapid Thermal Processing, RTP) und insbesondere eines Systems zur schnellen thermo-chemischen Gasphasenabscheidung (Rapid Thermal Chemical Vapor Deposition, RTCVD) dargestellt, das selbst vorteilhaften Gebrauch von dem höheren Grad von Temperaturgleichmäßigkeit macht, die durch die vorliegende Erfindung bereitgestellt wird. In der Beschreibung und in den Zeichnungen wird die Vorrichtung in einer allgemein schematischen Weise dargestellt, und nur jene Teile, die zum Veranschaulichen des hier offenbarten Erfindungskonzeptes notwendig sind, sind enthalten. Insbesondere versteht es sich, daß die Vorrichtung innerhalb eines umgebenden Gehäuses (nicht abgebildet) enthalten sein und von diesem gehalten werden soll, in und an dem eine benötigte Steuerung des Stroms gasförmiger Reaktanten, Prozeßsteuerungen, Instrumentierung und andere begleitende Mechanismen angebracht und montiert sein sollen.
  • Das in 1 dargestellte RTCVD-System 30 weist eine Reaktorkammer 30 von horizontalen Stromtyp auf, die aus einem Material gebildet wird, das für die Strahlungswärmeenergie transparent ist, wie Quarzglas. Die Reaktorkammer 30 kann einen röhrenförmigen Schacht mit einem Kreuzungsabschnitt aufweisen, der den Durchgang das Reaktantengasflusses 28 definiert. Das Substrat oder der Wafer 22 kann in der Mitte der Reaktionskammer 30 von einem kreisförmigen, plattenartigen Suszeptor bzw. Träger 24 getragen werden, der von einem drehbaren Antriebswellenbauteil 26 an der Stelle gehalten wird, das sich aus der Reaktorkammer 30 hinaus erstreckt. Der Träger 24 ist im allgemeinen aus einem Material hergestellt, das für die von der Strahlungsheizquelle gelieferte Strahlungswärmeenergie undurchlässig und vorzugsweise wärmeleitfähig ist. Zum Beispiel kann der Träger 24 aus einem Material wie Graphit hergestellt sein. Eine Mehrzahl von Thermoelementen 44, 46, 48, 50 zum Bestimmen der lokalen Substrattemperatur an vorbestimmten Stellen auf dem Substrat 22 sind in den Träger 24 eingebettet, die hier an den Waferpositionen Zentrum 44, vorne 46, seitlich 48 bzw. hinten 50 abgebildet sind. Die Signale der Thermoelemente werden an den unten diskutierten Temperaturregler übergeben.
  • Die Strahlungsheizsysteme, die für schnelle Wafererhitzung verwendet werden, weisen im allgemeinen entweder Bogenlampen oder Bänke von gestreckten Wolfram-Halogenlampen auf, die in verschiedene, unabhängig regelbare Heizzonen unterteilt sind. Die in 1 abgebildete Strah lungsheizquelle weist zwei Bänke von leistungsstarken, gestreckten Wolfram-Halogenlampen auf, die oberhalb und unterhalb der Reaktorkammer 30 liegen. Die obere Bank von Lampen ist parallel zu dem Prozeßgasstrom 28 ausgerichtet und die Mehrzahl der Lampen in der oberen Bank ist in Abschnitte unterteilt, die eine zentrale Zone 34 und zwei seitliche Zonen 40 aufweisen, entsprechend ihrer relativen Nähe bezogen auf den Wafer 22 und den Gasstrom 28. In analoger Weise ist die untere Lampenbank senkrecht zu dem Prozeßgasstrom 28 ausgerichtet, und die Mehrzahl der Lampen in der unteren Bank ist in Abschnitte unterteilt, die eine zentrale Zone 32, eine vordere Zone 38 und eine hintere Zonen 36 aufweisen, entsprechend ihrer relativen Nähe bezogen auf den Wafer 22 und den Gasstrom 28. Die elektrische Leistung, die von Lampentreibern (unten diskutiert) an die Lampen geliefert wird, wird typischerweise von einer Mehrzahl von SCR-Netzteilen (unten diskutiert) geregelt, die dafür eingerichtet sind, das Tastverhältnis oder den Phasenwinkel zu regeln, über den die elektrische Leistung an die Kombination von Lampen geliefert wird, die spezifische Heizzonen beeinflussen. Der Phasenwinkel der SCR-Heizung wird vorzugsweise so eingestellt, daß er eine linearisierte Leistungseingabe für die Lampen ergibt, wie es zum Beispiel in sogenannten V2- oder V*I-Betriebsmodi geschieht.
  • Im Betrieb wird das Substrat 22 zum Beginn des Prozeßzyklus' in die Reaktionskammer 30 und auf den Träger 24 gelegt. Ein Reaktantengas fließt durch die Reaktionskammer 30 in die durch den Gasstrompfeil 28 angegebene Richtung, um Material auf dem Substrat 22 abzuscheiden. Während eines Prozeßzyklus' läuft eine gewünschte Sequenz von Schritten des thermischen Prozesses in Übereinstimmung mit der reaktiven Gasverarbeitung ab. Die thermische Verarbeitungssequenz wird durch Einstellen des Leistungsniveaus der Lampen durchgeführt, um eine gewünschte Wafertemperatur zu einem bestimmten Zeitpunkt in dem Prozeßzyklus zu erreichen. Die an die verschiedenen Heizzonen gelieferte Strahlungswärmeenergie wird auf der Grundlage von Temperaturmessungen innerhalb der jeweiligen Heizzonen geregelt. Diese Information wird an das unten diskutierte Temperaturregelsystem geliefert. Das Substrat 22 wird nach Beendigung des Prozeßzyklus' aus der Reaktionskammer 30 entfernt.
  • Wie zuvor diskutiert sind die Kaltwand- und Warmwand-Reaktionskammern wie die in 1 gezeigten von Natur aus nicht isotherm. Somit wird das Erreichen einer gleichmäßigen Temperaturverteilung durch ungleichmäßigen Wärmestrom, Wafergeometrie und damit einhergehende optische Eigenschaften verkompliziert. Die Position, die Orientierung und das Leistungsniveau der in 1 abgebildeten Lampen sind im Prinzip dafür eingerichtet, eine gleichmäßige Temperaturverteilung über den Wafer 22 hinweg zu liefern, indem für eine passende räumliche und zeitliche Verteilung der Wärmeenergie gesorgt wird. Die Mehrzahl von Lampen, die die unterschiedlichen Zonen ausmachen, zum Beispiel sowohl die seitlichen Zonen 40 als auch jene der vorderen und hinteren Zonen 38 und 36, werden mit variierenden Niveaus elektrischer Leistung versorgt, die die Regeleingangsgrößen mit mehreren Variablen umfassen. Diese Regeleingangsgrößen erzeugen veränderliche Strahlungsleistungsniveaus in den verschiedenen Heizzonen, um die Temperaturverteilung über das Substrat 22 während der Waferbearbeitung zu beeinflussen. Die verschiedenen, die Lampen betreibenden Leistungen werden durch einen Temperaturregler eingestellt, der auf der Grundlage von Echtzeit-Temperaturrückkopplung arbeitet, die durch die Thermoelemente 44, 46, 48 und 50 bereitgestellt wird, welche die Regelausgangsgrößen mit mehreren Variablem aufweisen. Die Aktion des Temperaturregelsystems kompensiert vorzugsweise die zuvor erwähnte nicht gleichmäßige Wärmecharakteristik des Wafers 22 und des Reaktors 20, um eine gleichmäßige Verteilung der Wafertemperatur zu bewirken.
  • Wie in 2 abgebildet kann ein beispielhaftes Temperaturregelsystem mit mehreren Variablen nach dem Stand der Technik für einen RTCVD-Reaktor eine Mehrzahl von Proportional-Integral-Differential-Reglern (PID-Reglern) aufweisen, die in diesem Bereich wohlbekannt sind und in sogenannten Master-Slave-Anordnungen arrangiert sind. Eine Draufsicht auf den Wafer 22 zeigt die relativen Positionen der Lampenheizzonen 32, 34, 36, 38, 40 und 42 und der abtastenden Thermoelemente 44, 46, 48 und 50 bezogen auf den Wafer 22 und den Gasstromvektor 28, wie zuvor beschrieben. Die Temperaturfühler 44, 46, 48 und 50 sind so angeschlossen, daß sie die PID-Regler 64, 66, 68 bzw. 70 mit Signalen zu versorgen, die die lokale Temperatur des Wafers 22 angeben. Die PID-Regler 64, 66, 68 und 70 sind auch mit Quellen von Referenzsignalen verbunden, die jeden PID-Regler mit einem jeweiligen Temperaturreferenzsignal oder Sollwert versorgen. In der hier abgebildeten, sogenannten Master-Slave-Anordnung ist eine Prozeßsteuerung 62 angeschlossen, um den zentralen PID-Regler 64 mit der globalen oder Master-Sollwert-Information zu versorgen, während die PID-Regler 66, 68 und 70 mit dem zentralen Temperaturfühler 44 des Wafers 22 verbunden und auf diesen bezogen sind. Die Ausgangssignale der PID-Regler 64, 66, 68 und 70 sind ihrerseits an entsprechende Sätze von Netzteilen mit siliziumgesteuerten Gleichrichtern (Silicon Controlled Rectifier, SCR) 84, 86, 88 und 80 angeschlossen, die die elektrische Leistung der Lampen für die Heizzonen 32/34, 36, 40/42 bzw. 38 regeln.
  • Im allgemeinen sind die in 2 abgebildeten PID-Regler in Betrieb, um die Fehlersignale, welche die Differenzen zwischen den jeweiligen Referenztemperaturen und den jeweiligen gemessenen Temperaturen darstellen, durch eine negative Rückkopplungsanpassung der jeweiligen Lampenleistungen zu minimieren. Das von einem bestimmten PID-Regler erzeugte Rückkopplungssignal wird durch die Antwortcharakteristik des Reglers und des Reaktors festgelegt und stellt als solches eine beträchtliche Herausforderung für das Optimieren dar. Mehrere Meßwerte werden möglicherweise verwendet, um die dynamische Systemreaktion wie Reaktionsgeschwindigkeit, Genauigkeit, relative Stabilität und Empfindlichkeit zu charakterisieren. Ein solcher Regler liefert zum Beispiel ein Rückkopplungssignal, das aus drei Termen besteht, einem ersten Term proportional zu dem Fehlersignal, einem zweiten Term proportional zu dem Zeitintegral des Fehlersignals und einem dritten Term proportional zu der zeitlichen Ableitung des Fehlersignals. Alle drei Proportionalitätskonstanten erfordern eine Abstimmung bzw. Einstellung. Unter statischen oder stationären eingeschwungenen Bedingungen würde man erwarten, daß der zentrale PID-Regler 64 die zentrale Wafertemperatur auf einem vorbestimmten Referenzwert hält, und die abhängigen PID-Regler 66, 68, 70 die Randzonen auf der Temperatur der zentralen Zone halten. Wie in 3 abgebildet stellt die Kurve 90 eine Stufe in der Sollwert-Wafertemperatur dar und die Kurve 92 repräsentiert die zeitliche Reaktion der zentralen Zone 44 auf diese Stufe, wobei eine stabile, eingeschwungene Temperatur der zentralen Zone nach einer hinreichend langen Einschwingzeitspanne angezeigt wird. Eine zeitliche Reaktion einer Randzone wird durch die Kurve 94 wiedergegeben, die auch stabiles, eingeschwungenes Verhalten auf lange Zeit anzeigt. Allerdings ist sogar ein optimal justiertes PID-Reglersystem durch naturgegebene Zeitverzögerungen, charakteristische Reaktionszeiten und Überschwingweiten eingeschränkt, wie durch die transiente zeitliche Reaktion der Kurve 92 angezeigt wird. Darüber hinaus beeinflußt eine Änderung in einer Zone die transiente Regelung anderer Zonen, da die Heizzonen eng gekoppelt sind, zumindest durch temporäres Induzieren von Temperaturgradienten wie durch die Kurve 96 gezeigt. Gekoppelte PID-Systeme wie in 2 abgebildet erhöhen die Ansprüche an die Reaktion und sind gemeinhin verstimmt bzw. falsch abgestimmt, um Instabilität zu vermeiden, was auf Kosten des Waferdurchsatzes geht.
  • ÜBERBLICK ÜBER MODELLBASIERTE VORAUSBERECHNENDE REGLER
  • Wie in dem Basis-Blockdiagramm von 4 gezeigt, verwendet ein Wärmeprozeßreaktor, der eine bevorzugte Ausführungsform des modellbasierten, vorausberechnenden Regelsystems der vorliegenden Erfindung beinhaltet, Heizzonentemperaturfühler 44, 46, 48, 50 als die Regeleingaben mit mehreren Variablen. Die Temperaturfühler beliefern einen modellbasierten, vorausberechnenden Regler 100 mit Information über den Zustand des Systems, nämlich die Zonentemperaturen des Substrats 22. Basierend auf dieser Information berechnet der modellbasierte, vorausberechnende Regler 100 eine optimale Sequenz der künftigen Regelstrategie, die elektrische Leistungseingaben für die separaten Heizzonenlampen 32, 34, 36, 38 und 40 aufweist. Die Prozeßsteuerung 62 ist mit dem modellbasierten, vorausberechnenden Regler 100 verbunden und beliefert ihn mit der Sequenz der gewünschten Prozeßtemperaturen.
  • Die hier offenbarten Regeltechniken mit mehreren Variablen bieten eine verbesserte Regelleistung im Vergleich zu herkömmlichen PID-artigen Reglern, weil sie mehr Information über die Dynamik des Systems beinhalten. Diese Information wird in einem Modell mit auto-regressivem, gleitendem Durchschnitt (Auto-Regressive Moving Average, ARMA) benutzt, daher der Name modellbasierte, vorausberechnende Regelung. Störgrößenaufschaltung oder vorausberechnende Kompensation bis zu einem zuvor festgelegten Receding Horizon der Vorausberechnung liefert verbesserte Regelleistung, da sie dem Regler zu reagieren ermöglicht, bevor eine meßbare Störung auf das System einwirkt. Die Sequenz von Regelvorausberechnungen wird in einer rekursiven Weise gegenüber dem ARMA-Modell aufgestellt, wodurch die Reaktionszeit und Flexibilität des Reglers erhöht wird.
  • Eine Ausführungsform des Regelsystems der vorliegenden Erfindung wird unter Bezug auf das Blockdiagramm von 5 beschrieben, das zeigt, daß der Temperaturregler 100 (4) verschiedene einander beeinflussende Komponenten aufweist. Das Gesamtblockdiagramm des dynamischen Systems (z. B. der Regler, der Reaktor, die Lampen und die Sensoren) weist sowohl den Regler 100 als auch die Anlage oder den Reaktor 20 auf, für den der Regler verantwortlich ist. Der Reaktor 20 kann unkontrollierten Störungen 104 ausgesetzt sein, die die Reaktion des Reaktorzustandes durch den Störsignaleingang e(t) 124 beeinflussen. Das Störsignal 124 kann auf den Zustand des Reaktor 20 einwirken, wie er von der Mehrzahl von Prozeßregeleingaben y(t) 116 (oder Prozeßausgaben) gemessen wird, wobei sie in diesem Fall ein Array von Meßwerten aufweisen, die von den Temperaturfühlern 44, 46, 48, 50 bei der diskreten Zeitvariablen t vorgenommen werden. Diese Regeleingabe 116 wird an den Temperaturregler 100 durch den Vorausberechnen 108 übergeben. Der Temperaturregler weist prinzipiell aufeinander einwirkende Komponenten auf: den Vorausberechnen 108, das Modell 110, einen Regler- oder Regelvorschrift-Prozessor 112 und wird mit einer Kommandosequenz W(t) 122 aus einer Prozeßsteuerung 106 gemäß der zuvor definierten Sequenz von gewünschten Prozeßtemperaturen versorgt. Der Vorausberechner 108 berechnet eine Sequenz künftiger Reaktorzustände y(t+k|t) (120), wobei k ein diskreter Zeitindex bezogen auf den Zeitpunkt t ist. Wie hier definiert, wird ein vorausberechneter funktionaler Wert f(t+k), vorgenommen zum Zeitpunkt t, mit f(t+k|t) bezeichnet. Die Vorausberechnungen y(t+k|t) werden durch irgendeine Formulierung getroffen, die auf dem Modell 126 beruht, gekoppelt mit der Regeleingabe 116 und der Regelstrategie u(t) 118. Die Ausgabe des Vorausberechners 120 erstreckt sich vorwärts in der Zeit von t bis t+N, wobei N der Vorausberechnungshorizont ist. Die Vorausberechnungen y(t+k|t) werden reziptok als Eingabe an den Regelvorschriftprozessor 112 geliefert. Der Regelvorschriftprozessor 112 berechnet eine optimale Regelstrategie u(t) 118 basierend auf einem zuvor festgelegten Regelkriterium (später diskutiert), der gelieferten Ausgabe des Vorausberechners 120 und der gelieferten Kommandosequenz W(t) 122. Die optimale Regelstrategie 118 wird als eine Prozeßeingabe an einen Lampentreiber 102 übergeben, der das Regelsignal 118 in Eingabesignale der elektrischen Leistung P(t) 114 umwandelt. Die Lampeneingabesignale 114 werden an die Reaktorlampen übergeben, wodurch die Strahlungswärmeverteilung innerhalb des Reaktors 20 beeinflußt wird.
  • MODELLBASIERTER VORAUSBERECHNENDER REGELALGORITHMUS
  • Die folgende detaillierte Beschreibung liefert eine funktionale Erklärung des in dem modellbasierten, vorausberechnenden Regler verwendeten Algorithmus'. Eine kurze Herleitung des Algorithmus' dient dazu, die Anwendung sowohl auf Temperaturregelung im allgemeinen als auch auf die bevorzugten Ausführungsformen der RTP-Temperaturregelung zu erläutern. Der Klarheit wegen beginnt die Herleitung mit einem Prozeßmodell mit einer einzelnen Eingabe und einzelnen Ausgabe (Single-Input, Single-Output, SISO), das danach auf den Fall mit mehrfacher Eingabe und mehrfacher Ausgabe (Multi-Input, Multi-Output, MIMO) verallgemeinert wird.
  • Das SISO-Prozeßmodell
  • In diesem Abschnitt wird die allgemeine Formulierung für das lineare Polynomialmodell mit einer einzelnen Eingabe und einzigen Ausgabe (SISO) beschrieben.
  • Ein bevorzugtes SISO-Polynomialmodell hat die folgende Form:
    Figure 00120001
    wobei y(t) die Regeleingabe ist, u(t) die Prozeßeingabe ist, e(t) eine bei Null gemittelte, Gauss'sche, weiße Rauschsequenz ist, t der diskrete Zeitindex (T=...-2, -1, 0, 1, 2,... ) ist, q–1 der Rückschiebe-Operator q–1y(t) = y(t-1) ist und A(q–1), B(q–1), C(q–1), D(q–1) und F(q–1) die folgenden Polynome sind:
    Figure 00130001
  • Hierbei sind die Polynome C(q–1) und F(q–1) asymptotisch stabile Polynome mit all ihren Nullstellen strikt innerhalb des Einheitskreises, und D(q–1) ist ein stabiles Polynom mit seinen Nullstellen innerhalb des oder auf dem Einheitskreis(es). Das Polynom A(q–1) kann instabile Prozeßpolstellen enthalten, und das Polynom B(q–1) kann Nicht-Minimal-Phasen-Nullstellen enthalten. Die Polynome C(q–1) und D(q–1) werden hier als Entwurfpolynome definiert. Eine vorteilhafte Eigenschaft der vorliegenden, bevorzugten Formulierung des Modells ist die Definition und Einbeziehung der Polynome D(q–1) und F(q–1). Ihr Einfluß auf das Modellverhalten entkoppelt effektiver jede Korrelation zwischen der Rauscheingabe e(t) und der Prozeßeingabe u(t). Es wird angenommen, daß eine solche Entkopplung das wahre Verhalten eines Wärmeprozeßreaktors genauer widerspiegelt.
  • Der SISO-Mehrschritt-Vorausberechnen
  • Um die Vorausberechnungen des Modells zu vereinfachen, sind die gefilterten Signale yf(t) und uf(t) definiert als
    Figure 00130002
    Figure 00140001
  • Als Konsequenz davon kann Gleichung (1) neu geschrieben werden als
    Figure 00140002
  • Daher ist eine andere vorteilhafte Eigenschaft der vorliegenden, bevorzugten Formulierung des Modells die Definition und der Gebrauch der gefilterten Signale yf(t) und uf(t). Wie hier offenbart, liefern die gefilterten Signale yf(t) und uf(t) bequem Lösungen in geschlossener Form für die vorausberechnete Reaktion y(t+k|t). Wie zuvor definiert bezeichnet y(t+k|t) den vorausberechneten Wert von y(t+k) basierend auf den zum Zeitpunkt t verfügbaren Meßwerten, d. h. {y(t), y(t-1 ),..., u(t-1 ), u(t-2), ...} und den geforderten) künftigen Werten der Prozeßeingabe { u(t|t), u(t+1|t),..., u(t+k|t)}. Aus dem Ausdruck für die gefilterte Ausgabe zum Zeitpunkt t+k, nämlich
    Figure 00140003
    folgt, daß der optimale k-Schritt-Vorausberechner einfach gegeben ist als
    Figure 00140004
    wobei e(t) als reines weißes Rauschen angenommen wird. Für k ≤ 0 ist der Vorausberechner gegeben durch
    Figure 00140005
  • Ausgedrückt mittels der ungefilterten Prozeßausgabe können die Gleichungen (5) und (6) geschrieben werden als
    Figure 00140006
    und Gleichung (8) spielt eine wesentliche Rolle in der richtigen Initialisierung der Differenzgleichung (7). Der Filter yf(t+k|t) wird bei jedem Schritt t erneut initialisiert und ergibt fortlaufend alle Werte in dem gesamten Vorausberechnungsbereich {y(t+k|t)} für k=1 ...N, wobei N der Vorausberechnungshorizont ist.
  • Die Struktur des Vorausberechnungsalgorithmus' ist im Wesentlichen wie diejenige in dem gestrichelten Block 148 des in 6 abgebildeten Flußdiagramms. Die Prozeßsteuerung beginnt mit dem Initialisierungsblock 127, gefolgt von einer Berechnung des Ertrags- bzw. Zuwachsvektors K 129 der erzwungenen Reaktion (wird unten in Verbindung mit der Regelvorschrift diskutiert). Zu jedem Zeitschritt t werden sowohl die Vektoren der Prozeßeingabe y(t) und der -ausgabe u(t) als auch die gefilterten Vektoren yf(t) und uf(t) in dem Zeitindex verschoben wie durch den Verschiebeblock 128a angegeben gemäß der Receding-Horizon-Formulierung. Die folgenden Prozeßschritte erläutern die Struktur des Vorausberechners:
    • (1) y(t) an einem Prozeßblock 130 messen und die Daten in einer Datenbank { y(t), y(t-1),...; u(t-1), u(t-2),...} wie bei einem Prozeßblock 132 angegeben speichern;
    • (2) die künftige Regelungsverfahrensweise { u(t|t), u(t+1|t),..., u(t+k|t)} in einem Prozeßblock 134 postulieren. Die einfachste über die künftigen Prozeßeingangsgrößen zu treffende Annahme ist, daß sie konstant bleiben. Somit gilt u(t-1)=u(t|1) = u(t+1|t))= ... u(t+N|t). Wie in dem nächsten Abschnitt C näher ausgeführt, führen die hier getroffenen Annahrnen zu einer Berechnung für die freie Reaktion des Systems, die nachfolgend mit der gewünschten Reaktion verglichen wird, um eine optimale Regelungsstrategie abzuleiten.
    • (3) den Vektor der gefilterten Eingaben { uf(t|t), uf(t+1 |t),..., uf(t+N |t)} in einem Prozeßblock 136 gemäß Gleichung (3) berechnen mittels:
      Figure 00150001
      Figure 00160001
      und wobei bd0=0 (dab0=0) und fc0 (da f0=1 und c0=1). Das Ergebnis in einem Prozeßblock 138 in einer Datenbank {uf(t)} speichern;
    • (4) yf(t) in einem Prozeßblock 140 gemäß Gleichung (2) berechnen mittels
      Figure 00160002
      und ad0=1 (daa0=1 und d0=1); Das Ergebnis in einer Datenbank {yf(t)} speichern, wie in einem Prozeßblock 142 angegeben;
    • (5) Die gefilterte Prozeßausgabe yf(t+k|t) in einem Prozeßblock 144 gleich der gefilterten Prozeßeingabe uf(t+N|t) setzen gemäß Gleichung (5):
      Figure 00160003
    • (6) Die Vorausberechnungen {y(t+1|t), y(t+2|t),..., y(t+N|t)} in Prozeßblock 146 aus den Gleichungen (7) und (8) berechnen mittels:
      Figure 00170001
    • Man beachte, daß nur uf(t) und yf(t) für den nächsten Zeitschritt (t+1) aufbewahrt werden müssen. Alle anderen vorausberechneten Daten, die mit (t+k|t) angegeben sind, können nach dem Zeitpunkt t vergessen werden. Der Satz von Vorausberechnungen y(t+k|t) wird an den vorausberechnenden Regler übergeben, der im folgenden Abschnitt beschrieben ist.
  • Der vorausberechnende SISO-Regler
  • Der vorausberechnende Regler der vorliegenden Erfindung legt die Regelungsstrategie u(t) fest, die die Kostenfunktion N minimiert, die definiert ist als
    Figure 00170002
    mit der Nebenbedingung
    Figure 00170003
    wobei w(t) der tatsächliche Sollwert ist, N der Vorausberechnungshorizont ist, Nu der Regelhorizont ist, Δ u(t)=u(t)-u(t-1) und Δ u(t+k|t)=u(t+k|t)-u(t+k-1|t). Die Kostenfunktion H weist quadratische Terme in [w(t+k)-y(t+k)] und [u(t+k)-u(t+k-1)] auf. Der Satz von Termen, die die Regeleingabe y(t) beinhalten, spiegeln den vorausberechneten Fehler der Reglernachführung wider, der wünschenswerterweise bezogen auf künftige Regelbewegungen u(t+k|t) minimiert wird. Der Satz von Termen, die die Regelstrategie u(t) beinhalten, spiegelt den Aufwand zum Erreichen eines gegebenen Niveaus des Nachführungsfehlers wider. Der Vorfaktor λ wird vorzugsweise so abgestimmt, daß die gewünschte Stufe der Reglerreaktion zur Verfügung steht. In einer aktuell offenbarten, beispielhaften Ausführungsform ist λ=0.
  • Da das Modell des Systems linear ist, kann die künftige Reaktion y(t+k|t) als eine Überlagerung zweier separater Beiträge betrachtet werden:
    Figure 00180001
  • Hierbei ist die freie Reaktion y0(t+k|t) das Ergebnis der vorangegangenen Prozeßeingaben {u(t-1 ), u(t-2),...,}, wobei angenommen wird, daß alle künftigen Regelbewegungen Null sind (d. h. Δ u(t |t) = Δ u(t+1|t)= ... = 0 oder gleichbedeutend u(t|t) = u(t-1), u(t+1|t) = u(t),... ), und der Störungen, die auf das System einwirken. Die freie Reaktion wird mit der in dem vorigen Abschnitt gegebenen Prozedur unter Verwendung des Vorausberechnungshorizontes N berechnet und u(t|t) = u(t+1|t))= ... = u(t+N|t) = u(t-1).
  • Die erzwungene Reaktion yP(t+k|t) ist das Ergebnis der künftigen Regelbewegungen Δ u(t|t), Δ u(t+1|t),..., Δ u(t+Nu-1|t). Es ist die Folge einer Sequenz von Schritteingaben an das System: ein Schritt mit Amplitude Δ u(t|t) zum Zeitpunkt t, der zu einem Beitrag gk Δ u(t|t) zu der vorausberechneten Ausgabe zum Zeitpunkt (t+k) führt, plus einem Schritt mit Amplitide Δ u(t+1|t) zum Zeitpunkt (t+k), etc. Die gesamte Wirkung ist somit
    Figure 00180002
    wobei G(q–1) = g0 + g1q–1 + g2q–2 + ...
  • die Schrittreaktion des Systems B(q–1)/(A(q–1)F(q–1)) ist. Da b0 = 0, ist dann g0 = 0. Darüber hinaus ist gk = 0 für k < 0. Die Verwendung von Matrixschreibweise und die Annahme, daß N ≥ Nu ist, führen zu dem folgenden Ausdruck für den Vektor der erzwungenen Reaktionsbeiträge zu den Vorausberechnungen:
    Figure 00180003
  • In Matrixschreibweise kann der Vektor der vorausberechneten Fehler geschrieben werden als:
    Figure 00190001
  • Oder gleichwertig mit offensichtlichen Definitionen für die neu eingeführten Variablen als
    Figure 00190002
  • In derselben Weise und zur selben Zeit kann die Kostenfunktion (9) mittels Gleichung (12) geschrieben werden als
    Figure 00190003
  • Minimieren von N bezüglich U ergibt die Lösung
  • Figure 00190004
  • Nur das erste Element von U* wird tatsächlich zur Berechnung der Regelungseingabe benötigt:
    Figure 00190005
  • Beim nächsten Zeitfenster (t+1) wird der ganze Vorgang wiederholt, wobei die neue Meßinformation y(t+1) und die neuen Sollwertdaten w(t+N+1) entsprechend dem Receding-Horizon-Prinzip berücksichtigt wird.
  • Wenn die erste Zeile von (GTG+λ|)–1GT mit K bezeichnet wird, ist die Regelvorschrift gegeben durch
    Figure 00200001
  • Der Zuwachs- bzw. Zugewinnvektor K wird gemäß dem vorstehenden Matrixausdruck berechnet. Man beachte, daß dieser Zuwachsvektor nur einmal in dem nicht-adaptiven Fall berechnet werden muß, d. h. in dem Fall, in dem die Modellparameter unverändert bleiben. Diese Berechnung kann in der Initialisierungsphase des Algorithmus, wie zuvor erwähnt und in dem Prozeßblock 128 von 6 abgebildet, vorgenommen werden. Alternativ kann der Zuwachsvektor vorab offline berechnet und im Speicher gespeichert werden. Eine adaptive Erweiterung der vorstehenden Regelvorschrift würde im Wesentlichen für periodische Anpassung des Zuwachsvektors K sorgen.
  • Ein gestrichelter Teil 166 des Flußdiagramms in 6 entspricht dem vorausberechnenden Regler und wird mit den Prozeßausgabevorausberechnungen y(t+k|t) 120 versorgt, die in dem gestrichelten Teil 148 erzeugt werden. Weil die geforderte künftige Regeleingangsgröße u(t+k|t) als konstant angenommen wird und gleich u(t-1) ist (Prozeßblock 134), ist die vorausberechnete Ausgabe y(t+k|t) gleichbedeutend mit der künftigen freien Reaktion des Systems y0(t+k|t). In einem Prozeßblock 150 wird die freie Reaktion des Systems auf die zuvor berechneten Vorausberechnungen y(t+k|t) gesetzt (Block 146). Die freie Reaktion des Systems wird zusammen mit der aktuellen Sollwertinformation aus einem Block 154 an den Prozeßblock 152 übergeben. Bei dem Prozeßblock 152 wird die optimale Prozeßregeleingabe U*(t) unter Verwendung von y0(t+k|t), W(t), u(t-1) und des Zuwachsvektors K, der anfangs in Block 128 berechnet wurde, berechnet. Die optimale Regeleingabe U*(t) wird zum Einstellen der Lampentreiber zum Zeitpunkt = t in einem Prozeßblock 158 verwendet. Zusätzlich wird der Wert von U*(t) in die Prozeßeingabematrix {u(t)} in dem Block 156 aufgenommen, die anschließend in Vorbereitung für die Operation für den nächsten Zeitschritt an den Prozeßblock 134 übergeben wird. Im Anschluß an das Einstellen der Lampenbankregelung in dem Block 158 kann ein Entscheidungsblock 162 testen, um herauszufinden, ob der Prozeßzyklus fertig ist. Wenn nicht, wird in einem Block 160 eine Zeitschritterhöhung vorgenommen, was dann sowohl in dem Block 154 die Sollwertmatrix verschiebt als auch bei dem Block 129 die Eingabe/Ausgabe-Matrix verarbeitet.
  • Der vorausberechnende MIMO-Regler
  • Man erkennt, daß die Formulierung des modellbasierten, vorausberechnenden Regelalgorithmus' für Systeme mit mehrfacher Eingabe und mehrfacher Ausgabe (Multi-Input, Multi-Output, MIMO) eine Erweiterung des SISO-Falles ist. Fachleute auf dem Gebiet der Regelsysteme wissen, wie man den zuvor beschriebenen Berechnungsformalismus auf Systeme mit mehreren Variablen erweitert.
  • Die MIMO-Regelsysysteme, die durch die Verfahren der vorliegenden Erfindung modelliert werden, sind jene, die durch eine Mehrzahl von Eingabe- bzw. Eingangsvariablen ui(t) und Ausgabe- bzw. Ausgangsvariablen yj(t) charakterisiert sind, wobei die Indizes i,j der Variablen bis zur Anzahl der jeweiligen Eingabe- bzw. Ausgabevariablen m,n hochlaufen. Jede Ausgabe des MIMO steht in Beziehung mit allen Eingaben über eine dynamische Beziehung der Form (1)
    Figure 00210001
  • Hierin bezeichnet m die Anzahl von Eingangsgrößen und n die Anzahl von Ausgangsgrößen. Sowohl m als auch n sind gleich vier in dem Fall des in 1 abgebildeten Beispiel-RTCVD-Systems.
  • Der MIMO-PAehrschritt-Vorausberechner wird bequemerweise als ein aufeinanderfolgend angewandter Vorausberechnen eines Modells mit mehrfacher Eingabe und einfacher Ausgabe (Multi-Input, Single-Output, MISO) betrachtet. Daher kann Gleichung (15) als ein Satz von gekoppelten MISO-Modellen betrachtet werden. Wenn man die gefilterten Signale definiert als
    Figure 00210002
    wird das gefilterte Prozeßausgabesignal geschrieben als:
    Figure 00210003
    analog zu dem in Gleichung (4) Dargestellten.
  • Daher wird der k-Schritt-Vorausberechner der j-ten Prozeßausgabe gegeben durch
    Figure 00210004
  • In ähnlicher Weise wird das MISO-Äquivalent der Gleichungen (7) und (8) gegeben durch
    Figure 00220001
  • Die auch den vorausberechnenden MIMO-Regler erzeugte Wirkung minimiert vorzugsweise die zu den Gleichungen (9) und (10) analoge Kostenfunktion mit mehreren Variablen:
    Figure 00220002
    bezogen auf ΔUi((t+k|t) und mit der Randbedingung:
    Figure 00220003
  • Wenn man die folgende Schreibweise für die Koeffizienten der Schrittreaktion bezogen auf die Eingabe j und d e Ausgabe j einführt
    Figure 00220004
    kann die erzwungene Reaktion der Ausgabe j wegen der geforderten künftigen Änderungen der Regeleingaben
    Figure 00220005
    geschrieben werden als:
    Figure 00230001
    mit ähnliches Ausdrücken für die anderen Zonen. Der Vektor der vorausberechneten Fehler für die erste Prozeßsausgabe in dem Zeitrahmen, der von Interesse ist, kann nun geschrieben werden als:
    Figure 00230002
    oder äquivalent mittels der Matrixschreibweise in Analogie zu Gleichung (12),
    Figure 00230003
    mit ähnlichen Ausdrücken für die anderen Prozeßausgaben. Unter Verwendung derselben Schreibweise kann die Kostenfunktion (24) geschrieben werden als:
    Figure 00240001
  • Die allgemeine Lösung für die Minimierung der Gleichung (28) unter den Nebenbedingungen bzw. Kriterien von Gleichung (27) und ähnlichen Gleichungen für die anderen Prozeßausgaben stellt sich heraus als
    Figure 00240002
    wobei I die Einheitsmatrix der entsprechenden Dimension ist, und
    Figure 00240003
  • Schließlich wird die Regelausgabe berechnet mittels
    Figure 00240004
  • In der Praxis können exemplarische Modellparameter zum Beispiel Koeffizienten des Polynomialmodels 3-ter Ordnung mit mehrfacher Eingabe und mehrfacher Ausgabe (Multi-Input, Multi-Output, MIMO) aufweisen, die definiert sind durch:
    Figure 00240005
    wobei
    • Aj = 1,
    • Dj = 1-q–1
    • Cj _ (1-C1q–1)(1-C1q–1)
    für alle j und n = m = 4 für die zuvor beschriebene exemplarische Ausführungsform. Empirisches Testen eines bestimmten Reaktors legt die am besten geeigneten Werte für die Koeffizienten fest wie unten skizziert.
  • VORAUSBERECHNENDES REGELSYSTEM FÜR SCHNELLE WÄRMEPROZESSE
  • Die vorstehende Beschreibung eines bevorzugten Modells und Algorithmus' für ein modellbasiertes, vorausberechnendes Regelsystem mit mehreren Variablen ist von allgemeiner Natur. Es kann auf eine Vielzahl von Systemen mit Eingabe-/Ausgabebeziehungen angewandt werden, die durch ein hinreichend genaues Modell beschrieben werden, das in einer ARMA-Weise implementiert ist. Das auf lange Sicht vorausberechnende Natur des modellbasierten Regelalgorithmus' sorgt für eine schnelle Reaktion und ein robustes Verhalten zusätzlich zu der durch das ARMA-Modell gewährten Flexibilität.
  • Die folgende Systembeschreibung bezieht den vorstehenden Algorithmus, das Model und die Modellimplementierung ein, um eine statische und dynamische Regelung der Gleichmäßigkeit der Temperatur in schnellen, thermischen Verarbeitungsreaktoren zur Verfügung zu stellen.
  • Wie in 7 gezeigt, weist ein Temperaturregelsystem mit mehreren Variablen für einen schnellen Wärmeprozeßreaktor ein Temperaturfühlerarray auf, das innerhalb des Prozeßreaktors 20 angeordnet bzw. verteilt ist. Die Temperaturfühler können Thermoelemente oder andere äquivalente Einrichtungen aufweisen. In den vorliegenden Ausführungsformen sind die Thermoelemente 180, 182, 184 und 186 oder andere derartige Temperaturfühler mit dem Suszeptor 24 verbunden, wie zuvor in 1 beschrieben. Die Temperaturfühler 180, 182, 184 und 186 sind jeweils über Eingabe-/Ausgabe-Einrichtungen wie Pufferverstärker und Analog-zu-Digital-Wandler (A/D) 188, 190, 192 und 194 mit einem Datenbus verbunden. Die Temperaturfühler-Eingabe-/Ausgabe-Einrichtungen 188, 190, 192 und 194 sind vorzugsweise in einem Temperaturdatenbeschaffungsaufbau 172 untergebracht und sind in der Nachbarschaft des Reaktors 20 angeordnet, um den Meßfehler zu minimieren. Die Ausgänge der A/D-Wandler 188, 190, 192 und 194 sind mit einem Datenbus 195 verbunden, der seinerseits mit einem Eingabe-/Ausgabe-Anschluß 167 des Systemtemperaturreglers 170 verbindet. Der Temperaturregler 170 weist einen Prozessor 165, eine Datenspeichereinrichtung 169 und Daten-Eingabe-/-Ausgabe-Einrichtungen 167, 168 auf, die eine Hardware-/Software-Implementierung des vorstehenden modellbasierten vorausberechnenden Regelalgorithmus' bereitstellen. Der Ausgang des Systemreglers 170 ist über einen Datenbus 198 mit einer Vielzahl von Lampentreibern 174 verbunden und beliefert die Lampentreiber mit ihren entsprechenden Regelsignalen U*(t). Wie zuvor erwähnt kann die Mehrzahl von Lampentreibern eine Bank von SCR-Leistungsreglern aufweisen, die in einer zuvor festgelegten Weise eingerichtet sind, um elektrische Leistung an die Mehrzahl von Lampen in dem Reaktor 20 zu liefern. Vorzugsweise sind die SCR's und die Lampen so verbunden, daß sie Strahlungsenergie an die Mehrzahl von Reaktorheizzonen entsprechend der bevorzugten Strahlungswärmeverteilung innerhalb des Reaktors 20 liefern. Die Lampentreiberausgänge P(t) 200 sind mit den Lampen gemäß diesem Plan verbunden, wodurch die Temperaturregelschleife vervollständigt wird.
  • Im Betrieb liefern die Temperaturfühler 180, 182, 184 und 186 analoge Signale, die die Wafertemperatur in den Zonen Zentrum, Seite, Vorn bzw. Hinten anzeigen. Wie in 7 abgebildet, werden die analogen Signale von den A/D-Wandlern 188, 190, 192 bzw. 194 gefiltert (gepuffert) und in digitale Signale umgewandelt. Die digitalisierte Temperaturinformation Y(t) wird über den Datenbus 196 an den Systemregler 170 übertragen, der die optimale Regelstrategie U*(t) mittels des vorstehenden modellbasierten vorausberechnenden Regelalgonthmus' und des dynamischen Systemmodells berechnet. Die für die künftige Verarbeitung benötigte Information, nämlich Y(t) und U*(t), wird in der Datenspeichereinrichtung des Reglers aufbewahrt. Der Systemregler 170 überträgt die Regeleingabe U*(t) über den Datenbus 198 an den Lampentreiberaufbau 174, von wo aus die Regelsignale U*(t) an die passenden SCR-Packs 171, 173, 175 verteilt werden. Die SCR's wandeln die Regelsignale U*(t) in die Lampentreibersignale P(t) um, wie zuvor in Verbindung mit dem System nach dem Stand der Technik von 2 diskutiert. Die Lampentreibersignale P(t) werden an die Lampenbänke in dem Reaktor 20 über den Bus 200 übermittelt und unter ihnen verteilt. Die Lampenbänke und Lampentreibersignale sind räumlich und zeitlich, zum Teil durch den Temperaturregler 170, dafür r eingerichtet, um ein zuvor festgelegtes räumliches und zeitliches Temperaturprofil über den Wafer 22 bereitzustellen.
  • IDENTIFIKATION UND PARAMETRISIERUNG DES REAKTORMODELLS
  • Dieser Abschnitt offenbart exemplarische Vorgänge zur Identifikation und zum Modellieren, um zu einem Modell zu gelangen, das die Dynamik eines schnellen Wärmereaktors mit mehreren Variablen genau beschreibt. Das folgende Modell befindet sich beim Kern des modellbasierten vorausberechnenden Temperaturregelsystems der vorliegenden Erfindung. Die Testanordnung und -bedingunger werden zuerst beschrieben, wonach die Vorgänge zur Auswahl der Modellstruktur und -Ordnung diskutiert werden. Das Modell wird dann zusammen mit einer exemplarischen Modellvalidierung vorgestellt.
  • Modellierung und Identifikation
  • Zur Modellierung und Identifikation wird ein PC-basiertes Datenerfassungs- und Steuerungssystem (Data Acquisition and Control, DA&C) (nicht abgebildet) an den RTCVD-Reaktor angeschlossen. Es wird ein softwarebasiertes System verwendet, um die Schnittstelle zwischen der DA&C-Hardware und dem Benutzer zur Verfügung zu stellen. Der PC wird verwendet, um die Temperatur in dem Reaktor zu regeln, zum Beispiel mittels eines herkömmlichen, softwarebasierten PID-Algorithmus'. das DA&C-System ist auch in der Lage, Stimuli in der Form von geeigneten Testsignalen in das System in einem Offen-Schleifen-Modus einzuspeisen und die Reaktion der Temperaturfühler zu ermitteln. Dieser Offen-Schleifen-Modus weist einen wesentlichen Teil der Systemoperationen während der Experimente zur Identifikation auf. Die Eingangsgrößen für das System wie Signale zum Treiben der SCR's und die Ausgaben wie Thermoelementmeßwerte werden in einer Datei gespeichert. Die Analyse der Signale und die Modellierung werden offline mittels softwarebasierter Analyse durchgeführt, die Fachleuten auf dem Gebiet der Modellidentifikation vertraut ist. Die Experimente zur Identifikation führen zu einem Modell für die Übertragungsfunktion aus den vier Regelsignalen für die zentrale, vordere, seitliche und hintere Zone zu den zentralen 44, vorderen 46, seitlichen 48 und hinteren 50 Thermoelementen.
  • Die Experimente zur Identifikation an dem RTCVD-Reaktor werden bei atmosphärischem Druck und bei einer Temperatur zwischen 600°C-800°C durchgeführt, was ein typischer Temperaturbereich für Polysiliziumabscheidung ist. Die Einstellungen der Zonenverhältnisse des Reglers werden für eine Gleichmäßigkeit im eingeschwungenen Zustand bei 650°C optimiert und werden während des Experimentes konstant gehalten. Das System ist für eine Verarbeitung von 6"-Wafern ausgelegt. Ein Stickstoff-Reinigungsstrom von 20 slm wird während des gesamten Experiments verwendet. Experimente zur Identifikation werden für typische epitaktische Abscheidungsbedingungen auch in H2-Umgebungen sowohl bei 1 atm als auch bei reduziertem Druck bei ungefähr 200°C durchgeführt. Die Konfiguration der Lampenbank kann angepaßt werden und weicht im allgemeinen von der zuvor in 2 abgebildeten bezüglich der Zonenverteilung und Lampenleistung ab. Fachleute auf dem Gebiet des Reaktorentwurfs werden erkennen, daß eine Vielzahl von Lampenbankverteilungen möglich ist. Insbesondere kann eine exemplarische Lampenverteilung alle Lampen bei derselben Nennleistungsbemessung zwischen 3kW und 7kW betreiben, mit einigen Änderungen in der Verteilung der SCR-Lampentreiber zu den Lampenheizzonen. Darüber hinaus kann sich die SCR-/Lampen-Verkabelung zwischen den Zonen unterscheiden, um die Leistungsverteilung zwischen den Lampen zu erleichtern. Die bevorzugte Verteilung, Leistung und Verkabelung der Lampenbänke hängt im allgemeinen von der gewünschten Wärmeverarbeitung und Reaktorgeometrie ab. Für die Zwecke der vorliegenden, bevorzugten Ausführungsformen führen die bevorzugten Entwurfskriterien zu einer Konfiguration der Lampenbänke mit besserer Regelbarkeit der peripheren Zonen und mit reduzierten Temperaturdifferenzen sowohl über den Wafer als auch zwischen dem Wafer und dem Suszeptor bzw. Träger.
  • Eine sorgfältige experimentelle Ausführung bzw. Gestaltung zur dynamischen Systemidentifikation ist vorrangig für das Erhalten eines guten Modells. Einige Gestaltungsvariablen müssen betrachtet werden: die Art und Form des Eingabesignals, sein Spektrum, die Abtastrate, die Anzahl von Abtastungen und die Antialiasing-Vorabtastungsfilter. Im Wesentlichen muß des Experiment so gestaltet werden, daß es informativ ist, d. h. das es den Experimentierenden mit der gewünschten Information über das System versorgt. Damit ein Experiment informativ ist, müssen die Eingabe-Stimuli durchgehend erregend bzw. anregend sein. Im Grunde bedeutet das, daß die Eingabesignale genug spektralen Inhalt haben müssen, um alle relevanten Modi des Systems anzuregen bzw. zu erregen. Eine detaillierte Abhandlung über Systemidentifikation und Experimentgestaltung wird in L. Ljung, System Identification: Theory for the User, Prentice-Hall, Englewood Cliffs, New Jersey (1987). gegeben. Klassische Systemidentifikation macht Gebrauch von Stufen-Signalen, Impulsen oder Sinuswe len als Testsignale für Identifikationszwecke. Das moderne Äquivalent dieser Signale zur Identifikation von Systemen mit mehreren Variablen ist das Pseudo-Zufalls-Binärsignal (Pseudo-Random Binary Signal, PRBS) mit einem Signalniveau, das zu zufälligen Zeitpunkten zwischen zwei Stufen hin- und herwechselt. In dem hier dargestellten exemplarischen Test werden den PRBSs Amplituden Spitzen (Peak-to-Peak) von ungefähr 1,5 V zugeordnet, um eine ausreichende System erregung bereitzustellen. Durchschnittsignalniveaus sind so gewählt, daß sie mit den Spannungsniveaus der Reglerausgabe im eingeschwungenen Zustand, der einer Temperatur von ungefähr 650C entspricht, korrespondieren. Als Abtastrate wird ungefähr 0,5 Hz genommen. Ein Lauf von einer Stunde wird aufgezeichnet. Der Ergebnisdatensatz wird zweigeteilt, wobei die erste Hälfte zu Identifikationszwecken und die zweite Hälfte zu Zwecken der Modellvalidierung verwendet wird. Gleichstrom-Offsets werden aus allen Eingangs- und Ausgangssignalen eliminiert.
  • Ein exemplarischer Eingabe-/Ausgabe-Identifikationsdatensatz für die zentrale Zone ist in den 8A und 8B abgebildet, wobei die ersten 200 Sekunden der Systemstimuli (8B) und der Reaktion (8A) gezeigt werden. Entsprechende Identifikationsdatensätze für die vordere, seitliche und hintere Zonen werden auf dieselbe Weise erhalten und zeigen im Wesentlichen ähnliche Charakteristiken.
  • Struktur des Reaktormodells
  • Sobald der Identifikationsdatensatz aufgenommen wurde, ist der nächste Schritt, eine Modellstruktur auszuwählen. Im allgemeinen umfaßt dies die drei Schrttte:
    • 1. Auswählen der Art des Modellsatzes (z. B. linear oder nicht-linear, Eingabe-Ausgabe, Black-Box oder physikalisch parametrisierte Zustandsraum-Modelle).
    • 2. Auswählen der Größe des Modellsatzes. Dies wird die Modellordnungsauswahl genannt und bestimmt die Anzahl von freien Parametern in der Modellbeschreibung.
    • 3. Auswählen der Modellparametrisierung. Das heißt, auswählen der Position der freien Parameter in der ausgewählten Modellstruktur.
  • Die Auswahl der Modellstruktur umfaßt wahrscheinlich eine Abwägung (Trade-Oft) zwischen Flexibilität und Sparsamkeit. Ein Modell höherer Ordnung ist flexibler, aber es kann dazu führen, daß unnötig viele Parameter zum Beschreiben des echten System verwendet werden. Darüber hinaus ist eine Modell höherer Ordnung schwieriger für den Online-Gebrauch in einem modellbasierten Regler. Die Prinzipien und Richtlinien für die Systemmodellierung sind Fachleuten auf dem Gebiet der Systemsteuerung wohlbekannt. Wiederum wird für eine mehr ins Detail gehende Abhandlung des Themas der Auswahl der Modellstruktur auf Ljung (1987) verwiesen.
  • Wie oben in Abschnitt III.D beschrieben, verwendet die vorliegende Ausführungsform des modellbasierten, vorausberechnenden Reglers mit mehrfacher Eingabe und mehrfacher Ausgabe ein Polynomialmodell mit mehrfacher Eingabe und mehrfacher Ausgabe in einer auto-regressiven Darstellung n-it gleitenden Durchschnitten in Gleichung (15). Das Modell wird vorteilhafterweise als ein Satz von gekoppelten linearen Polynomen mit mehrfachen Eingangsgrößen und einfacher Ausgangsgröße betrachtet, das eine bequeme Beschreibung der Filterprozeßsignale (Yf)j und (Uf)j ermöglicht (siehe Gleichungen (17) und (18)).
  • Die exemplarischen Modellparameter, die in Tabelle 1 unten angegeben werden, beziehen sich auf Koeffizienten eines Polynomialmodell dritter Ordnung mit mehrfacher Eingabe und mehrfacher Ausgabe (Multi-Input, Multi-Output, MIMO), die definiert werden durch
    Figure 00290001
    • mit n = m = 4 und
    • Aj = 1,
    • Dj = 1-q–1
    • Cj _ (1-C1q–1)(1-C1q–1)
    für alle j.
  • Tabelle 1
    Figure 00290002
  • Figure 00300001
  • Im vorliegenden exemplarischen System können i und j den Zonennummern (d. h. 1 = Zentrum, 2 = Vorne, 3 = Seite, 4 = Hinten) entsprechen.
  • Validierung des Reaktormodells
  • Sobald eine Modellstruktur ausgewählt wurde und eine Parametrisierung gefunden wurde, wird das vorgeschlagene Modell vorzugsweise validiert. Standardtechniken zur Modellvalidierung umfassen Simulation, Residual- bzw. Restwertanalyse und Tuerkorrelationsanalyse (Cross-Correlation Analysis).
  • Bei der Simulation wird üblicherweise ein frischer Datensatz verwendet, d. h. Daten aus dem echten System, die nicht in der Identifikationsphase verwendet wurden. Das Modell wird mit denselben Eingangsdaten versorgt wie das tatsächliche System und ein Vergleich zwischen den Ausgangsdaten des Modells und des Systems werden vorgenommen. Ein solcher exemplarischer Ver gleich ist in 9 vorgenommen, wieder für die zentrale Zone, unter Verwendung der Daten der letzten 30 Minuten des Experiments, die nicht für Zwecke der Modellbildung verwendet wurden. In 9 sind sowohl die Ausgabe des Modells 302 als auch die Ausgabe des Systems 300, in diesem Fall die Meßwerte des zentralen Thermoelements nach Abzug der Werte des eingeschwungenen Zustandes, gegen die Zeit aufgetragen (gemessen in Abtastungen, wobei das Abtastintervall ein festes Zeitintervall ist). Ein Maß der Anpassung wird von den Kurven 300 und 302 abgeleitet. Die abgebildeter. Kurven haben eine mittlere quadratische Abweichung von ungefähr 3,5, wobei ein kleinerer Wert eine bessere Anpassung anzeigt. Eine entsprechende Validierung der vorderen, seitlichen und hinteren Zonen sollte im Wesentlichen den selben Grad von Anpassung ergeben.
  • Die Restwertanalyse wird zum Prüfen verwendet, ob noch irgendeine durch das Modell nicht erklärte Strukturinformation übrig ist. Idealerweise sollten die Restwerte bzw. Residuen (Unterschiede zwischen den Modellvorausberechnungen und der Systemausgabe) über die Zeit hinweg weiß oder zufällig und unabhängig von den Eingaben für das Modell sein, um das System richtig zu beschreiben. D e Kurve 304 in 10 zeigt die Korrelationsfunktion des Residuums für die Ausgabe der zentralen Zone für Zeitverzögerungen bzw. -differenzen bis zu 25 Abtastintervallen. Gestrichelte Linien zeigen 99%-ige Vertrauensgrenzen an unter der Annahme, daß die Residuen wirklich weiß sind. Die Kreuzkorrelation zwischen den Systemeingaben und den Residuen sollte auch einen Mittelwert von Null mit einer RMS-Abweichung aufweisen, die sicher unterhalb des 99%-igen Vertrauens bleibt. Ein solches Verhalten wie durch die Kurve 306 in 10 angegeben, sollte für alle kreuzkorrelierten Größen beobachtet werden, was darauf hinweist, daß es keine wesentliche, systematische Eingabe-/Ausgabe-Korrelation gibt, die nicht berücksichtigt bzw. erklärt wird.
  • Als ein abschließender Test zur Modellvalidierung wird das Modell zum Vorausberechnen der Thermoelementmeßwerte mittels der Information über vergangene Eingaben und Ausgaben verwendet. E n frischer Datensatz wie in 9 verwendet wird auch in dem vorliegenden, in 11 dargestellten Vergleich verwendet. 11 zeigt die Systemausgabe (zentrales Thermoelement) und die Eine-Minute-Vorausberechnungen der Systemausgabe, die mittels des Modellvorausberechners vorgenommen wurden. Man stellt fest, daß die Vorausberechnungsfähigkeiten des Modells ausgezeichnet sind. Vorausberechnungsergebnisse für die vordere, seitliche und hintere Zonen (nicht enthalten) zeigen ähnliches Verhalten.
  • Mittels der hier beschriebenen Identifikations- und Verifikationstechniken stellte sich das oben beschriebene Modell als eines heraus, das eine sehr genaue Beschreibung der Systemdynamik für einen beispielhaften RTP-Reaktor bei atmosphärischem Druck und in einem Temperaturbereich von 600-800°C liefert. Für das ARMAX-Modell wird gezeigt, daß es über Vorausberechnungsfähigkeiten verfügt, die besonders für die vorliegende, bevorzugte Ausführungsform eines modellbasierten, vorausberechnenden Reglers vorteilhaft ist. Die Vorausblick-Eigenschaft des Modells kann zum Beispiel verwendet werden, um die Überschwingweite zu minimieren, wodurch die Erholzeit verbessert und die Rezeptzykluszeiten minimiert werden. Es versteht sich, daß die genaue Form des Modells beträchtlich variieren kann, ohne von dem Schutzbereich der vorliegenden Erfindung abzuweichen. Im allgemeinen wird die Form des Modells durch Anforderungen an eine Vielzahl von Faktoren bestimmt, die Flexibilität, Genauigkeit, Empfindlichkeit, Robustheit und Geschwindigkeit umfassen. Eine alternative, bevorzugte Ausführungsform besteht darin, die Modellordnung zum Minimieren des Berechnungsmehraufwandes ohne wesentlichen Verlust an Genauigkeit zu reduzieren. Weitere bevorzugte Ausführungsformen umfassen:
    • – Ausweiten der vorausberechnenden Regler, um adaptives Verhalten einzuschließen, wodurch die Modellparameter selbst Gegenstand einer Bewertung und Veränderung in Echtzeit sind.
    • – Verwenden von Optimierung unter Eingaberandbedingungen. Die optimale Regetrategie (29) berücksichtigt nicht Randbedingungen für die Eingangsenergie zum System (Linearitätsannahme). Dies kann zu weniger als optimalem Verhalten während schneller Erhitzung und Abkühlung führen. Die Situation wird dadurch verbessert, daß die vorgeschlagenen Regelbewegungen auf Verletzungen der Randbedingungen überprüft werden. Wenn eine Regelbewegung eine Randbedingung verletzt, wird sie auf einen Grenzwert gesetzt und die verbleibenden, "freien" künftigen Bewegungen werden neu berechnet. Dieser Vorgang ist iterativ und endet, wenn alle künftigen Bewegungen an ihrem Grenzwert sind oder eine Iteration keine weiteren Randbedingungsbewegungen hinzufügt. Diese einfache neue Technik ist wesentlich einfacher zu implementieren, als die herkömmliche quadratische Programmieilösung.
    • – Ausweiten des linearen Modells zu einem nicht-linearen Modell, wobei vorzugsweise neuronale Netzwerke verwendet werden, um den statischen Zuwachs (nicht-linear) in Folgen bzw. Reihen mit dem ARMAX-Modell zu modellieren.
  • Testen des Reaktors
  • Wie zuvor gesehen ist eine bevorzugte Ausführungsform des dynamischen Systemmodells in der Lage, das dynamische Verhalten mehrerer Heizzonen innerhalb des Reaktors 20 zu verfolgen und vorauszuberechnen. In ähnlicher Weise ist ein bevorzugtes Temperaturregelsystem mit mehreren Variablen der vorliegenden Erfindung in der Lage, eine zuvor festgelegte zeitliche Sequenz von Temperaturen für jede Heizzone des Reaktors 20 wie durch 12A veranschaulicht beizubehalten. Die durchgezogenen Kurven 400, 402, 404, 406 von 12A zeigen die Temperatur-Sollwert-Sequenz an, der von den unabhängigen Heizzonen zu folgen ist: zentral, seitlich, vorne bzw. hinten. Die gestrichelten Kurven 401, 403, 405 und 407 sind die Temperaturprofile, denen die zentrale, seitliche, vordere bzw. hintere Heizzone als Ergebnis der Aktion von dem Temperaturregler 170 folgen. Zeitdifferenz zwischen den Zonen ist im Wesentlichen aufgrund der vorausberechnenden Aktion von dem Regler 170 eliminiert, der auf allen Zonen parallel arbeitet. Darüber hinaus werden Temperaturunterschiede zwischen Zonen, wie absichtlich in 12A programmiert, eine relativ einfache Angelegenheit von Zonen-zu-Zonen-Ausgleichsregelung. Wie in 12B dargestellt beliefert der Temperaturregler 170 die Mehrzahl von SCRs mit Treibersignalen, die für die jeweilige Heizzone zu dem gegebenen Zeitpunkt geeignet ist. Die Kurven 410, 412, 414 und 416 entsprechen den zentralen, seitlichen, vorderen bzw. hinteren SCR-Treibersignalen. Während somit die zeitliche Sollwert-Sequenz und das tatsächliche Temperaturprofil qualitativ für jede der vier Heizzonen (12A) ähnlich ist, zeigen die SCR-Treibersignale für jede Zone sehr unterschiedliches Verhalten wie von dem Temperaturregler 170 festgelegt.
  • Eine beispielhafte Demonstration der Vielseitigkeit der vorausberechnenden Regelung ist in 13A zu sehen, wobei jede Zone separat mit einer Temperaturstufensequenz bzw. Temperaturschrittfolge versorgt wird, die anfangs positiv und dann negativ ist. Wie in 13A zu sehen, ist die zentrale Zone (1) anfangs für eine positive Temperaturabweichung, danach für eine negative Temperaturabweichung programmiert, gefolgt im Anschluß daran von der seitlichen (2), vorderen (3) und hinteren (4) Zone. Der Regler 170 sorgt gleichzeitig für alle vier Zonen für die notwendigen Regelsignale, so daß jede Zone unabhängig das programmierte Temperaturprofil beibehält. Man beachte, daß die anderen Zonentemperaturen im Wesentlichen unverändert sind, während eine bestimmte Zone hoch- oder herunterfährt, was die im Wesentlichen vollständige Entkopplung der Heizzonen als ein Ergebnis der modellbasierten, vorausberechnenden Regelung anzeigt. Wie in 13B gezeigt, manifestiert sich die außergewöhnliche Temperaturregelung, die die bevorzugte Ausführungsform gezeigt, auch in den Regelsignalen. Um die starke Wärmekopplung zwischen den Zonen zu berücksichtigen, kompensiert der Regler dies durch Treiben jeder Zone rnit einem Signal, das geeignet ist, das vorgeschriebene Temperaturprofil sowohl räumlich als auch zeitlich beizubehalten. Offensichtlich optimiert das modellbasierte, vorausberechnende Regelsystem der vorliegenden Erfindung, das in einem schnellen Wärmeprozeßreaktor implementiert ist, im Wesentlichen sowohl die Prozeßzykluszeit als auch die räumliche Gleichmäßigkeit der Temperatur.
  • Detaillierte Beschreibung der nicht-linearen und neuronalen Netzwerkumgebungen
  • ÜBERBLICK ÜBER NICHT-LINEARE RTP-PROZESS-STEUERUNG
  • Nach noch einer anderen Ausführungsform modellbasierter, vorausberechnender Regler kann das oben offenbarte lineare Modell weiter verbessert werden, indem ein nicht-lineares Modell des Prozeßreaktors verwendet wird. Ein bevorzugtes Verfahren zur Implementierung des nichtlinearen Modells bezieht die Verwendung neuronalen Netzwerke mit ein. Eine bevorzugte Ausführungsform des auf einem neuronalen Netzwerk basierenden, nicht-linearen, vorausberechnenden Reglers ist ein auf einem neuronalen Modell basierender, vorausberechnender Regler mit neuronal erweiterter Vorausberechnungsregelung (Neural Extended Prediction control, NEPco) für die Regelung der Suszeptortemperatur des ASMA-Reaktors.
  • 14A ist ein Blockdiagramm, das ein Herstellungssystem 1400 darstellt. Ein Rezeptblock 1401 liefert Eingangswerte in einen NEPco-Prozeßblock 1402. Der NEPco-Prozeß 1402 gibt Regelsignale an einen oder mehrere SCR's aus, die eine oder mehrere Lampen 1403 betreiben. Die Lampen 14u3 liefern Wärme an einen Reaktor 20, der durch einen Reaktorprozeßblock 1404 repräsentiert wird. Eine Gruppe nicht meßbarer Ausgangsgrößen von dem Reaktorprozeßblock 1404 sind die Waferokerflächentemperaturen 1405. Eine Gruppe von meßbaren Ausgangsgrößen von dem Reaktorprozeßblock 1404 sind die Suszeptortemperaturen 1406. Die Suszeptortemperaturen wer den zur Erleichterung der Temperaturregelung des Wafer 22 und des Suszeptors 24 in den NEPco-Prozeßblock 1402 rückgekoppelt.
  • Die Temperatur der Waferoberfläche ist hauptsächlich für den Abscheideprozeß von Bedeutung. Die Wefertemperatur wird jedoch während des normalen Betriebs nicht gemessen. Die einzigen Signale, die direkt zu Regelungszwecken gemessen werden, sind die Suszeptortemperaturen. Versuche haben gezeigt, daß diese Suszeptortemperaturen eine vernünftige Approximation der unbekannten Wafertemperaturverteilung liefern. Experimentelle Ergebnisse zeigen, daß eine gute Suszeptorregelung allein nicht ausreicht, um eine sehr enge Waferregelung zu erhalten.
  • Die REPco-Ausführungsform der vorliegenden Erfindung offenbart eine Vorgehensweise zur verbesserten Regelung des Suszeptortemperatursignals 1406. Diese Verbesserung liefert die unmittelbaren Vorteile der Verbesserung der Temperaturregelung des Suszeptors 24 und daher des Wafer 22 und es bildet die Plattform für Verbesserungen mittels verschiedener Modelle, die auf dem Softsensor-Prinzip beruhen.
  • 14B stellt einen Überblick über die Hardware-, Software- und konzeptionellen Komponenten dar, die das System 1400 ausmachen. Der Leser wird dringend gebeten, vor dem Lesen eines jeden Abschnittes unten noch einmal zu 14B zurückzukehren, um den als nächstes zu lesenden Abschnitt im Kontext zu sehen. 14B zeigt eine Dreischicht-Struktur von Elementen, die das System 1400 ausmachen. Niedrigere Schichten in der Struktur stellen die internen Elemente der oberen Schichten in größerer Detailtiefe dar. Eine Reglersystemschicht 1410 weist das System 1400 auf und ist die oberste Schicht des Systems 1400. Beim Abwärtsgehen ist die nächste Schicht die vorausberechnende Modellierungsschicht 1411, die einen Vorausberechner-Prozeß 1500, einen reihenparallelen Vorausberechner 1801, einen parallelen Vorausberechner 1800 und ein neuronales Netzwerk 1600 aufweist. Die unterste der drei Schichten ist eine Trainingsschicht 1412, die einen Pseudo-Niedrigste-Quadrate-Block 2300 (Pseudo Least Square, PLS), einen Impulstestexperimentierblock 1900 und einen Anfangsabschätzungsblock 2400 aufweist.
  • Zurück zur vorausberechnenden Modellierungsschicht 1411. Der Vorausberechner-Prozeß 1500 ist als Teil des NEPco-Prozeßblocks 1402 dargestellt. Der reihenparallele Vorausberechnen 1801 und der parallele Vorausberechnen 1800 sind als unterschiedliche Implementierungen des Vorausberechnerprozesses 1500 dargestellt. Eine Einheitsschrittreaktion 2100 ist als eine interne Komponente des parallelen Vorausberechners 1800 dargestellt. Das neuronale Netzwerk 1600 ist als Teil des parallelen Vorausberechners 1800 dargestellt.
  • Zurück zu der Trainingsschicht. Der PLS-Trainingsverfahrensblock 2300 ist so abgebildet, daß er auf das neuronale Netzwerk 1600 angewendet wird. Der Impulstestexperimentierblock 1900 und der Anfangsabschätzungsblock 2400 sind als Eingaben für den PLS-Trainingsvertahrensblock 2300 dargestellt.
  • DAS NICHT-LINEARE PROZESSMODELL
  • 15 stellt ein Blockdiagramm des nicht-linearen Prozeßmodells 1500 dar. Eine Prozeßeingabe u(t) 1501 ist die einzige Eingabe für den Modellprozeßblock 1502. Die Prozeßeingabe 1501 erscheint in den Gleichungen als u(t) und ist typischerweise eine Spannung für die Lampentreiber SCRs. Der Prozeßmodellblock 1502 zeigt eine nicht-lineare Übertragungs- bzw. Transferfunktion f(...). Eine Modellausgabe x(t) 1504 ist eine Ausgabe des Prozeßblocks 1502. Die Modellausgabe x(t) 1504 erscheint in den Gleichungen, die folgen, als x(t) und ist typischerweise eine Temperatur in °C. Die Modellausgabe x(t) 1504 und eine Prozeßstörung n(t) 1503 werden an einer summierenden Verbindungsstelle bzw. Abzweigung 1506 zusammenaddiert. Die Ausgabe der summierenden Verbindungsstelle 1506 ist eine Prozeßausgabe y(t) 1505. Die Prozeßstörung 1503 ist in den Gleichungen, die folgen, als n(t) ausgedrückt und ist typischerweise eine Temperatur in °C. Die Prozeßausgabe 1505 ist in den Gleichungen, die folgen, als y(t) ausgedrückt und ist typischerweise die Temperaturmessung des Suszeptors ausgedrückt als eine Temperatur in °C. Somit kann, wie in 15 abgebildet, die Prozeßausgabe 1505 mathematisch als y(t) = x(t) + n(t) ausgedrückt werden.
  • Die Prozeßstörung n(t) 1503 umfaßt alle Effekte in der Prozeßausgabe y(t) 1505, die nicht aus der Modellausgabe x(t) 1504 kommen. Die Prozeßstörung n(t) 1503 ist ein fiktives (nicht meßbares) Signal, das solche Störungseffekte wie Abscheidung, Gasfluß, Meßrauschen, Modellfehler, etc. umfaßt. Die Störungen haben typischerweise einen stochastischen Charakter mit einem Mittelwert ungleich Null. Die Störungen können üblicherweise durch einen farbigen Rauschprozeß modelliert werden, der gegeben ist durch:
    Figure 00350001
    e(t) = weißes Rauschen (unkorreliertes Rauschen mit Mittelwert gleich Null)
    Figure 00350002
  • Wie in dem linearen Fall ist q–1 der Rückwärts-Schiebeoperator, wobei q–n s(t) = s(t-n) und s(t) ein zeitabhängiges Signal ist, bei dem t einen diskreten Zeitindex (t=0, 1, 2,...) darstellt. Der Filter C(q–1)/D(q–1) ist ein Störungsmodell. Während viele annehmbare Störungsmodelle möglich sind, hat es in der bevorzugten Ausführungsform für die ASMA-Anwendung die Struktur:
    Figure 00350003
    wobei c und d Entwurfsparameter sind (bevorzugte Werte sind c= d=0).
  • Die Modellausgabe x(t) 1504 repräsentiert den Effekt der Leistungseingabe u(t) 1501 auf die Temperatur des Suszeptors (Thermoelements). Dies ist ein nicht meßbares Signal, da nur der kom binierte Effekt der Regelaktion zuzüglich Störungen über die Thermoelementsensoren 44, 46, 48 und 50 meßbar ist.
  • Die Beziehung zwischen der Eingangsgröße u(t) und der Ausgangsgröße x(t) ist eine dynamische Beziehung, dadurch daß die aktuelle Temperatur x(t) nicht von der aktuellen Eingabe u(t) abhängt, jedoch von den vorherigen Temperaturen {x(t-1 ), x(t-2),...} und den vorherigen Eingaben {u(t-1 ), u(t-2),...}. Darüber hinaus zeigen experimentelle Messungen, daß die Beziehung zwischen u(t) und x(t) für einen typischen ASMA-Reaktor stark nicht-linear ist. Zum Beispiel stellte sich bei einem Versuch heraus, daß der Effekt einer Änderung der spezifischen Leistungseingabe auf die resultierende Temperatur bei ungefähr 800°C ganz anders ist als im Vergleich zu 1100°C. Diese Temperaturen dienen nur als Beispiel, da unterschiedliche Reaktoren unterschiedliche Eigenschaften zeigen.
  • Der Effekt u(t) ≥ x(t) kann somit durch ein nicht-lineares, dynamisches Modell repräsentiert werden, wobei die Übertragungsfunktion f(...] 1502 eine unbekannte, nicht-lineare Funktion ist, so daß:
    Figure 00360001
  • In der bevorzugten Ausführungsform wird die Funktion f [...] als neuronales Netzwerk implementiert. 16 veranschaulicht ein typisches neuronales Netzwerk. In 16 sind die Menge der zurückliegenden Modellausgaben 1604 {x(t-1), x(t-2),...} und die Menge der zurückliegenden Modelleingaben { u(t-1 ), u(t-2),...} als Eingaben für eine Schicht von Eingangsneuronen 1601 dargestellt. Die Eingangsneuronen 1601 sind mit einer Schicht von verborgenen Neuronen 1602 so verbunden, daß jedes der Eingabeneuronen 1601 mit jedem der verborgenen Neuronen 1602 verbunden ist. Die verborgene Schicht 1602 enthält drei verborgene Neuronen 1610, 1611 und 1612. Die verborgenen Neuronen 1602 haben Ausgaben, die mit z1...zj...zn beschriftet sind, so daß z1 die Ausgabe des ersten verborgenen Neurons 1610 und zn die Ausgabe des letzten verborgenen Neurons 1612 ist. Die Verbindungen zwischen den Eingangsneuronen 1601 und den verborgenen Neuronen 1602 sind mit wij [1]beschriftet, wobei i die verborgenen Neuronen mit dem Ausgang zi angibt und j angibt, welches der Eingangsneuronen 1601 angeschlossen wird. Die hochgestellte [1] gibt an, daß die Verbindung von der ersten Schicht von Neuronen ausgeht. Alle verborgenen Neuronen 1602 sind mit einem Ausgangneuron 1613 durch Verbindungen mit der Beschriftung wi [2] verbunden, wobei i den Ausgang zi des verborgenen Neurons angibt, das mit dem Ausgangsneuron 1613 verbunden wird. Die hochgestellte [2] zeigt die Verbindungen von der zweiten Schicht von Neuronen an.
  • Die Eingangsneuronen 1601 sind nicht-aktive Neuronen, da sie keine Berechnung durchführen, sie verteilen nur die Eingangssignale an die verborgenen Neuronen 1602. In der bevorzugten Ausführungsform der ASMA-Anwendung wird ein Modell dritter Ordnung verwendet, was bedeutet, daß die sechs Eingangsneuronen 1601, die den drei vorigen Werten von x(t), nämlich x(t-1), x(t-2) und x(t-3), und den drei vorigen Werten von u(t), nämlich u(t-1 ), u(t-2) und u(t-3), entsprechen, als Eingaben bzw. Eingangsgrößen an die Eingangsschicht 1601 geliefert werden.
  • Die verborgene Schicht enthält vorzugsweise nicht-lineare, sigmoid-artige Neuronen. Sigmoid-Neuronen sind in diesem Fachgebiet wohlbekannt (siehe z. B., James A. Freemann und David M. Skapura, "Neural Networks" Addison Wesley, 1991). Die Ausgaben der verborgenen Neuronen zj werden wie folgt berechnet:
    Figure 00370001
  • Wobei 1 ein Eingabevektor ist, der gegeben ist durch:
    Figure 00370002
    und wi [1] ist ein Gewichtsvektor, der gegeben ist durch:
    Figure 00370003
  • Die Funktion s(x) ist eine Sigmoid-Funktion, die graphisch durch 22 gezeigt und mathematisch gegeben ist durch die Gleichung:
    Figure 00370004
  • Die Parameter des Gewichtsvektors wi [1] (i = 1...n) und die systematischen Fehler bzw. Vorspannungen bi [1] (i = 1...n) sind unbekannt und müssen aus experimentellen Daten während des Trainings des neuronalen Netzes geschätzt werden. Die systematischen Fehler bi [1] werden verwendet, um einen Versatz bzw. Offset in dem Prozeßmodell zu kompensieren. Der Versatz rührt von der Tatsache bei, daß in Wirklichkeit die Ausgabe x(t) nicht notwendigerweise gleich Null ist, wenn die Eingabe u(t) gleich Null ist.
  • 19 zeigt ein einfaches neuronales Netzwerk 1900. Das einfache neuronale Netzwerk 1900 weist ein einzelnes verborgenes Neuron 1904 von Sigmoid-Art auf. Das verborgene Neuron 1904 hat eine Gruppe von Eingangsgrößen 1901, die aus den Modellausgangsgrößen x1(t-1), x1(t-2) und x1(t-3) bestehen. Das verborgene Neuron 1904 hat auch eine Gruppe von Modelleingangsgrößen 1902, die aus den Modelleingangsgrößen u1(t-1 ), u1(t-2) und u1(t-3) bestehen. Das verborgene Neuron 1904 hat auch eine Gruppe von Modelleingangsgrößen 1903, die aus den Modelleingangsgrößen u4(t-1 ), u4(t-2) und u4(t-3) bestehen. 19 stellt darüber hinaus dar, daß das verborgene Neuron 1904 Eingangsgrößen hat, die aus den Modelleingangsgrößen u2(t-1 ), u2(t-2), u2(t-3), u3(t-1), u3(t-2) und u3(t-3) bestehen. Ein Ausgang des verborgenen Neurons 1904 speist ein lineares Ausgabeneuron 1905. Das neuronale Netzwerk 1900 hat eine einzelne Ausgabe bzw. Ausgangsgröße x1(t) 1906.
  • Das einfachste neuronale Netz hat nur ein Neuron in der verborgenen Schicht 1602 (n=1) und somit nur eine Ausgabe z1 Es wurde experimentell festgestellt, daß das einfache neuronale Netzwerk 1900 (mit n=1) eine gute Wahl für die ASMA-Anwendung ist: Zusätzliche verborgene Neuronen ergeben eine Verbesserung der Regelleistung bzw. des Regeldurchsatzes, aber die Rechenlast und der Aufwand für die Modellierung nehmen beide dramatisch zu.
  • Die Ausgabeschicht enthält das einzelne, lineare Ausgabeneuron 1613. Die Ausgabe des Ausgabeneurons 1613 wird wie folgt berechnet:
    Figure 00380001
  • Für die ASMA-Anwendung mit nur einem Neuron in der verborgenen Schicht (n=1) reduziert sich die Gleichung (33) zu
    Figure 00380002
  • Das Gewicht und die Meßabweichung bzw. Vorspannung des Ausgabeneurons sollten zusammen mit jenen des Neurons in der verborgenen Schicht bestimmt werden. Tatsächlich bilden alle Gewichts- und Bias-Parameter zusammen das Modell der unbekannten Prozeßdynamik.
  • DER NICHT-LINEARE MEHRSCHRITT-VORAUSBERECHNER
  • Wie in dem linearen Fall bezeichnet die Schreibweise y(t+k|t) den zum Zeitpunkt t vorausberechneten Wert von y(t+k) für k = 1...N2, wobei N2 der Vorausberechnungshorizont ist. Somit beruht y(t+k|t) auf:
    • – den zum Zeitpunkt t verfügbaren Meßwerten, d. h. { y(t), y(t-1 ),..., u(t-1 ), u(t-2),...}; und
    • – künftigen (geforderten) Werten der Eingabe {u(t|t), u(t-1|t),...}. Mit anderen Worten bedeutet die Schreibweise (... |t) 'gefordert zum Zeitpunkt t'. Mittels des Prozeßmodells 1500 aus 15 folgt, daß:
  • Figure 00380003
  • Das Verfahren
  • Von den vielen möglichen, in diesem Bereich bekannten Konfigurationen zur Rekursion eines nicht-linearen Netzwerkmodells, sind die beiden am meisten bevorzugten Konfigurationen zum Modellieren des ASMA-Reaktors ein paralleles Modell und ein reihenparalleles Modell. Es gibt keine Notwendigkeit, daß das nicht-lineare Modell 1502 auf einem neuronalen Netzwerk beruht. Die bevorzugte Ausführungsform verwendet jedoch ein neuronales Netzwerk. Der Einfachheit und Klarheit der Darstellung halber wird hier das Modell als mittels eines neuronalen Netzwerks implementiert angenommen, mit dem Verständnis, daß andere (auf nicht-neuronalen Netzwerken beruhende) Implementierungen möglich sind.
  • Die 17A und 17B zeigen Blockdiagramme zweier verbreiteter bzw. üblicher Rekursionsnetzwerke. 17A ist ein Blockdiagramm eines parallelen Modellnetzwerks. In 17A ist das Modell 1701 abgebildet als ein neuronaler Netzwerk-(NN)-Prozeßblock mit einem Eingabevektor 1707 und eine einzelnen Ausgabe x(t+k)|t) 1704. Der Eingabevektor 1707 hat eine Gruppe von Eingaben 1702, die die Modellausgaben 1504 umfassen. Die Modellausgaben 1504 weisen Werte (x(t+k-1|t), x(t+k-2|t) und x(t+k-3|t)) auf. Der Eingabevektor 1707 hat eine Gruppe von Prozeßeingaben 1703, die die Prozeßeingaben 1501 umfassen. Die Eingaben 1501 weisen Werte (u(t+k-1| t), u(t+k-2|t) und u(t+k-3|t)) auf . 17B zeigt das neuronale Netzwerk mit reihenparallelem Modell als einen NN-Block 1751, der ein Prozeßblock mit einem NN-Eingabevektor 1757 und einer einzelnen Ausgabe x(t+k|t) 1754 ist. Der NN-Eingabevektor 1757 hat eine Gruppe von Eingaben 1752, die die Prozeßausgaben 1505 umfassen. Die Eingaben 1505 weisen (y(t+k-1|t), y(t+k-2|t) und y(t+k-3|t)) auf. Der NN-Eingabevektor 1757 hat auch eine Gruppe von Eingaben 1702, die die Prozeßeingarten u(t+k-1|t), u(t+k-2|t) und u(t+k-3|t) umfassen.
  • Das parallele Modell, das in diesem Bereich auch als das unabhängige Modell bekannt ist, sollte vorzugsweise nur für stabile Prozesse verwendet werden. Das reihen-parallele Modell kann auch für instabile Prozesse verwendet werden. Um eine ähnliche Regelleistung mit beiden Modellen zu erhalten, sollte das Störungsmodell C(q–1)/D(q–1) unterschiedlich gewählt werden. Beide Modelle sind für die ASMA-Anwendung nützlich; das parallele Modell wird jedoch vorgezogen und daher hier genauer beschrieben.
  • Das parallele Modell: Vorausberechnung von x(t+k|t)
  • Zu jedem Abtastzeitpunkt f wird die Rekursion mit k=0 gestartet und x(t|t) mittels des NN-Eingabevektors 1707 [x(t-1) x(t-2) x(t-3) u(t-1) u(t-2) u(t-3)] berechnet, der die Werte der Vergangenheit enthält, die somit zum Zeitpunkt t bekannt sind. Man beachte, daß x(t) ≡x(t|t) und daß dieser Wert in der Datenbank zur späteren Verwendung zu künftigen Abtastzeitpunkten aufbewahrt werden kann.
  • Dann wird für k=1 das zuvor berechnete x(t |t) bei der NN-Eingabe verwendet, um x(t+1|t), etc. zu berechnen. Man beachte, daß x(t+1) ≠x(t+1|t), jedoch x(t+1) ≡ x(t+1 | t+1). Der Wert x(t+1|t) kann daher nach dem Zeitpunkt t verworfen werden. Die Rekursion wird zu jedem Abtastzeitpunkt neu gestartet, weil x(t+k |t+1) ≠x(t+k|t) für k>0. Tatsächlich wird x(... |t+1) basierend auf der zum Zeitpunkt t+1 verfügbaren und postulierten Information berechnet, während x(...|t) auf Information beruht, die zum Zeitpunkt t verfügbar war und postuliert wurde. Diese Information ist unterschiedlich, da die Wissensbasis zu jedem Abtastzeitpunkt mit neuer Information aktualisiert wird, die von den Sensordaten kommt.
  • Das parallele Modell: Vorausberechnung von x(t+k|t)
  • Zum Zeitpunkt t wird x(t) mittels der Daten [x(t-1), x(t-2), x(t-3), u(t-1 ), u(t-2), u(t-3)] anhand des NN-Modells 1701 berechnet. Mittels des gemessenen Wertes y(t) wird der aktuelle Wert der Störung n(t) 1503 mit Hilfe des Prozeßmodells berechnet: n(t) = y(t)–x(t). Man beachte, daß die vorherigen Werte von n(t), nämlich {n(t-1 ), n(t-2),...}, in dem Computerspeicher verfügbar sind.
  • Das gefilterte Störungssignal
    Figure 00400001
    wird nmittels der Differenzgleichung berechnet:
    Figure 00400002
  • Da das Störungsmodell:
    Figure 00400003
    ist, ist das Signal nf(t)=e(t). Da weißes Rauschen per Definition unkorreliert ist, ist die beste Vorausberechnung des weißen Rauschens der Mittelwert, der gleich Null ist. Daher:
    Figure 00400004
  • Die beste Vorausberechnung der Störung erhält man aus:
    Figure 00400005
    was mittels der folgenden Differenzgleichung berechnet werden kann:
    Figure 00410001
  • Die Rekursion geht von k=1 ... N2. Die Rekursion startet mit k=1. Die Signalwerte auf der rechten Seite, nämlich n(t|t), n(t-1|t),..., nf(t|t), nf(t-1|t),..., sind bekannt, während nf(t+1|t)=0 ist. Der berechnete Wert n(t+1|t) wird danach auf der rechten Seite zusammen mit nf(t+2|t)=0 verwendet, um n(t+2|t) zu berechnen, etc.
  • Der Algorithmus
  • 18 ist ein Flußdiagramm, das den Prozeß zur Berechnung eines neuen Satzes von Vorausberechnungen für n(t+k|t), u(t+k|t) und y(t+k|t) zu jedem Zeitschritt t darstellt.
    • (1) y(t) bei einem Prozeßblock 1801 messen und die Daten in einer Datenbank speichern, die {y(t), y(f-1),...} enthält.
    • (2) u(t-1) bei einem Prozeßblock 1802 messen und in einer Datenbank speichern, die {u(t-1 ), u(t-2),...} enthält.
    • (3) Eine künftige Regelungsstrategie {u(t|t), u(t+1|t),..., u(t+N2|t)} in einem Prozeßblock 1803 postulieren.
    • (4) In einem Prozeßblock 1804 die aktuelle Modellausgabe x(t) berechnen: x(t) = s(W[1] · I + b[1]) . w[2] + b[2] wobei s(...) die Sigmoid-Funktion bezeichnet; I = [x(t-1) x(t-2) x(t-3) u(t-1) u(t-2) u(t-3)]T; und W[1]= [w1 [1],w2 [1],w3 [1],w4 [1],w5 [1],w6 [1]],b[1],w[2], b[2] sind die NN-Gewichts- und Vorspann-Parameter. Man beachte, daß x(t|t) nicht wirklich eine Vorausberechnung ist, da es nur von vergangenen Werten und nicht von künftigen Regelausgaben abhängt, somit x(t|t) ≡ x(t). Der Wert x(t|t) wird in einer Datenbank aufbewahrt, die { x(t), x(t-1), x(t-2),...} enthält, da er beim nächsten Abtastzeitpunkt wieder benötigt wird.
    • (5) n(t) = y(t) – x(t) in einem Prozeßblock 1805 berechnen und den Wert in einer Datenbank {n(t), n(t-1), n(t-2),...} aufbewahren.
    • (6) In einem Prozeßblock 1806 das gefilterte Störungssignal nf(t) berechnen aus:
      Figure 00410002
      und in einer Datenbank { nf(t), nf(t-1), nf(t-2),...} aufbewahren.
    • (7) In einem Prozeßblock 1807 die vorausberechneten Werte zurücksetzen nf(t+1|t)=nf(t+2|t)= ... =nf(t+N2|t)≡0.
    • (8) In einem Prozeßblock 1808 die Vorausberechnungen n(t+1|t), n(t+2|t),... n(t + N2|t) berechnen aus:
      Figure 00420001
    • (9) In einem Prozeßblock 1809 die Vorausberechnungen x(t +1|t), x(t +2|t),... x(t +N2|t) berechnen aus:
  • Figure 00420002
  • Man beachte, daß alle mit (...|t) bezeichneten Daten im Prinzip nach dem Zeitpunkt t verworfen werden können, weil diese Daten von zum Zeitpunkt t verfügbarer Information abhängen und zu jedem Abtastzeitpunkt neu berechnet werden, nachdem neue Meßwertinformation erhalten wurde.
  • DER NICHT-LINEARE VORAUSBERECHNENDE SISO-REGLER
  • Wie im linearen Fall wird zuerst der Regler mit einfacher Eingabe und einfacher Ausgabe (Single Input, Single Output, SISO) diskutiert, weil er einfacher ist als das Modell mit mehrfacher Eingabe und mehrfacher Ausgabe und dennoch die grundlegenden Prinzipien erläutert. 20 stellt die Wellenformen in dem SISO-Regler für a = 0 dar (unten definiert). 20 zeigt eine zweiachsige graphische Darstellung mit einer x-Achse 2001 und einer y-Achse 2002, die eine u repräsentierende Kurve 2003, eine y repräsentierende Kurve 2004 und eine horizontale Linie 2005 zeigt, die die Kurve w/r repräsentiert. Die y-Achse 2002 liegt auf der x-Achse 2001 beim Zeitpunkt t. Daher repräsentieren Zeitwerte auf der x-Achse 2001, die rechts von der y-Achse 2002 liegen, die Zukunft wie u(t+k|t). In ähnlicher Weise repräsentieren Punkte auf der x-Achse 2001, die links von der y-Achse 2002 liegen, die Vergangenheit.
  • Die endgültige Zielsetzung des SISO-Reglers ist es, die Regeleingabe u(t|t) zu finden, die die Kostenfunktion minimiert:
  • Figure 00430001
  • Die Entwurfsparameter und deren bevorzugte Werte sind:
    • – N2 = der Vorausberechnungshorizont (bevorzugte Werte = 3...9)
    • – Nu = der Regelhorizont (bevorzugter Wert = 1)
    • – N1...,V2 = der Koinzidenzhorizont (bevorzugte Werte = 1... N2)
    • – ☐ = dir Gewichtsparameter (bevorzugter Wert = 0)
    • – ☐ = dir Filterparameter (bevorzugter Wert = 0)
  • Freie Reaktion und erzwungene Reaktion.
  • Konzeptionell kann die künftige Reaktion y(t+k|t) als die Summe zweier separierbarer Effekte betrachtet werden, nämlich der freien Reaktion und der erzwungenen Reaktion, wobei: y(t+k|t) =yfree(t+k|t)+yforced(t+k|t).
  • Die freie Reaktion yeree(f+k|t) ist ein direktes Ergebnis von: (1) der Auswirkung der vergangenen Regelung {u(t-1), u(t-2),...}, als ob {Δu(t|t) = Δu(t+1|t)= ... = Δu(t+Nu–1|t)≡0} oder {u(t|t)= u(t-1), u(t+1|t)= u(t-),...} wäre; und (2) der Auswirkung künftiger Störungen n(t+k|t). Die freie Reaktion yfree(t+k|t) kann mit der in 18 beschriebenen Prozedur berechnet werden mit u(t|t)=u(t+1|t)=...=u(t+N2|t)-u(t-1).
  • Die erzwungene Reaktion yforced(t+k|t) ist ein direktes Ergebnis von: (1) der Auswirkung der künftigen Regelaktionen {Δu(t|t), Δu(t+1|t),... Δu(t+Nu1|t)}. In der bevorzugten Ausführungsform ist die erzwungene Reaktion yforced(f+k|t) die Auswirkung einer Sequenz von Schritt- bzw. Stufeneingaben 1920 mit:
    • (1) einem Schritt mit Amplitude Δu(t|t) zu einem Zeitpunkt t, der zu einem Beitrag gkΔu(t|t) zu der vorausberechneten Prozeßausgabe zum Zeitpunkt (t+k) (=k Abtastperioden späte) führt;
    • (2) einem Schritt mit Amplitude Δu(+1|t) zum Zeitpunkt(t+1), der zu einem Beitrag gk–1Δu(t+1|t) zu der vorausberechneten Prozeßausgabe zum Zeitpunkt (t+k) (=k-1 Abtastperioder später) führt;
    • (3) etc., so daß die gesamte Auswirkung ist:
  • Figure 00440001
  • Die Parameter g1 g2,...,gk,...,
    Figure 00440002
    sind die Koeffizienten der Einheitsschrittreaktion des Systems. Wobei die Einheitsschrittreaktion die Reaktion der Systemausgabe für eine schrittweise Änderung der Systemeingabe (mit Amplitude 1) ist. Für ein nicht-lineares System wie ein NN ist die Einheitsschrittreaktion für jeden Betriebspunkt unterschiedlich. Daher sollte sie zu jedem Abtastzeitpunkt durch Anwenden einer fiktiven schrittweisen Änderung auf die aktuelle Prozeßeingabe 1501 und durch Berechnen ihrer Auswirkung auf die Prozeßausgabe 1505 mittels des NN-Modells 1701 berechnet werden. Schließlich beachte man, daß g0=g–1=... ≡0.
  • In erweiterter Matrixschreibweise wird die erzwungene Reaktion ausgedrückt als:
  • Figure 00440003
  • Nun sei durch Ändern der Schreibweise zur Vereinfachung y(t + k|t) = yfree(t + k|t), dann gilt:
    Figure 00440004
    oder mittels kompakter Matrixschreibweise:
  • Figure 00440005
  • Mit dieser Schreibweise wird die Kostenfunktion zu:
  • Figure 00450001
  • Minimierung bezüglich U ergibt eine optimale Lösung:
    Figure 00450002
    wobei R die Einheitsmatrix ist.
  • Die folgenden Kommentare sind geordnet. Als erstes wird nur das erste Element, Δu(t|t), in U* benötigt um die Regeleingabe u(t)=u(t-1)+ Δu(t|t) zu berechnen. Zum nächsten Abtastzeitpunkt (t+1) wiederholt sich die gesamte Prozedur, wobei die neue Meßwertinformation y(t+1) berücksichtigt wird. Das wird das "receding horizon"-Prtnzip (Prinzip des zurückweichenden Horizonts) von MBPC genannt. Als zweites hat die Matrix [GTG+λI], die invertiert werden muß, die Dimension Nu × Nu. Für den voreingestellten Fall, in dem Nu=1 ist, führt dies zu der skalaren Regelanweisung:
  • Figure 00450003
  • Schließlich bedeutet die Schreibweise w(...|t) den künftigen Sollwert, wie zum Zeitpunkt t gefordert. Wenn der Sollwert vorab programmiert wurde, können die künftigen Sollwerte w(t+k) für w(t+k|t) verwendet werden: w(t+k|t)= w(t+k), k=1...N2. Die vorausberechnende Regelungsstrategie tritt dann im voraus in Aktion, bevor die tatsächliche Sollwertänderung eintritt. Wenn dies nicht erwünscht ist, dann wird der aktuelle Sollwert für w(t+k|t) verwendet: w(t+k|t)= w(t), für k=1...N2.
  • DER NICHT-LINEARE VORAUSBERECHNENDE MIMO-REGLER
  • Das Verfahren
  • In diesem Abschnitt werden die oben diskutierten SISO-Prinzipien auf MIMO-Systeme ausgeweitet. Der Einfachheit halber wird zuerst ein System mit zwei Eingaben und zwei Ausgaben diskutiert. Die Erweiterung auf die ASMA-Anwendung mit vier Eingaben und vier Ausgaben folgt dann in einer einfachen Weise.
  • Mit zwei Eingaben und zwei Ausgaben ist das Prozeßmodell nun gleich:
    Figure 00450004
    wobei:
  • Figure 00460001
  • Wie zuvor sind die Funktionen f1[...] und f2[...] nicht-lineare, unbekannte Prozeßmodelle. In dem SISO-Fall war nur ein neuronales Netzwerk notwendig, in dem vorliegenden Fall mit zwei Ausgaben sind zwei neuronale Netzwerke notwendig.
  • Unter Annahme eines Paares von weißen Rauschsignalen e1 und e2 werden die stochastischen Störungen durch farbige Rauschprozesse modelliert:
  • Figure 00460002
  • Wie bei dem SISO-Fall ist es das Ziel, die Regeleingaben u1(t|t) und u2(t|t) zu finden, die die folgende Kostenfunktion minimieren
    Figure 00460003
    wobei Δu1(t+k|t)≡0 und Δu2(t+k|t)≡0 für k ≥ Nu.
  • Für ein 2 x 2-System können vier Schritt-Reaktionen definiert werden, die die Auswirkung einer schrittweisen Änderung jeder der zwei Eingaben auf jede der zwei Ausgaben beschreiben. Die Koeffizienten der Schritt-Reaktion der Eingabe j auf die Ausgabe i werden bezeichnet durch: {g1 ij g2 ij g3 ij ...}
  • Wenn man die übliche Matrix-Schreibweise einführt, ist die erzwungene Reaktion in y1(t+k|t) wegen der geforderten künftigen Änderungen für beide Regeleingaben:
  • Figure 00470001
  • Einen ähnlichen Ausdruck gibt es für y2forced(t+k|t)
  • Man bezeichne die freie Reaktion in y1(t+k|t) mit ȳ1(t+k|t). Indem alle künftigen Eingabeänderungen gleich Null gesetzt werden, so daß u1(t|t)= u1(t+|t)=...= u2(t-1) und u2(t|t)= u2(t+1 |t)=...= u2(t-1), ergibt sich:
    Figure 00470002
    oder mittels der Matrixschreibweise:
    Figure 00480001
    und ähnlich für die zweite Ausgabe:
  • Figure 00480002
  • Mit dieser kompakten Schreibweise kann die oben eingeführte Kostenfunktion neu geschrieben werden als:
  • Figure 00480003
  • Eine zusammengesetzte Matrix G1 ist definiert als G1=[G11 G12], eine zusammengesetzte Matrix G2 ist definiert als G2=[ G21 G22] und ein zusammengesetzter Vektor U ist definiert als U=[U1 T U2 T]T.
  • Mittels dieser zusammengesetzten Werte werden die Ausdrücke für die vorausberechneten Fehlervektoren zu:
    Figure 00480004
    und die Kostenfunktion wird zu:
  • Figure 00480005
  • Das Minimieren dieses skalaren Ausdrucks bezüglich des Vektors U (indem
    Figure 00480006
    gesetzt wird) führt zu der optimalen Lösung:
    Figure 00480007
  • Man beachte, daß sogar in dem bevorzugten Fall, bei dem Nu≡1 ist, eine Matrixinversion nötig ist, in diesem Fall einer 2 x 2-Matrix. Im allgemeinen Fall, bei dem nu die Anzahl der Regeleingaben ist, muß eine Matrix der Dimension (Nu·nu) × (Nu·nu) invertiert werden. Nur zwei Elemente in U* werden zum Anwenden der Regelung zu einem Zeitpunkt t verwendet:
  • Figure 00490001
  • Die Erweiterung des Falls mit zwei Eingaben und zwei Ausgaben zu vier Eingaben (j=1...4) und vier Ausgaben (i=1...4) ist einfach bzw. naheliegend:
    Figure 00490002
  • Der Algorithmus
  • Zu jedem Abtastzeitpunkt gibt es 16-Schritt-Reaktionen
    Figure 00490003
    die jede der v er SCR-Eingaben mit jeder der vier Ausgaben 44, 46, 48 und 50 der Suszeptortemperaturfühler in Beziehung setzen. Die Schritt-Reaktionen werden dadurch berechnet, daß für jede Eingabe uj, j=1...4 ein Schritt mit der Weite S; in die vier Prozeßmodelle, die die vier neuronalen Netze in Beziehung setzen, eingegeben wird, einer für jede Ausgabe xi, (i=1...4).
  • 21 ist ein Flußdiagramm, das die zur Berechnung der Schritt-Reaktionen notwendigen Schritte darstellt. Der Prozeß beginnt bei einem Schleifensteuerungsblock 2101. In dem Schleifensteuerungeblock 2101 wird ein Schleifenzähler n auf den Wert 1 gesetzt, was die erste Eingabe repräsentiert. Der Prozeß geht dann zu einem Prozeßblock 2102 weiter, in dem u1(t+k|t) wie folgt initialisiert wird:
  • Figure 00500001
  • Die Verarbeitung geht dann zu einem Prozeßblock 2103 über, in dem die Ausgaben des neuronalen Netzwerks berechnet werden, was zu folgendem führt:
    Figure 00500002
  • Die Verarbeitung geht dann zu einem Schleifensteuerungsblock 2104 über, der den Schleifenzähler n inkrementiert, um die nächste Eingabe anzuzeigen. Die Verarbeitung kehrt dann zu dem Prozeßblock 2102 zurück, in dem u2(t+k|t) wie folgt initialisiert wird:
  • Figure 00500003
  • Die Verarbeitung geht dann zu einem Prozeßblock 2103 über, in dem die Netzwerke verwendet werden, um folgendes zu berechnen:
  • Figure 00500004
  • Der obige Prozeß wird wiederholt, bis alle Eingaben von dem Schleifenzähler n durchlaufen sind. Wenn in dem Prozeßblock 2104 der Schleifenindex n größer als die Anzahl der neuronalen Netzwerke wird, dann geht der Prozeß zu einem Prozeßblock 2105 weiter. In dem Prozeßblock 2105 setzt man:
    Figure 00510001
    und geht dann zu einem Prozeßblock 2106 weiter.
  • In dem Prozeßblock 2106 wird mit den vier NN-Modellen gerechnet:
  • Figure 00510002
  • Die Reaktionen {x1 [0](t+k|t)... x4 [0](t+k|t)} sind freie Reaktionen der neuronalen Netzwerke und werden zum Berechnen der freien Systemreaktionen ȳ(t+k|t) verwendet, wobei ȳ(t +k|t) = x[0](t + k|t)+n(t+k|t) ist. Die Verarbeitung geht dann zu einem Prozeßblock 2107 weiter, in dem die Auswirkung der schrittweisen Änderung einer Eingabe, was die Differenz zwischen der NN-Ausgabe rnit einer Schritt-Eingabe und der NN-Ausgabe ohne eine Schritt-Eingabe (die freie Reaktion) bedeutet, berechnet wird durch:
  • Figure 00510003
  • Wobei i=1...4 die Ausgabenummer, j=1...4 die Eingabenummer bezeichnet und eine Division durch die Schrittweite S; notwendig ist, um die Auswirkung eines Einheitsschrittes zu erhalten. Für nicht-lineere Systeme sollte die Größe der Schrittweiten Sj, j=1...4 entsprechend der realen Ein gabeänderungen ☐uj gewählt werden, die man für das spezielle System als zutreffend erwartet. Für die ASMA-Anwendung ist S1= S2= S3= S4=1 eine geeignete Wahl (da der Wertebereich für die SCR-Eingaben gleich (0 ... 5) ist).
  • Trainieren des neuronalen Netzwerks
  • Modellbasierte vorausberechnende Regelung (Model Based Predictive Control, MBPC) ist eine Regelstrategie, die besonders auf der Verfügbarkeit des Modells 1502 beruht. Die vorangegangenen Abschnitte haben im Wesentlichen die Existenz des Modells 1502 angenommen, vorzugsweise basierend auf einem neuronalen Netzwerk 1600, ohne näher auszuführen, wie das Modell erzeugt wird. Dieser Abschnitt beginnt mit einer kurzen Diskussion der Vorteile der Verwendung eines neuronalen Netzwerks 1600 als eine Basis für das Modell 1502 und beschreibt dann, wie das Modell erzeugt wird. Da das Modell auf einem neuronalen Netzwerk 1600 beruht, besteht das Erzeugen des Modells im Wesentlichen in dem Trainieren des neuronalen Netzwerks. Das Trainieren des neuronalen Netzwerks entspricht der Trainingsschicht 1612 aus 14B und erfordert das PLS-Trainingverfahren 2300, das Impulstest-Experiment 1900 und die anfänglichen Abschätzungen 2400, die in dieser Figur abgebildet sind.
  • Das Modellieren eines physikalischen System für Regelzwecke erfordert das Finden einer mathematischen Beziehung (eines Modells) zwischen den Eingaben und Ausgaben des Systems. Für die ASMA-Anwendung führt das Modellieren zu einem mathematischen Modell, das die Auswirkung der SCR-Signale (der Eingaben) auf die Suszeptor-Thermoelement-Signale 44, 46, 48 und 50 (die Ausgaben) beschreibt. Das Modell hängt von den zugrunde liegenden physikalischen Eigenschaften des Prozesses ab, der in diesem Fall hauptsächlich ein Wärmeprozeß ist. Anstatt ein "first principles" Modell aufzubauen, das von komplizierten physikalisch-chemischen Gesetzen ausgeht, ist der bevorzugte Ansatz, ein Blackbox-Modell (ein neuronales Netzwerk) zu verwenden und dieses Netzwerk mittels experimenteller Daten zu trainieren, die während des Identifikationsexperiments aus dem Reaktor erhalten wurden.
  • Das erhaltene Modell sollte ganz allgemein sein, so daß es für andere experimentelle Daten als jenen, die während des Identifikationsexperiments verwendet wurden, gültig ist, so lange wie der Reaktor unter ähnlichen Bedingungen des Temperaturbereiches und der Reaktorkonfiguration arbeitet. Wenn wesentliche Änderungen eintreten, muß der Prozeß im allgemeinen neu modelliert werden. Das Modellieren eines typischen ASMA-Reaktors benötigt einschließlich des erforderlichen Identifikationsexperiments weniger als 1 Stunde.
  • In einer bevorzugten Ausführungsform wird ein Pseudo-Verfahren kleinster Quadrate (Pseudo Least Square, PLS) verwendet, um das neuronale Netzwerk 1600 als ein nicht-lineares Modell für den ASMA-Reaktor zu trainieren. Das NN-Modell wird dann weiter bei der vorausberechnenden NEPco-Regelstrategie verwendet wie in 14B abgebildet.
  • Der Trainingsvorgang besteht aus den folgenden allgemeinen Schritten:
    • (1) Durchführen eines Experiments mit dem Reaktor, um die Modellierungsdaten zu erhalten, in der bevorzugten Ausführungsform ist dieses Experiment ein Impulstest-Experiment 1900; (2) Trainieren des neuronalen Netzwerkes (NN) 1600 mittels der aus dem Impulstest-Experiment 1900 erhaltenen Daten, in der bevorzugten Ausführungsform wird das NN-Modell mittels eines Pseudo-Verfahrens kleinster Quadrate (Pseudo Least Square, PLS) trainiert; und (3) Validieren des sich ergebenden Modells.
  • Das Impulstest-Experiment 1900 und das PLS-Verfahren 2300 sind unten näher beschrieben. In einer bevorzugten Ausführungsform ist die Software, die zum Durchführen der Modellierungsaufgabe notwendig ist, mittels MATLAB® implementiert. Jedoch könnte die bevorzugte Ausführungsform ohne Schwierigkeiten in anderen Sprachen neu kodiert werden.
  • DAS IMPULSTEST-IDENTIFIKATIONSEXPERIMENT
  • In den bevorzugten Ausführungsform ist der ASMA-Reaktor ein System mit vier Eingaben (SCR-Signalen) und 4 Ausgaben (Thermoelement-Signalen) wie in Tabelle II aufgelistet.
  • Figure 00530001
    Tabelle II: Das ASMA-Reaktorsystem mit vier Eingaben
  • Der Reaktor ist computergesteuert und alle Signale werden auf Basis diskreter Zeit abgetastet. Das Symbol t bezeichnet den Index der diskreten Zeit (1,2,3,...). Das Trainieren des neuronalen Netzwerks 1600 erfordert, einen Satz von Modellierungskoeffizienten {W[1], b[1], W[2], b[2]} zu erzeugen. Die Modellierangskoeffizienten hängen von einer Abtastperiode, SamplePeriod, ab. In der bevorzugten Ausführungsform beträgt SamplePeriod 2 Sekunden. Die numerischen Werte in dem Modell hängen von dieser Abtastperiode ab. Das bedeutet, daß die Regelung, die auf diesem Modell beruht, auch mit einer Abtastperiode von 2 Sekunden ausgeführt werden sollte. Die Abtastperiode kann ohne nachteilige Wirkung geändert werden, aber wenn die Regelabtastperiode geändert wird, ist vorsichtshalber eine Neumodellierung zum Berechnen eines neuen Satzes von Koeffizienten vorzunehmen.
  • Eine Eigenschaft des Modells ist, daß jede Ausgabe {y,... y4} von allen vier Eingaben {u1 ... u4} abhängt. Um diese Beziehungen zu identifizieren, ist es notwendig, ein Experiment mit dem Reaktor vorzunehmen, um brauchbare Identifikationsdaten zu erhalten. Ein besonders bevorzugtes Experiment ist der Impulstest, der darin besteht, fortlaufend einen Impuls an jede SCR-Eingabe zu senden und die Reaktion jedes Thermoelementes zu messen. Um den gesamten nicht-linearen Betriebsbereich des Reaktors abzudecken (z. B. 800°C bis 1100°C), wird der Test bei einigen Basiswerten der SCR-Eingaben wiederholt. Ein Parameter Duration legt fest, wie viele Abtastungen jeder Impuls dauert. In einer bevorzugten Ausführungsform beträgt Duration fünf Abtastungen (10 Sekunden).
  • Ein Parameter BaseValues ist ein Zeilenvektor, der einen oder mehrere Basiswerte für die SCR-Eingaben in Volt (V) enthält. Typische BaseValues sind [0.8, 1.3, 2.0], die näherungsweise den Reaktortemperaturen [800, 950, 1100] (in °C) entsprechen. Es können auch mehr als drei Basiswerte verwendet werden, was zu einer höheren Genauigkeit führt, jedoch erfordert dies ein entsprechend längeres Experiment. Die Impulse werden nacheinander für jeden Basiswert ausgeführt. Die Zeit zwischen zwei Impulsen, angegeben als eine Anzahl von Abtastungen in einem Parameter Period, hängt von der Einschwingzeit des Reaktors ab. Für einen üblichen Reaktor liegen typische Werte für den Parameter Period zwischen 60 und 120 Abtastungen. Keiner dieser Parameterwerte ist kritisch und selbst eine erhebliche Änderung der Werte führt zu akzeptablen Ergebnissen.
  • Die Dauer des Impulstest-Experiments ist N Abtastungen (2*N Sekunden), wobei N=Duration*Period*Nbase, wobei Nbase die Anzahl von Einträgen in dem Vektor BaseValues ist. Das Ergebnis des Impulstest-Experiments 1900 ist ein Datensatz, der alle Eingabe- und Ausgabeabtastungen des Impulstest-Experiments enthält. Dieser Datensatz kann von der Modellierungssoftware zum Treinieren des NN-Modells verwendet werden.
  • DAS PSEUDO-VERFAHREN KLEINSTER QUADRATE BEIM NN-TRAINING
  • Mathematischer Überblick über das PLS-Verfahren
  • Die bevorzugte Ausführungsform eines neuronalen Netzwerks mit Vorkopplung bzw. Störgrößenaufschaltung für Temperaturregelung wie in 16 abgebildet weist auf: n Eingaben xj, wobei j=1...n; eine verborgene Schicht mit m nicht-linearen, sigmoid-artigen Neuronen; und eine lineare Ausgabeschicht mit einer Ausgabe y. Die Eingabeschicht ist eine Schicht nicht-aktiver Neuronen. Die nicht-aktiven Neuronen führen keine Berechnung durch, sie verteilen nur die Eingabesignale auf die Neuronen in der verborgenen Schicht. Die verborgenen Neuronen haben Ausgaben zi, wobei i=1...m; und i bezieht sich auf ein spezifisches verborgenes Neuron. Die Ausgaben r; werden wie folgt berechnet:
    Figure 00540001
  • Die Parameter in den Gewichtsvektoren Wi 1 (I=1...m) und den Vorspannungen bi [1] (i=1...m) sind unbekannt und müssen aus den Versuchsdaten geschätzt werden. Die Vorspannungen sind erwünscht, um die Tatsache zu kompensieren, daß die Ausgabe y nicht notwendigerweise Null ist, wenn die Eingabe Null ist.
  • Die Ausgabeschicht enthält ein einzelnes lineares Neuron. Die Ausgabe y wird wie folgt berechnet:
  • Figure 00550001
  • Hier zieht wiederum das Trainieren des NN das Schätzen der Gewichte W und der Verzerrungen b nach sich.
  • Für die Schätzung aller dieser Parameter wird ein Satz von Trainingsdaten aus dem Impulstest-Experiment verwendet. Die Daten aus dem Impulstest-Experiment umfassen die Versucheingaben X(k) und die entsprechenden Ausgaben T(k); k=1...N. Somit sind T(k) Zielwerte und N ist die Anzahl von Abtastungen. Das Trainieren des NN besteht aus dem Schätzen eines Satzes von Parametern Wi [1], bi [1] W[2] und b[2], wobei i=1 ... m, und so, daß bei einem gegebenen Satz von Eingaben X(k) die Ausgaben y(k), k=1...N so nahe wie möglich an den Zielwerten T(k), k=1...N, liegen.
  • Die Phrase "so nahe wie möglich" wird im allgemeinen quantifiziert durch einen Wert V, der Summe von quadrierten Fehlern (Sum of Squared Errors, SSE), der gegeben ist durch:
  • Figure 00550002
  • Das NN ist hier nicht-linear und somit ist zur Zeit kein Verfahren in geschlossener Form bekannt, um Wi [1], bi [1] W[2] und b[2] zu schätzen. Es wurde jedoch ein heuristisches Trainingsverfahren gefunden, das pseudo-kleinste Quadrate (Pseudo Least Square, PLS) genannt wird, das in dieser Anwendung gut funktioniert.
  • Das PLS-Verfahren hat den Vorteil der Einfachheit, der leichten Programmierbarkeit und der schnellen Trainingsgeschwindigkeit. Das PLS-Verfahren, das unten genauer beschrieben wird, ist mit dem Finden eines anfänglichen Satzes von Abschätzungen und dem anschließenden Anwenden einer iterativen Prozedur zum Verfeinern der anfänglichen Abschätzungen verbunden. Kurz gesagt führt die iterative Prozedur zum Starten bei der verborgenen Schicht von Neuronen und zum Vorarbeiten durch das NN in Richtung des Ausgabeneurons, wobei die Parameter W und b für jede Schicht verfeinert werden. Die folgenden Abschnitte stellen das PLS-Verfahren und eine Vorgehensweise zum Implementieren des Verfahrens vor.
  • PLS-Schätzung der Parameter der Ausgabeschicht
  • Die Parameter {W[2],b[2]} der Ausgabeschicht werden abgeschätzt, um den SSE-Verlustwert V zu minimieren:
  • Figure 00560001
  • Alle anderen Netzwerkparameter {Wi [1], bi [1] i= 1...m} werden als zum Zeitpunkt t bekannt angenommen. Die Minimierung wird erreicht, indem die Ableitungen von V(W[2],b[2]) bezüglich {W[2],b[2]} gleich Null gesetzt werden:
    Figure 00560002
  • Zur Vereinfachung der Schreibweise werden zwei erweiterte Vektoren
    Figure 00560003
    und
    Figure 00560004
    definiert. Dann kann die Ausgabe y mit den erweiterten Vektoren geschrieben werden als:
    Figure 00560005
    und somit können die zwei Bedingungen von oben kombiniert werden zu
    Figure 00560006
    was zu folgendem führt:
    Figure 00560007
    ergibt dies:
  • Figure 00570001
  • Eine Lösung mit kleinsten Quadraten für die obige Gleichung ist:
    Figure 00570002
  • PLS-Abschätzung der Parameter der verborgenen Schicht
  • Die Parameter Wi [1] und bi [1] von Neuron i (i=1...m) in der verborgenen Schicht werden abgeschätzt, um die SSE-Verlustfunktion zu minimieren:
  • Figure 00570003
  • Alle anderen Netzwerkparameter W1 [1], b1 [1];...; Wi–1 [1], bi–1 [1] Wi+1 [1], bi+1 [1];...; Wm [1], bm [1], W[2], b[2] werden als bekannt angenommen. Eine Minimierung erhält man, indem man die Ableitungen von V(Wi [1], bi [1]) bezüglich {Wi [1], bi [1]} gleich Null setzt, so daß:
  • Figure 00570004
  • Zur Vereinfachung der Schreibweise definiert man zwei erweiterte Vektoren
    Figure 00570005
    und
    Figure 00570006
    . Denn ergibt sich
  • Figure 00570007
  • Die Bedingung
  • Figure 00580001
  • Mittels der Kettenregel für die Differentiation stellt sich die Ableitung oben heraus als:
    Figure 00580002
    was zu den nicht-linearen Gleichungen der Schätzfunktion führt
  • Figure 00580003
  • Wenn man nun einen Rückwärts-Fortpflanzungsfehlerterm δ1 einführt, der definiert ist als:
    Figure 00580004
  • Nun führt man einen minimalen Rückwärts-Fortpflanzungsfehler ein:
    Figure 00580005
    wobei e eine kleine Zahl ist (z. B. ε=10–4). Dies stellt sicher, daß jedes δ1 * (k); k = 1...N eine kleine Zahl ist. Die Gleichung für die Schätzfunktion wird dann zu:
    Figure 00590001
    22 stellt die Sigmoid-Funktion dar. 22 zeigt die Sigmoid-Funktion gezeichnet auf einer X-Achse 2201, die von –3 bis 3 reicht, und einer Y-Achse 2202, die von –1 bis 1 reicht. Eine Neuroneneingabe n 2203 und eine zugehörige Neuronenausgabe r 2206 sind auf der X-Achse 2201 bzw. auf der Y-Achse 2202 abgebildet. Leicht verschoben von der Neuroneneingabe n 2203 und der zugehörigen Ausgabe r 2206 befinden sich eine fiktive Neuroneneingabe n* 2204 und eine zugehörige fiktive Neuronenausgabe r 2205.
  • Die Neuronenausgabe r 2206 bezieht sich auf die fiktive Neuronenausgabe r 2205 gemäß der Beziehung zi *(k) = zi(k)+δi *(k). Somit ist ni *(k) so bestimmt, daß zi *(k) =s(ni *(k)] gilt.
  • Man beachte, daß bei gegebenem z' n' leicht zu berechnen ist als:
  • Figure 00590002
  • Da die Differenz z*-z=δ sehr klein ist, kann festgestellt werden, daß mit beliebiger Genauigkeit gilt:
  • Figure 00590003
  • Die Gleichungen der Schätzfunktion werden zu
    Figure 00600001
    und mit
    Figure 00600002
    führt dies somit zu der Lösung mit kleinsten Quadraten
    Figure 00600003
  • Die P_S-Vorgehensweise
  • In diesem Abschnitt, der von der obigen theoretischen Entwicklung abgetrennt ist, ist eine Zusammenfassung des PLS-Verfahrens zum Schätzen der Vektoren W und b enthalten. Die 23 ist ein Flußdiagramm, das die PLS-Vorgehensweise darstellt. Das PLS-Verfahren erfordert auf jeden Fall eine Anfangsschätzung für jeden der Vektoren. Da es viele Verfahren gibt, die eingesetzt werden können, um die Anfangsschätzungen zu entwickeln, ist der Prozeß zum Entwickeln der Schätzungen, streng genommen, nicht Teil des PLS-Verfahrens. Daher geht das hier vorgestellte PLS-Verfahren nur davon aus, daß eine Anfangsschätzung verfügbar ist. Ein bevorzugtes Verfahren zum Entwickeln der Anfangsschätzungen ist unten beschrieben.
  • In einem Prozeßblock 2301 berechnet man eine passende Startmenge von Anfangsschätzungen { Wi [1], bi [1], W[2], b[2]}
  • Figure 00600004
  • Zu einem Prozeßblock 2302 fortschreitend berechnet man einen anfänglichen Eingabevektor für die verborgenen Neuronen N(k), für k=1...N aus:
    Figure 00600005
    und einen anfänglichen Ausgabevektor der verborgenen Neuronen Z(k), für k=1...N aus:
    Figure 00610001
    und eine anfängliche Ausgabe des neuronalen Netzwerks y(k) für k=1...N:
    Figure 00610002
    und schließlich einen aktuellen SSE-Verlustwert Vold aus:
  • Figure 00610003
  • Zu einem Prozeßblock 2303 fortschreitend berechnet man für jedes verborgene Neuron (i=1...m) die folgenden Größen:
    • – die Ableitung
      Figure 00610004
      für k = 1... N;
    • – den Rückwärts-Fortplanzungsfehler:
      Figure 00610005
    • – den skalierten Wert
      Figure 00610006
    • – die fiktive Eingabe und Ausgabe:
      Figure 00610007
    • – neue Gewichte und Meßabweichungen für Neuron i aus:
      Figure 00610008
    • – die entsprechende neue Neuroneneingabe:
      Figure 00610009
    • – die entsprechende neue Neuronenausgabe:
      Figure 00620001
    • – die neue Netzwerkausgabe:
      Figure 00620002
    • – und einen entsprechenden neuen SSE-Wert Vnew:
      Figure 00620003
  • Es geht weiter mit einem Entscheidungsblock 2307, wenn Vnew kleiner als Vold ist, wird danach mit einem Prozeßblock 2308 fortgefahren, ansonsten springt man zu einem Prozeßblock 2309. In dem Prozeßblock 2308 ersetzt man die alten Werte von W[1], b[1] y(k) und Vold mit den neuen Werten von W[1], b[1], y(k) und Vnew. Dann geht man zum Prozeßblock 2309 weiter.
  • In dem Prozeßblock 2309 wird für das Ausgabeneuron s'[ni{k)], δi{k), δi *(k), zi *(k) mittels W[1] und b[1] berechnet. Ebenso wird in dem Prozeßblock 2309 für das Ausgabeneuron W[2] new und b[2] i,new berechnet und verwendet, um zi(k) und zi(k), ynew(k) und Vnew zu berechnen. In dem Prozeßblock 2313 sind die neuen Gewichte und Abweichungen für das Ausgabeneuron gegeben durch:
    Figure 00620004
    wobei
    Figure 00620005
    und die neue Netzwerkausgabe gegeben ist durch:
    Figure 00620006
  • Es geht anschließend weiter bei einem Entscheidungsblock 2313. In dem Entscheidungsblock 2313 erfolgt ein Übergang zu einem Prozeßblock 2314, wenn Vnew kleiner als Vold ist, ansonsten erfolgt ein Sprung zu einem Entscheidungsblock 2315. In dem Prozeßblock 2314 ersetzt man die alten Werte von W[2], b[2], y(k) und Vold durch die neuen Werte von W[2], b[2], y(k) und Vnew.
  • In dem Entscheidungsblock 2315 geht die Verarbeitung zu dem Prozeßblock 2302 für eine weitere |teration zurück, wenn der Wert von Vold nicht aufgehört hat, sich zu ändern, oder einen bestimmten spezifizierten, kleinen Wert erreicht hat, ansonsten geht der Prozeß zu einem Endblock 2316 über und terminiert.
  • Das Ergebnis des Vorgangs in 23 ist ein neuer Satz von Parametern [W[1], b[1], W[2], b[2]] und zugehörige netzwerkinterne Variable {N(k), Z(k)} und Ausgabewerte {y(k), V}. Wie in dem Entscheidungsblock 2315 angegeben, kann der gesamte Vorgang einige Male wiederholt werden, bis die Abnahme von V Null oder kleiner als ein spezifizierter, kleiner Wert ist. Wie es immer bei nicht-linearen Suchvorgängen der Fall ist, ist die Wahl eines guten Satzes von Anfangswerten von äußerster Wichtigkeit, um die Anzahl von Iterationen zu vermindern und um zu verhindern, bei lokalen Minima hängenzubleiben.
  • Initialisierung
  • Ein bevorzugter Ansatz für das Initialisierungsproblem ist es, ausgehend von den Parametern des linearen Modells zu beginnen:
    Figure 00630001
    • (1) Man Berechne die Parameter
      Figure 00630002
      durch Minimieren des SSE-Verlustes V, wobei:
      Figure 00630003
      was zu der Lösung mit kleinsten Quadraten führt
      Figure 00630004
    • (2) Man wähle m positive Zufallszahlen {a1,..., ai,..., am} so, daß
      Figure 00630005
  • Man setze
    Figure 00630006
    und:
    Figure 00630007
  • Diese Wahl stellt sicher, daß jede Eingabe für ein verborgenes Neuron, gegeben durch:
    Figure 00640001
    zwischen -0,1 und +0,1 liegt, so daß sich die Werte in der linearen Zone um 0 auf der Sigmoid-Kurve befinden, und somit:
    Figure 00640002
    Die Ausgabe des neuronalen Netzes für diese Auswahl von Anfangswerten, gegeben durch:
    Figure 00640003
    ist somit nahe an der Ausgabe des linearen Modells, was eine vernünftige Anfangsbedingung ist.
  • Die SoftSensor -Ausführungsform
  • Nach noch einer anderen Ausführungsform des modellbasierten, vorausberechnenden Reglers können die oben offenbarten linearen und nicht-linearen Modelle weiter verbessert werden, indem ein SoftSensor-Modell zu dem grundlegenden MBPC-Fabrikationssystem 1400 hinzugefügt wird.
  • Die Temperatur der Waferoberfläche ist von besonderer Wichtigkeit für den Abscheidungsprozeß. Jedoch wird die Wafertemperatur an seinen einzelnen Punkten während des Normalbetriebes nicht gemessen. Experimente haben gezeigt, daß die Suszeptor-Temperatur eine brauchbare Näherung der unbekannten Verteilung der Wafertemperatur liefert. Es gibt auch experimentelle Ergebnisse, die darauf hinweisen, daß gute Suszeptor-Regelung allein nicht ausreicht, um eine Waferregelung mit fingen Toleranzen zu erhalten.
  • Transiente Temperaturzustände bzw. Ausgleichsvorgänge bei der Temperatur (Hochgehen/Heruntergehen) sind typische Situationen, in denen die Temperaturen des Wafer und des Suszeptors bzw. Trägers beträchtlich voneinander abweichen können. Das liegt an der unterschiedlichen Masse Wärmekapazität) von Suszeptor und Wafer. Eine gute Suszeptor-Regelung mit keinem (oder sehr geringem) Überschwingen der Temperatur führt nicht notwendig zu einer Wafer-Regelung mit geringem Überschwingen. Darüber hinaus erfordern die vorderen (46), seitlichen (48) und hinteren (50) Suszeptor-Sollwerte die Spezifikation eines Offset bzw. Versatzes bezogen auf den zentralen (44) Suszeptor-Sollwert, um zu einer guten Gleichmäßigkeit der Temperatur über die Waferoberfläche hinweg zu führen. Nach dem Stand der Technik werden diese Offsets durch Versuch und Irrtum bzw. Trial and Error gefunden.
  • Das hier vorgestellte systematischere Verfahren und die hier vorgestellte Vorrichtung, welches das obige Problem löst, ist die Verwendung von MBPC kombiniert mit dem SoftSensor-Prinzip. Das Konzept ist, daß die nicht gemessene Wafertemperatur durch das Ergebnis eines Modells ersetzt werden kann, das die dynamische Beziehung zwischen den Temperaturen des Suszeptors und des Wafer beschreibt. In der bevorzugten Ausführungsform wird dieses SoftSensor-Modell mittels Daten identifiziert, die aus Experimenten mit einem instrumentierten Wafer erhalten werden.
  • 24 ist ein Blockdiagramm, das eine Erweiterung des grundlegenden Fabrikationssystems 1400 zu einem SoftSensor-Fabrikationssystem 2400 darstellt. Ein Rezeptierungsblock 2410 liefert eine Eingabe in einen Sollwert-Generierungsblock 2410. Eine Ausgabe des Sollwert-Generierungsblocks liefert die Eingabe für einen MBPC-Prozeßblock 2402 und einen SoftSensor-Prozeßblock 2412. Eine Ausgabe des SoftSensor-Prozeßblocks 2412 ist eine Waferschätzung 2414. Die Ausgabe der Waferschätzung 2414 wird in den Sollwert-Generierungsbiock 2410 rückgekoppelt. Der MBPC-Prozeßblock 2402 gibt Steuersignale an ein Reaktor- und Lampensystem 2404 aus. Eine Gruppe der nicht meßbaren Ausgaben aus dem Reaktorprozeßblock 2404 stellen die Waferoberflächentemperaturen 2405 dar. Eine Gruppe von meßbaren Ausgaben aus dem Reaktorprozeßblock 2404 sind die Suszeptor-Temperaturen 2406. Die Suszeptor-Temperaturen werden in den MBPC-Prozeßblock 2402 rückgekoppelt, um die Temperaturregelung des Wafer 22 und des Suszeptor 24 zu erleichtern.
  • Die Rezeptierung 2501 wird als ein Sollwert für die Suszeptor-Temperatur verwendet. Danach wird die Rezeptierung in der Basis-Regelstruktur als ein Sollwert für die Wafertemperatur interpretiert. Die Sollwerte für die Suszeptorregelung werden dann intern mittels des SoftSensor-Prinzips in der Regelungsstrategie berechnet.
  • Ein Modell, das die dynamische Beziehung zwischen den Suszeptor-Sollwerten und den Wafertemperaturen beschreibt, wird mittels eines instrumentierten Wafer identifiziert. Der instrumentierte Wafer ist ein spezieller Wafer, der Temperaturfühler auf der Oberfläche des Wafer 20 hat. Dies erlaubt es, tatsächliche Waferoberflächentemperaturen zu messen. Diese gemessenen Werte werden verwendet, um Modellierungskoeffizienten für den SoftSensor-Prozeßblock 2412 zu erhalten. Während des Normalbetriebes des Reaktors kann der SoftSensor-Prozeßblock 2412, der Teil der Regelsoftware ist, verwendet werden, um eine Schätzung der Wafertemperatur zu erzeugen.
  • Ein inverses SoftSensor-Modell wird daraufhin verwendet, um Zwischensignale zu erzeugen, die weiter als Sollwerte für den Standard-Suszeptorregler verwendet werden. In einer bevorzugten Ausführungsform ist der Sollwerterzeuger 2410 ein PID-Filter und der SoftSensor-Block 2414 ist ein linearer FIR-Filter.
  • Das Ergebnis ist, daß die Wafertemperaturen und nicht die Suszeptor-Temperaturen in Richtung der in der Rezeptierung angegebenen Werte geregelt werden. Dieser Vorgang berechnet auch automatisch die benötigten Offsets bzw. Abweichungen für die zentralen 44, vorderen 46, seitlichen 48 und hinteren 50 Suszeptor-Sollwerte, um alle Wafertemperaturen nahe an die Rezeptierung zu bringen. Dies führt zu besserer Gleichförmigkeit der Temperaturen über die Waferoberfläche hinweg.
  • Schlußfolgerunq
  • Während die vorliegende Erfindung speziell unter Bezug auf bevorzugte Ausführungsformen dargestellt und beschrieben wurde, ist es für Fachleute auf dem Gebiet klar, daß dabei verschiedene Änderungen in der Form und im Detail vorgenommen werden können, ohne vom Anwendungs- bzw. Geltungsbereich der Erfindung abzuweichen. Dementsprechend sollen die hier offenbarten Ausführungsformen so betrachtet werden, daß sie nur zum Veranschaulichen dienen und im Schutzumfang nur auf die beigefügten Ansprüchen beschränkt sind.

Claims (27)

  1. Temperaturgeregelter, thermischer Prozeßreaktor (20), mit: einer Reaktionskammer (20), welche einen zu erhitzenden Gegenstand (22) umschließt, einer Mehrzahl von Quellen thermischer Energie (32, 34, 36, 38, 40), die den Gegenstand (22) erhitzen, einer Mehrzahl von Wärmesensoren (44, 46, 48, 50), wobei jeder Sensor (44, 46, 48, 50) dafür ausgelegt ist, eine Sensortemperatur zu messen, wobei jede Sensortemperatur sich auf eine tatsächliche Temperatur des Gegenstandes (22) bezieht, wobei jeder Wärmesensor (44, 46, 48, 50) ein Ausgangssignal liefert, welches für die Sensortemperatur repräsentativ ist, und wobei jede der Quellen von Wärmeenergie (32, 34, 36, 38, 40) jede der Sensortemperaturen beeinflußt, und einem auf einem Modell beruhend vorhersagenden Temperaturregler (100), welcher ein nicht lineares Prozeßmodell (110) aufweist, wobei der Temperaturregler (100) dafür ausgelegt ist, die Ausgangssignale aufzunehmen und die Quellen von Wärmeenergie (32, 34, 36, 38, 40) in Reaktion auf die Ausgangssignale zu regeln, um eine ausgewählte räumliche und zeitliche Verteilung von Wärmeenergie zu erzeugen, um eine relativ gleichmäßige tatsächliche Temperatur auf dem Gegenstand (22) aufrechtzuerhalten, wobei der auf einem Modell beruhend vorhersagende Temperaturregler (100) ein thermisches Prozeßmodell (110) mit mehreren Variablen aufweist, das eine auf mehreren Variablen beruhende thermische Prozeßeingangsenergie mit einer auf mehreren Variablen beruhenden Prozeßausgangstemperatur in Beziehung setzt, weiterhin einen Vorhersageberechnet (108) aufweist, der über das thermische Prozeßmodell (110) umfaßt, um eine vorhergesagte, nominelle Temperaturausgangsgröße über eine künftige Zeitperiode hinweg zu berechnen, und einen Regelrechner (112) aufweist, welcher die vorhergesagte, nominelle Temperaturausgangsgröße verwendet, um eine optimale Regelstrategie zu berechnen, um die Quellen thermischer Energie (32, 34, 36, 38, 40) zu regeln.
  2. Temperaturgeregelter, thermischer Prozeßreaktor (20) nach Anspruch 1, wobei der Vorhersageberechner (108) die vorhergesagte nominelle Temperaturausgangsgröße bzw. den vorhergesagten nominellen Temperaturausgangswert unter Verwendung eines nicht linearen Prozeßmodells (110) in paraleler Rekursion berechnet, wobei der Vorhersageberechnet (108) einen vorbestimmten Vorhersagehorizont hat.
  3. Temperaturgeregelter, thermischer Prozeßreaktor (20) nach Anspruch 2, wobei der Vorhersageberechner (108) eine zukünftige Regelstrategie voraussetzt.
  4. Temperaturgeregelter, thermischer Prozeßreaktor (20) nach Anspruch 3, wobei die vorhergesagte nominelle Temperaturausgangsgröße (bzw. der Temperaturausgangswert) rekursiv über eine vorbestimmte künftige Zeitperiode berechnet wird unter Verwendung einer rekursiven Näherungsstrategie, wobei die rekursive Näherungsstrategie mit einer nicht optimierten Anfangsabschätzung beginnt.
  5. Temperaturgeregelter, thermischer Prozeßreaktor (20) nach Anspruch 4, wobei das thermische Prozeßmodell (110) dafür ausgelegt ist, den Einfluß von Eingangsvariablen des Systems von Eingangsstörungen des Systems im wesentlichen zu entkoppeln.
  6. Temperaturgeregelter, thermischer Prozeßreaktor (20) nach Anspruch 1, wobei der Regelberechner (112) dafür ausgelegt ist, den vorhergesagten nominellen Temperaturausgangswert mit einem gewünschten, künftigen Temperaturausgangswert zu vergleichen, und einen Vergleich in einem rekursiven Algorithmus verwendet, um die optimale Regelstrategie zu berechnen.
  7. Temperaturgeregelter, thermischer Prozeßreaktor (20) nach Anspruch 1, wobei das thermische Prozeßmodell (110) auf einem neuronalen Netzwerk beruht.
  8. Temperaturgeregelter, thermischer Prozeßreaktor (20) nach Anspruch 1, wobei der Vorhersageberechrer (108) die vorhergesagte nominelle Temperaturausgangsgröße (bzw. den Ausgangswert) unter Verwendung eines neuronalen Netzwerks berechnet.
  9. Temperaturgeregelter, thermischer Prozeßreaktor (20) nach Anspruch 8, wobei der Vorhersageberechrer (108) eine künftige Regelstrategie voraussetzt.
  10. Temperaturgeregelter, thermischer Prozeßreaktor (20) nach Anspruch 9, wobei das neuronale Netzwerk ein Netzwerk mit Störgrößenaufschaltung bzw. Vorwärtsregelung ist.
  11. Temperaturgeregelter, thermischer Prozeßreaktor (20) nach Anspruch 10, wobei das neuronale Netzwerk eine versteckte Ebene von Neuronen aufweist.
  12. Temperaturgeregelter, thermischer Prozeßreaktor (20) nach Anspruch 11, wobei die versteckte Ebene von Neuronen nicht lineare S-förmige Neuronen aufweist.
  13. Temperaturgeregelter, thermischer Prozeßreaktor (20) nach Anspruch 8, wobei das neuronale Netzwerk unter Verwendung einer Pseudomethode der kleinsten Fehlerquadrate trainiert wird.
  14. Temperaturgeregelter, thermischer Prozeßreaktor (20) nach Anspruch 1, wobei der Regelberechner (112) den vorhergesagten, nominellen Temperaturausgangswert mit einem gewünschten künftigen Temperaturausgangswert vergleicht, um die optimale Regelstrategie abzuleiten.
  15. Temperaturgeregelter, thermischer Prozeßreaktor (20) nach Anspruch 1, welcher weiterhin ein Softsensormodell aufweist.
  16. Temperaturgeregelter, thermischer Prozeßreaktor (20) nach Anspruch 15, wobei das Softsensormodell aus einem Datensatz erzeugt wird, der unter Verwendung eines bearbeiteten bzw. bestückten Wafers generiert wurde.
  17. Temperaturgeregelter, thermischer Prozeßreaktor (20) nach Anspruch 1, welcher weiterhin einen Sollwert-Generator aufweist, wobei der Sollwert-Generator automatisch eine Korrektur an den verordneten Eingaben in den thermischen Prozeßreaktor (20) erzeugt, wobei die Korrektur eine Regelung der tatsächlichen bzw. jeweiligen Waferoberflächentemperaturen ermöglicht.
  18. Temperaturgeregelter, thermischer Prozeßreaktor (20) nach Anspruch 17, wobei die Korrektur eine verbesserte Regelung der tatsächlichen Waferoberflächentemperaturen auf der Basis von Messungen von Aufnehmertemperaturen ermöglicht.
  19. Verfahren zum Regeln eines thermischen Prozesses in einem Reaktor, wobei der Reaktor eine Reaktionskammer (20) aufweist, die einen zu erhitzenden Gegenstand (22), eine Mehrzahl von Quellen thermischer Energie (32, 34, 36, 38, 40), welche den Gegenstand (22) erhitzen und eine Mehrzahl thermischer Sensoren (44, 46, 48, 50) umschließt, wobei jeder Sensor (44, 46, 48, 50) dafür ausgelegt ist, eine Sensortemperatur zu messen, die in Beziehung zu einer tatsächlichen Temperatur des Gegenstandes (22) steht, wobei jeder Wärmesensor (44, 46, 48, 50) ein Ausgangssignal liefert, welches für die Sensortemperatur repräsentativ ist, und wobei jede der Quellen thermischer Energie (32, 34, 36, 38, 40) jede der Sensortemperaturen beeinflußt, und mit einem auf einem Modell beruhend vorhersagenden Temperaturregler (100), der ein nicht lineares Prozeßmodell (110) aufweist, und wobei das Verfahren die Schritte aufweist: Messen einer oder mehrerer Prozeßausgangstemperaturen, Vorhersagen einer Mehrzahl von künftigen Prozeßausgangstemperaturen unter Verwendung des nicht thermischen Prozeßmodells, Verwinden einer oder mehrerer Prozeßausgangstemperaturen und der vorhergesagten künftigen Prozeßtemperaturen, um eine optimale Prozeßeingangsregelstrategie zu berechnen, indem eine oder mehrere der vorhergesagten künftigen Prozeßausgangstemperaturen mit einer gewünschten künftigen Temperatur verglichen werden, und indem der Vergleich in einem Algorithmus verwendet wird, um die optimale Regelstrategie für die Prozeßeingabe zu berechnen, und Regeln einer thermischen Prozeßeingangsenergie unter Verwendung der berechneten, optimalen Prozeßeingangsregelstrategie.
  20. Verfahren nach Anspruch 19, wobei der Schritt des Vorhersagens einer künftigen Prozeßausgangstemperatur aufweist: Identifizieren des nicht linearen thermischen Prozeßmodells (110), welches thermische Prozeßeingangeenergie mit der Prozeßausgangstemperatur in Beziehung setzt, und rekursives Vorhersagen künftiger Prozeßausgangstemperaturen unter Verwendung des nicht linearen thermischen Prozeßmodells (110), wobei die Prozeßausgangstemperatur, über eine vorbestimmte künftige Zeitperiode hinweg vorhergesagt wird.
  21. Verfahren nach Anspruch 20, wobei der Schritt des Vorhersagens künftiger Prozeßausgangstemperaturen weiterhin das periodische Aktualisieren der Vorhersagen entsprechend einer Berechnung eines zurückweichenden Horizonts aufweist.
  22. Verfahren nach Anspruch 19, wobei der Schritt des Vorhersagens einer künftigen Prozeßausgangstemperatur das Postulieren einer künftigen, stationären Regelstrategie aufweist.
  23. Verfahren nach Anspruch 19, wobei der Schritt des Berechnens einer optimalen Regelstrategie für die Prozeßeingabe bzw. Prozeßeingangsgrößen das Vergleichen der vorhergesagten, künftigen Prozefsausgangstemperaturen mit einer gewünschten künftigen Prozeßausgangstemperatur aufweist.
  24. Verfahren nach Anspruch 19, wobei der Schritt des Vorhersagens einer künftigen Prozeßausgangstemperatur aufweist: Identifizieren des nicht linearen thermischen Prozeßmodells (110), welches thermische Prozeßeingangsenergie mit Prozeßausgangstemperatur in Beziehung setzt, und Train eren eines neuronalen Netzwerks, um künftige Prozeßausgangstemperaturen unter Verwendung des thermischen Prozeßmodells (110) vorherzusagen, wobei die Prozeßausgangstemperatur über eine vorbestimmte künftige Zeitperiode vorhergesagt wird.
  25. Verfahren nach Anspruch 24, wobei der Schritt des Vorhersagens künftiger Prozeßausgangstemperaturen weiterhin das periodische Aktualisieren der Vorhersagen entsprechend einer Berechnung eines zurückweichenden Horizonts aufweist.
  26. Verfahren nach Anspruch 24, wobei der Schritt des Vorhersagens einer künftigen Prozeßausgangstemperatur das Postulieren einer stationären künftigen Regelstrategie aufweist.
  27. Verfahren nach Anspruch 24, wobei der Schritt des Berechnens einer optimalen Regelstrategie für die Prozeßeingangsgröße das Vergleichen der vorhergesagten, künftigen Prozeßausgangstemperaturen mit einer gewünschten künftigen Prozeßausgangstemperatur aufweist.
DE69728256T 1996-01-31 1997-01-30 Modellgestützte prädiktive regelung für thermische behandlungen Expired - Fee Related DE69728256T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US597438 1984-04-06
US59743896A 1996-01-31 1996-01-31
PCT/US1997/001318 WO1997028669A1 (en) 1996-01-31 1997-01-30 Model-based predictive control of thermal processing

Publications (2)

Publication Number Publication Date
DE69728256D1 DE69728256D1 (de) 2004-04-29
DE69728256T2 true DE69728256T2 (de) 2005-03-03

Family

ID=24391498

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69728256T Expired - Fee Related DE69728256T2 (de) 1996-01-31 1997-01-30 Modellgestützte prädiktive regelung für thermische behandlungen

Country Status (7)

Country Link
US (2) US6207936B1 (de)
EP (1) EP0879547B1 (de)
JP (1) JP3956057B2 (de)
KR (1) KR100486158B1 (de)
AU (1) AU1843597A (de)
DE (1) DE69728256T2 (de)
WO (1) WO1997028669A1 (de)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102014201567A1 (de) 2014-01-29 2015-07-30 Bayerische Motoren Werke Aktiengesellschaft Modellbasierte prädiktive Starterregelung eines Verbrennungsmotors
EP3819721A1 (de) * 2019-11-11 2021-05-12 Rational Aktiengesellschaft Verfahren zum regeln eines verarbeitungsgerätes, regeleinheit sowie verarbeitungsgerät

Families Citing this family (410)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6113702A (en) * 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
US20020046143A1 (en) * 1995-10-03 2002-04-18 Eder Jeffrey Scott Method of and system for evaluating cash flow and elements of a business enterprise
JP3956057B2 (ja) 1996-01-31 2007-08-08 エイエスエム アメリカ インコーポレイテッド 熱処理のモデル規範型予測制御
US8311673B2 (en) * 1996-05-06 2012-11-13 Rockwell Automation Technologies, Inc. Method and apparatus for minimizing error in dynamic and steady-state processes for prediction, control, and optimization
US6381504B1 (en) * 1996-05-06 2002-04-30 Pavilion Technologies, Inc. Method for optimizing a plant with multiple inputs
US6438430B1 (en) * 1996-05-06 2002-08-20 Pavilion Technologies, Inc. Kiln thermal and combustion control
US7610108B2 (en) * 1996-05-06 2009-10-27 Rockwell Automation Technologies, Inc. Method and apparatus for attenuating error in dynamic and steady-state processes for prediction, control, and optimization
US10839321B2 (en) * 1997-01-06 2020-11-17 Jeffrey Eder Automated data storage system
US20010034686A1 (en) * 1997-12-10 2001-10-25 Eder Jeff Scott Method of and system for defining and measuring the real options of a commercial enterprise
US20080004922A1 (en) * 1997-01-06 2008-01-03 Jeff Scott Eder Detailed method of and system for modeling and analyzing business improvement programs
EP0907117A1 (de) * 1997-09-05 1999-04-07 Communauté Européenne (CE) Nichtlineares neuronales prädiktives Regelungssystem
US6453308B1 (en) * 1997-10-01 2002-09-17 Aspen Technology, Inc. Non-linear dynamic predictive device
US6401003B1 (en) * 1997-12-30 2002-06-04 Samsung Electronics Co., Ltd. Alarm system for semiconductor device fabrication facility
JP4551515B2 (ja) * 1998-10-07 2010-09-29 株式会社日立国際電気 半導体製造装置およびその温度制御方法
DE19855637A1 (de) * 1998-12-02 2000-06-15 Aixtron Ag Verfahren und System zur Halbleiterkristallherstellung mit Temperaturverwaltung
US20040215495A1 (en) * 1999-04-16 2004-10-28 Eder Jeff Scott Method of and system for defining and measuring the elements of value and real options of a commercial enterprise
US6694289B1 (en) * 1999-07-01 2004-02-17 International Business Machines Corporation Fast simulation method for single and coupled lossy lines with frequency-dependent parameters based on triangle impulse responses
US6560514B1 (en) 1999-09-23 2003-05-06 Kic Thermal Profiling Method and apparatus for optimizing control of a part temperature in conveyorized thermal processor
US6453219B1 (en) 1999-09-23 2002-09-17 Kic Thermal Profiling Method and apparatus for controlling temperature response of a part in a conveyorized thermal processor
JP3450240B2 (ja) * 1999-11-25 2003-09-22 Necエレクトロニクス株式会社 ランプアニール装置とランプアニール装置の処理温度制御方法
US6522990B1 (en) 1999-12-03 2003-02-18 General Electric Company Methods and apparatus for reducing temperature overshoot
JP2001210596A (ja) * 2000-01-28 2001-08-03 Hitachi Kokusai Electric Inc 半導体製造装置の温度制御方法、半導体製造装置、および半導体デバイスの製造方法
US6191399B1 (en) 2000-02-01 2001-02-20 Asm America, Inc. System of controlling the temperature of a processing chamber
TW505770B (en) * 2000-05-02 2002-10-11 Nishiyama Corp Temperature controller
CA2696152A1 (en) * 2000-06-29 2002-01-10 Aspen Technology, Inc. Computer method and apparatus for constraining a non-linear approximator of an empirical process
DE10032465A1 (de) * 2000-07-04 2002-01-31 Steag Rtp Systems Gmbh Verfahren und Vorrichtung zum thermischen Behandeln von Objekten
US6465761B2 (en) * 2000-07-24 2002-10-15 Asm America, Inc. Heat lamps for zone heating
US20040236673A1 (en) * 2000-10-17 2004-11-25 Eder Jeff Scott Collaborative risk transfer system
US20090018891A1 (en) * 2003-12-30 2009-01-15 Jeff Scott Eder Market value matrix
US6819963B2 (en) * 2000-12-06 2004-11-16 Advanced Micro Devices, Inc. Run-to-run control method for proportional-integral-derivative (PID) controller tuning for rapid thermal processing (RTP)
US7092863B2 (en) * 2000-12-26 2006-08-15 Insyst Ltd. Model predictive control (MPC) system using DOE based model
US6911628B1 (en) * 2001-01-25 2005-06-28 Yamatake Corporation Control system and control unit
KR100423183B1 (ko) * 2001-03-21 2004-03-18 코닉 시스템 주식회사 적응제어방법을 이용한 고속 열처리 공정기의 온도 제어장치 및 제어방법
US6606537B1 (en) * 2001-04-19 2003-08-12 Kic Thermal Profiling Method for correcting process temperature profile in a multi-zone thermal processor
NL1018086C2 (nl) * 2001-05-16 2002-11-26 Asm Int Werkwijze en inrichting voor het thermisch behandelen van substraten.
JP4506030B2 (ja) * 2001-05-18 2010-07-21 東京エレクトロン株式会社 熱処理装置及び成膜方法
WO2002097540A1 (en) * 2001-05-25 2002-12-05 Parametric Optimization Solutions Ltd. Improved process control
DE10129141A1 (de) * 2001-06-16 2002-12-19 Abb Research Ltd Steuer- und Regelverfahren un Regeleinrichtung zum An- oder Abfahren einer verfahrenstechnischen Komponente eines technischen Prozesses
US7158840B2 (en) * 2001-06-29 2007-01-02 Cymer, Inc. Tuning control parameters of vibration reduction and motion control systems for fabrication equipment and robotic systems
AU2002346098A1 (en) * 2001-07-13 2003-01-29 Fsi International Robotic system control
US6616759B2 (en) * 2001-09-06 2003-09-09 Hitachi, Ltd. Method of monitoring and/or controlling a semiconductor manufacturing apparatus and a system therefor
US6810291B2 (en) * 2001-09-14 2004-10-26 Ibex Process Technology, Inc. Scalable, hierarchical control for complex processes
FI115081B (fi) * 2001-10-19 2005-02-28 Metso Automation Oy Menetelmä ja laitteisto paperikoneen massaosaston toiminnan ohjaamiseksi
DE60120192T2 (de) * 2001-11-29 2006-11-16 Abb Research Ltd. Optimaler Betrieb eines Kraftwerks
US7493470B1 (en) 2001-12-07 2009-02-17 Arc International, Plc Processor apparatus and methods optimized for control applications
US20040215522A1 (en) * 2001-12-26 2004-10-28 Eder Jeff Scott Process optimization system
US6785633B2 (en) * 2001-12-28 2004-08-31 General Electric Company Method and apparatus for assessing performance of combined cycle power-plants
US20030125906A1 (en) * 2001-12-28 2003-07-03 Guaglardi Paul A. Method and apparatus for assessing the impact of individual parts of a gas turbine component on the overall thermal performance of a gas turbine
US7730063B2 (en) * 2002-12-10 2010-06-01 Asset Trust, Inc. Personalized medicine service
US20080256069A1 (en) * 2002-09-09 2008-10-16 Jeffrey Scott Eder Complete Context(tm) Query System
US20080027769A1 (en) 2002-09-09 2008-01-31 Jeff Scott Eder Knowledge based performance management system
US20110040631A1 (en) * 2005-07-09 2011-02-17 Jeffrey Scott Eder Personalized commerce system
US6596973B1 (en) 2002-03-07 2003-07-22 Asm America, Inc. Pyrometer calibrated wafer temperature estimator
EP1343061A1 (de) * 2002-03-08 2003-09-10 Siemens Aktiengesellschaft Verfahren zur Simulation eines technischen Systems und Simulator
US7505877B2 (en) * 2002-03-08 2009-03-17 Johnson Controls Technology Company System and method for characterizing a system
US6864897B2 (en) * 2002-04-12 2005-03-08 Mitsubishi Electric Research Labs, Inc. Analysis, synthesis and control of data signals with temporal textures using a linear dynamic system
US7427329B2 (en) 2002-05-08 2008-09-23 Asm International N.V. Temperature control for single substrate semiconductor processing reactor
US6843201B2 (en) * 2002-05-08 2005-01-18 Asm International Nv Temperature control for single substrate semiconductor processing reactor
US7668702B2 (en) * 2002-07-19 2010-02-23 Applied Materials, Inc. Method, system and medium for controlling manufacturing process using adaptive models based on empirical data
US20040019393A1 (en) * 2002-07-25 2004-01-29 Eileen Heider System and method for model base control
US6818864B2 (en) 2002-08-09 2004-11-16 Asm America, Inc. LED heat lamp arrays for CVD heating
US7050863B2 (en) * 2002-09-11 2006-05-23 Fisher-Rosemount Systems, Inc. Integrated model predictive control and optimization within a process control system
US6642486B1 (en) * 2002-11-07 2003-11-04 Illinois Tool Works, Inc. Method and apparatus for replicating heat profile of infrared oven
US7006900B2 (en) * 2002-11-14 2006-02-28 Asm International N.V. Hybrid cascade model-based predictive control system
CN1720490B (zh) * 2002-11-15 2010-12-08 应用材料有限公司 用于控制具有多变量输入参数的制造工艺的方法和系统
US8463441B2 (en) 2002-12-09 2013-06-11 Hudson Technologies, Inc. Method and apparatus for optimizing refrigeration systems
WO2004059271A1 (de) * 2002-12-23 2004-07-15 Mattson Thermal Products Gmbh Verfahren zum bestimmen der temperatur eines halbleiterwafers in einer schnelheizanlage
DE10329107B4 (de) * 2002-12-23 2015-05-28 Mattson Thermal Products Gmbh Verfahren zum Bestimmung wenigstens einer Zustandsvariablen aus einem Modell eines RTP-Systems
US7619184B2 (en) * 2003-03-04 2009-11-17 Micron Technology, Inc. Multi-parameter process and control method
US20040181498A1 (en) * 2003-03-11 2004-09-16 Kothare Simone L. Constrained system identification for incorporation of a priori knowledge
US20040181300A1 (en) * 2003-03-11 2004-09-16 Clark Robert L. Methods, apparatus and computer program products for adaptively controlling a system by combining recursive system identification with generalized predictive control
US7231264B2 (en) * 2003-03-21 2007-06-12 Aspen Technology, Inc. Methods and articles for detecting, verifying, and repairing collinearity in a model or subsets of a model
DE10324045B3 (de) * 2003-05-27 2004-10-14 Siemens Ag Verfahren sowie Computerprogramm mit Programmcode-Mitteln und Computerprogramm-Produkt zur Ermittlung eines zukünftigen Systemverhaltens eines dynamischen Systems
US7181296B2 (en) * 2003-08-06 2007-02-20 Asml Netherlands B.V. Method of adaptive interactive learning control and a lithographic manufacturing process and apparatus employing such a method
JP4223894B2 (ja) * 2003-08-21 2009-02-12 株式会社山武 Pidパラメータ調整装置
US20050044892A1 (en) * 2003-08-28 2005-03-03 George Stephan P. Method and apparatus for heating glass sheets
US7447664B2 (en) * 2003-08-28 2008-11-04 Boeing Co Neural network predictive control cost function designer
US7022627B2 (en) 2003-10-31 2006-04-04 Asm International N.V. Method for the heat treatment of substrates
US7410355B2 (en) * 2003-10-31 2008-08-12 Asm International N.V. Method for the heat treatment of substrates
US6940047B2 (en) * 2003-11-14 2005-09-06 Asm International N.V. Heat treatment apparatus with temperature control system
US7187989B2 (en) * 2003-12-22 2007-03-06 Fakhruddin T Attarwala Use of core process models in model predictive controller
US7101816B2 (en) * 2003-12-29 2006-09-05 Tokyo Electron Limited Methods for adaptive real time control of a thermal processing system
US6964238B2 (en) * 2003-12-31 2005-11-15 Kimberly-Clark Worldwide, Inc. Process for making a garment having hanging legs
EP1553470B1 (de) * 2004-01-09 2008-12-31 Abb Research Ltd. Vorrichtung zur Prozesssteuerung
US7025280B2 (en) * 2004-01-30 2006-04-11 Tokyo Electron Limited Adaptive real time control of a reticle/mask system
US6943327B2 (en) * 2004-02-04 2005-09-13 Lear Corporation Method for the progressive control of heating elements
WO2005077038A2 (en) * 2004-02-06 2005-08-25 Wisconsin Alumni Research Foundation Siso model predictive controller
US7203554B2 (en) * 2004-03-16 2007-04-10 United Technologies Corporation Model predictive controller with life extending control
US7203555B2 (en) * 2004-05-14 2007-04-10 University Of Delaware Predictive regulatory controller
US7415312B2 (en) * 2004-05-25 2008-08-19 Barnett Jr James R Process module tuning
US20090043637A1 (en) * 2004-06-01 2009-02-12 Eder Jeffrey Scott Extended value and risk management system
US20070036467A1 (en) * 2004-07-26 2007-02-15 Coleman Christopher R System and method for creating a high resolution material image
US20060017740A1 (en) * 2004-07-26 2006-01-26 Coleman Christopher R Diurnal variation of geo-specific terrain temperatures in real-time infrared sensor simulation
US20060018566A1 (en) * 2004-07-26 2006-01-26 Coleman Christopher R System and method for adding spatial frequency into an image
US20060020563A1 (en) * 2004-07-26 2006-01-26 Coleman Christopher R Supervised neural network for encoding continuous curves
JP4925571B2 (ja) * 2004-08-09 2012-04-25 アプライド マテリアルズ インコーポレイテッド 基板の熱的性質判定方法及び熱処理条件の決定方法
JP4428175B2 (ja) * 2004-09-14 2010-03-10 株式会社Sumco 気相エピタキシャル成長装置および半導体ウェーハの製造方法
US7502715B1 (en) * 2004-09-21 2009-03-10 Asml Netherlands B.V Observability in metrology measurements
US7217670B2 (en) * 2004-11-22 2007-05-15 Asm International N.V. Dummy substrate for thermal reactor
US7751908B2 (en) * 2004-12-02 2010-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for thermal process control
US20060167591A1 (en) * 2005-01-26 2006-07-27 Mcnally James T Energy and cost savings calculation system
US8713025B2 (en) 2005-03-31 2014-04-29 Square Halt Solutions, Limited Liability Company Complete context search system
US20060289795A1 (en) * 2005-06-02 2006-12-28 Dubois Dale R Vacuum reaction chamber with x-lamp heater
US7777197B2 (en) * 2005-06-02 2010-08-17 Applied Materials, Inc. Vacuum reaction chamber with x-lamp heater
JP4839702B2 (ja) * 2005-07-04 2011-12-21 オムロン株式会社 温度制御方法、調整装置、温度調節器、プログラム、記録媒体および熱処理装置
US7672739B2 (en) * 2005-08-11 2010-03-02 University Of South Florida System for multiresolution analysis assisted reinforcement learning approach to run-by-run control
US7451004B2 (en) 2005-09-30 2008-11-11 Fisher-Rosemount Systems, Inc. On-line adaptive model predictive control in a process control system
US7957847B2 (en) * 2005-09-30 2011-06-07 Hitachi Global Storage Technologies Netherlands, B.V. Voltage regulating systems responsive to feed-forward information from deterministic loads
GB2430764B (en) * 2005-09-30 2011-03-09 Fisher Rosemount Systems Inc On-line adaptive model predictive control in a process control system
US8498915B2 (en) * 2006-04-02 2013-07-30 Asset Reliance, Inc. Data processing framework for financial services
US8527252B2 (en) * 2006-07-28 2013-09-03 Emerson Process Management Power & Water Solutions, Inc. Real-time synchronized control and simulation within a process plant
DE102006036585B4 (de) * 2006-08-04 2008-04-17 Mattson Thermal Products Gmbh Verfahren und Vorrichtung zum Ermitteln von Messwerten
US7949417B2 (en) * 2006-09-22 2011-05-24 Exxonmobil Research And Engineering Company Model predictive controller solution analysis process
US7826909B2 (en) * 2006-12-11 2010-11-02 Fakhruddin T Attarwala Dynamic model predictive control
US7451054B2 (en) * 2007-01-30 2008-11-11 Tokyo Electron Limited Method of using a wafer-temperature-dependent profile library
JP5169046B2 (ja) * 2007-07-20 2013-03-27 ウシオ電機株式会社 光照射式加熱処理装置
US8064151B2 (en) * 2007-08-14 2011-11-22 Asml Netherlands B.V. Lithographic apparatus and thermal optical manipulator control method
US20090076773A1 (en) * 2007-09-14 2009-03-19 Texas Tech University Method for identifying unmeasured disturbances in process control test data
US8047706B2 (en) * 2007-12-07 2011-11-01 Asm America, Inc. Calibration of temperature control system for semiconductor processing chamber
ES2337960T3 (es) * 2008-02-15 2010-04-30 Siemens Aktiengesellschaft Evaluacion fiable de una señal de medicion de temperaturas mediante la adaptacion dinamica de un modelo matematico.
CA2718911C (en) * 2008-03-20 2015-05-05 University Of New Brunswick Method of multi-dimensional nonlinear control
US8209045B2 (en) * 2008-04-07 2012-06-26 Honeywell International Inc. System and method for discrete supply chain control and optimization using model predictive control
JP5562529B2 (ja) * 2008-04-17 2014-07-30 大日本スクリーン製造株式会社 熱処理装置
US20090287320A1 (en) * 2008-05-13 2009-11-19 Macgregor John System and Method for the Model Predictive Control of Batch Processes using Latent Variable Dynamic Models
US8315746B2 (en) 2008-05-30 2012-11-20 Apple Inc. Thermal management techniques in an electronic device
JP5251267B2 (ja) * 2008-06-04 2013-07-31 富士電機株式会社 制御装置
US8306772B2 (en) 2008-10-13 2012-11-06 Apple Inc. Method for estimating temperature at a critical point
WO2010062287A1 (en) * 2008-11-25 2010-06-03 Utc Fire & Security Corporation Oxygen trim controller tuning during combustion system commissioning
US8260440B2 (en) * 2008-12-05 2012-09-04 The Regents Of The University Of Michigan Adaptive control based on retrospective cost optimization
US9758871B2 (en) * 2008-12-10 2017-09-12 Sumco Techxiv Corporation Method and apparatus for manufacturing epitaxial silicon wafer
DE102009016138A1 (de) * 2009-04-03 2010-10-14 Ipsen International Gmbh Verfahren und Computer-Programm zur Steuerung der Wärmebehandlung von metallischen Werkstücken
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8244502B2 (en) 2009-08-12 2012-08-14 International Business Machines Corporation Knowledge-based models for data centers
US20120284216A1 (en) * 2009-08-12 2012-11-08 International Business Machines Corporation Knowledge-Based Models for Data Centers
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5469678B2 (ja) * 2009-12-25 2014-04-16 キヤノンアネルバ株式会社 基板加熱処理装置の温度制御方法、半導体デバイスの製造方法、基板加熱処理装置の温度制御プログラム及び記録媒体
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130130184A1 (en) * 2011-11-21 2013-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and Method for Controlling Wafer Temperature
US8809747B2 (en) * 2012-04-13 2014-08-19 Lam Research Corporation Current peak spreading schemes for multiplexed heated array
US9158313B2 (en) * 2012-07-25 2015-10-13 Broadcom Corporation System and method for supervised thermal management
AU2013319833B2 (en) * 2012-09-24 2016-04-21 Société des Produits Nestlé S.A. Methods and systems for coordination of aseptic sterilization and aseptic package filling rate
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9189575B2 (en) 2013-03-11 2015-11-17 Toyota Motor Engineering & Manufacturing North America, Inc. SVR dynamic system modeling with delayed output measurements
US20140365413A1 (en) * 2013-06-06 2014-12-11 Qualcomm Incorporated Efficient implementation of neural population diversity in neural system
US20150148981A1 (en) * 2013-11-24 2015-05-28 Qualcomm Incorporated System and method for multi-correlative learning thermal management of a system on a chip in a portable computing device
US9476584B2 (en) 2013-12-12 2016-10-25 General Electric Company Controlling boiler drum level
JP2017034295A (ja) * 2013-12-13 2017-02-09 シャープ株式会社 基地局装置、端末装置、送信方法、および受信方法
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20150300888A1 (en) * 2014-04-21 2015-10-22 National Taiwan University Temperature prediction system and method thereof
US9715221B2 (en) 2014-05-01 2017-07-25 Aspen Technology, Inc. Online control calculation for models containing near colinearity and uncertainty
TWI621001B (zh) * 2014-06-11 2018-04-11 蘇鴻德 藉由單變數控制單元達成多變數控制之控制器
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9541906B2 (en) * 2014-09-11 2017-01-10 Hong-Te SU Controller capable of achieving multi-variable controls through single-variable control unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6380552B2 (ja) * 2014-12-11 2018-08-29 富士電機株式会社 制御装置、そのプログラム、プラント制御方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
EP3304218B1 (de) * 2015-06-05 2022-09-07 Shell Internationale Research Maatschappij B.V. System und verfahren für hintergrundelementwechsel für modelle bei modellprädiktiven schätzungs- und steuerungsanwendungen
US10671131B2 (en) 2015-06-05 2020-06-02 Apple Inc. Predictive control systems and methods
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10496054B2 (en) 2015-06-26 2019-12-03 Bayer Cropscience Ag Method for controlling technical processes with linearization
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
MX2018010593A (es) 2016-03-02 2019-08-12 Watlow Electric Mfg Dispositivo de almacenamiento termico para uso en un sistema de flujo de fluido.
JP6647931B2 (ja) * 2016-03-16 2020-02-14 株式会社Kelk 半導体ウェーハの温度制御装置、および半導体ウェーハの温度制御方法
CN105751470B (zh) * 2016-03-23 2017-12-12 广西科技大学 一种注塑机温度实时控制方法
US10486000B2 (en) * 2016-04-08 2019-11-26 Absorbergauge Llc Temperature-based estimation of scrubbing capacity of a gas scrubber
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10157761B2 (en) * 2016-08-17 2018-12-18 Kelk Ltd. Temperature controller of semiconductor wafer
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US20180363139A1 (en) * 2017-06-20 2018-12-20 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
JP7148944B2 (ja) * 2017-06-23 2022-10-06 国立大学法人広島大学 制御装置
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
CN108694288B (zh) * 2018-05-29 2021-04-30 中南大学 快速获取步进梁式钢坯加热炉不同产率下设置温度的方法
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
CN108776432B (zh) * 2018-06-08 2021-10-01 哈尔滨工程大学 基于网络的机场跑道检测机器人预测控制方法
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10998205B2 (en) * 2018-09-14 2021-05-04 Kokusai Electric Corporation Substrate processing apparatus and manufacturing method of semiconductor device
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
CN109581870B (zh) * 2018-11-27 2022-01-25 中国工程物理研究院化工材料研究所 含能材料反应釜的釜内温度动态矩阵控制方法
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN109855763A (zh) * 2018-12-29 2019-06-07 宏景科技股份有限公司 一种基于bp神经网络与plc的温度传感器校正方法
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
TWI689221B (zh) * 2019-03-14 2020-03-21 國家中山科學研究院 基地站主動式智慧型散熱系統
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
US20220171373A1 (en) * 2019-03-29 2022-06-02 Lam Research Corporation Model-based scheduling for substrate processing systems
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11092354B2 (en) * 2019-06-20 2021-08-17 Johnson Controls Tyco IP Holdings LLP Systems and methods for flow control in an HVAC system
US11149976B2 (en) 2019-06-20 2021-10-19 Johnson Controls Tyco IP Holdings LLP Systems and methods for flow control in an HVAC system
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
US11604459B2 (en) 2019-07-12 2023-03-14 Emerson Process Management Power & Water Solutions, Inc. Real-time control using directed predictive simulation within a control system of a process plant
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
CN110442991B (zh) * 2019-08-12 2021-05-04 江南大学 一种基于参数化fir模型的动态硫回收软测量建模方法
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11441800B2 (en) 2020-01-07 2022-09-13 FPL Smart Services, LLC Autonomous machine learning diagonostic system with simplified sensors for home appliances
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
CN112181008B (zh) * 2020-09-02 2022-06-21 珠海泰坦新动力电子有限公司 高温化成柜热源功率智能控制方法、装置及介质
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
SE544494C2 (en) * 2020-10-21 2022-06-21 Senseair Ab Temperature controller for a temperature control mechanism
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN114510863A (zh) * 2020-11-16 2022-05-17 鸿富锦精密电子(成都)有限公司 回焊炉炉温调节方法、装置、电子设备及存储介质
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113203499B (zh) * 2021-04-02 2022-03-18 华中科技大学 一种瞬态温度测量校准方法及系统
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2023141135A (ja) * 2022-03-23 2023-10-05 株式会社Screenホールディングス 熱処理装置
CN115268270A (zh) * 2022-07-29 2022-11-01 华能伊敏煤电有限责任公司 一种多源热网加热器优化调度方法、系统、设备及介质

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3836751A (en) 1973-07-26 1974-09-17 Applied Materials Inc Temperature controlled profiling heater
GB1583545A (en) * 1976-08-04 1981-01-28 Martin Sanchez J Control systems
US4255133A (en) 1978-04-10 1981-03-10 Hitachi, Ltd. Method for controlling furnace temperature of multi-zone heating furnace
US4349869A (en) 1979-10-01 1982-09-14 Shell Oil Company Dynamic matrix control method
IL62597A (en) 1980-04-07 1984-03-30 Martin Sanchez Juan Adaptive-predictive control system
US4714988A (en) 1982-03-26 1987-12-22 Kabushiki Kaisha Toshiba Feedforward feedback control having predictive disturbance compensation
US4639853A (en) 1983-06-03 1987-01-27 Omron Tateisi Electronics Co. Time-discrete adaptive switching on-off controller
US4616308A (en) 1983-11-15 1986-10-07 Shell Oil Company Dynamic process control
JPS61145606A (ja) 1984-12-19 1986-07-03 Ohkura Electric Co Ltd 干渉対応形パタ−ン切換式温度制御装置
US4720807A (en) 1985-05-20 1988-01-19 Vacuum General, Inc. Adaptive pressure control system
US4694390A (en) 1985-06-28 1987-09-15 Electric Power Research Institute, Inc. Microprocessor-based control and diagnostic system for motor operated valves
US4680451A (en) 1985-07-29 1987-07-14 A. G. Associates Apparatus using high intensity CW lamps for improved heat treating of semiconductor wafers
US4634946A (en) 1985-10-02 1987-01-06 Westinghouse Electric Corp. Apparatus and method for predictive control of a dynamic system
KR910002596B1 (ko) * 1985-11-21 1991-04-27 다이닛뽕 스크린 세이조오 가부시기가이샤 온도제어방법 및 그 장치
JPS62192281U (de) 1986-05-27 1987-12-07
US4736316A (en) 1986-08-06 1988-04-05 Chevron Research Company Minimum time, optimizing and stabilizing multivariable control method and system using a constraint associated control code
US4769766A (en) 1986-09-11 1988-09-06 Tung Hsien Hsin Robust model reference controller
US4975561A (en) * 1987-06-18 1990-12-04 Epsilon Technology Inc. Heating system for substrates
JPH0196701A (ja) 1987-10-09 1989-04-14 Nobuo Yamamoto 内部モデル協調型フイードフオワード手法を用いた制御系
US4959767A (en) 1988-11-23 1990-09-25 Elsag International B.V. Parameter estimation technique for closed loop system
US5032977A (en) 1989-06-13 1991-07-16 Elsag International B.V. System for modeling and control for delignification of pulping
JPH0786783B2 (ja) 1989-11-04 1995-09-20 勝久 古田 調整入力による炉温制御装置
DE69122313T2 (de) 1990-06-21 1997-03-20 Honeywell Inc Auf variablem Horizont basierende adaptive Steuerung mit Mitteln zur Minimierung der Betriebskosten
US5291514A (en) 1991-07-15 1994-03-01 International Business Machines Corporation Heater autotone control apparatus and method
CA2054423C (en) * 1991-08-09 1999-03-16 Robert J. Schurko Adaptive control for reheat furnace
US5488561A (en) * 1992-08-19 1996-01-30 Continental Controls, Inc. Multivariable process control method and apparatus
US5650082A (en) 1993-10-29 1997-07-22 Applied Materials, Inc. Profiled substrate heating
US5660472A (en) 1994-12-19 1997-08-26 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
US5755511A (en) 1994-12-19 1998-05-26 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
JP3956057B2 (ja) 1996-01-31 2007-08-08 エイエスエム アメリカ インコーポレイテッド 熱処理のモデル規範型予測制御
US5793022A (en) 1996-09-12 1998-08-11 Applied Materials, Inc. Adaptive temperture controller and method of operation

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102014201567A1 (de) 2014-01-29 2015-07-30 Bayerische Motoren Werke Aktiengesellschaft Modellbasierte prädiktive Starterregelung eines Verbrennungsmotors
EP3819721A1 (de) * 2019-11-11 2021-05-12 Rational Aktiengesellschaft Verfahren zum regeln eines verarbeitungsgerätes, regeleinheit sowie verarbeitungsgerät

Also Published As

Publication number Publication date
DE69728256D1 (de) 2004-04-29
AU1843597A (en) 1997-08-22
EP0879547A4 (de) 1998-12-16
EP0879547A2 (de) 1998-11-25
US6373033B1 (en) 2002-04-16
WO1997028669A1 (en) 1997-08-07
JP3956057B2 (ja) 2007-08-08
EP0879547B1 (de) 2004-03-24
US6207936B1 (en) 2001-03-27
KR19990082210A (ko) 1999-11-25
KR100486158B1 (ko) 2005-11-08
JP2000509171A (ja) 2000-07-18

Similar Documents

Publication Publication Date Title
DE69728256T2 (de) Modellgestützte prädiktive regelung für thermische behandlungen
DE69533517T2 (de) Optimierung eines thermischen reaktors
EP0907909B1 (de) Auf nichtlinearer annäherungsmethode basierende automatische vorrichtung
Yoshitani et al. Model-based control of strip temperature for the heating furnace in continuous annealing
WO1997028669A9 (en) Model-based predictive control of thermal processing
US20040098145A1 (en) Hybrid cascade model-based predictive control system
JPH01109402A (ja) 適応ゲインスケジューリングアルゴリズムを使用する装置及び方法
Cho et al. Control of rapid thermal processing: A system theoretic approach
Rodger et al. Design methodology of modifier adaptation for on-line optimization of uncertain processes
DE19824838A1 (de) Verfahren zum Herstellen von Kristallen
US20040173599A1 (en) Multi-parameter process and control method
Thomas et al. Neural modeling of an induction furnace using robust learning criteria
Ayoubi Dynamic multi-layer perceptron networks: application to the nonlinear identification and predictive control of a heat exchanger
DE10329107A1 (de) Verfahren zum Bestimmen der Temperatur eines Halbleiterwafers in einer Schnellheizanlage
Tavakoli et al. Kalman filter-smoothed random walk based centralized controller for multi-input multi-output processes
DE60102360T2 (de) Verfahren zur ermittlung optimaler sollwerte in maschinen sowie verfahren
Eremenko et al. On applying neural tuner to PI-controller parameters calculation for heating furnaces control
US6647354B1 (en) Iterative learning update for batch mode processing
KR100375488B1 (ko) 급속 열처리 장치에서 최적 학습 제어 기법을 이용한 웨이퍼의 온도 균일성 제어 방법
Fasih et al. Kalman filter-based centralized controller design for non-square multi-input multi-output processes
Zerkaoui et al. Multivariable adaptive control for non-linear systems: application to the Tennessee Eastman Challenge Process
Machacek et al. Simulation of MIT rule-based adaptive controller of a power plant superheater
M'SAHLI et al. Application of adaptive controllers for the temperature control of a semi-batch reactor
Shahraki et al. Adaptive system control with PID neural networks
Vu et al. Independent design of multi-loop PI/PID controllers for multi-delay processes

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee