DE69524841T2 - Reaktoren zum Behandeln von Substraten - Google Patents

Reaktoren zum Behandeln von Substraten

Info

Publication number
DE69524841T2
DE69524841T2 DE69524841T DE69524841T DE69524841T2 DE 69524841 T2 DE69524841 T2 DE 69524841T2 DE 69524841 T DE69524841 T DE 69524841T DE 69524841 T DE69524841 T DE 69524841T DE 69524841 T2 DE69524841 T2 DE 69524841T2
Authority
DE
Germany
Prior art keywords
susceptor
roof
shield
wafer
pocket
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69524841T
Other languages
English (en)
Other versions
DE69524841D1 (de
Inventor
Mei Chang
Dale R Dubois
Richard A Marsh
Alan F Morrison
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Application granted granted Critical
Publication of DE69524841D1 publication Critical patent/DE69524841D1/de
Publication of DE69524841T2 publication Critical patent/DE69524841T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • C23C16/45521Inert gas curtains the gas, other than thermal contact gas, being introduced the rear of the substrate to flow around its periphery
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

  • Diese Erfindung bezieht sich auf eine Vorrichtung zur chemischen Gasphasenabscheidung (CVD) auf Halbleiterwafern, und insbesondere auf eine Abschirmung für den oberen Rand eines Suszeptors, um darauf eine Abscheidung zu verhindern.
  • Während der Ausbildung eines IC-Aufbaus werden verschiedene Materialschichten auf einem Halbleiterwafer abgeschieden. Eines der Verfahren, die üblicherweise verwendet werden, um dies zu erreichen, ist die chemische Gasphasenabscheidung (CVD).
  • Ein typischer Reaktor, wie er für die CVD-Behandlung eines Halbleiterwafers verwendet wird, ist in Fig. 1 gezeigt und in dem US-Patent 5,304,248 beschrieben, dessen Offenbarung hier als Referenz eingeschlossen ist. Bei diesem CVD-Reaktor wird ein Wafer 10 auf einem kreisförmigen Suszeptor 11 unter einem Gaseinlass oder einem Duschkopf 12 gehalten. Behandlungs-/Abscheidungsgas, das Moleküle mit einem metallischen Kation, wie Wolfram, enthält, tritt in die CVD-Kammer durch den Duschkopf 12 ein und reagiert angrenzend an den Wafer derart, dass ein Metall auf der Fläche oder Oberseite des Wafers 10 abgeschieden wird.
  • Über den Rand des Wafers 10 hängt ein Abschirmring 13 und wird von ihm gehalten. Der Abschirmring 13 bildet eine Ausschließungszone, die gewöhnlich 1,5 bis 2,0 mm breit ist, um den Umfang des Wafers herum, auf der keine Abschirmung erfolgt. Der Zweck zur Schaffung dieser Zone besteht darin, aus dieser Zone, dem Rand und der Rückseite des Wafers abgeschiedenes Material auszuschließen und dadurch die Erzeugung unerwünschter Teilchen in der Kammer zu reduzieren. Dies ist dort insbesondere von Bedeutung, wo das abgeschiedene Material an diesen Bereichen nicht haftet.
  • Ein gutes Beispiel ist die Abscheidung von Wolfram. Wolfram haftet nicht leicht an bestimmten Oberflächen, und bevor es über einem Siliziumoxid auf einem Halbleiterwafer abgeschieden wird, müssen die Oxidflächen für das abzuscheidende Wolfram vorbehandelt werden, damit es richtig an den Flächen haftet (beispielsweise durch Abscheidung von Titan- Wolfram (TiW) oder von Titannitrid (TiN)). Gewöhnlich wurden jedoch der Rand und die Rückseite der Waferflächen nicht vorbehandelt, so dass abgeschiedenes Wolfram nicht richtig haften und zum Abflocken in Form von Teilchen neigen würde.
  • Die Ausschließungszone bildet ferner eine "Puffer"-Zone, die, wenn sie von der Waferhandhabungsvorrichtung kontaktiert wird, nicht leicht abblättert oder abflockt, wie es eine vollständig oder nicht in geeigneter Weise behandelte Fläche tun würde. Ein solches Abblättern oder Abflocken kann ebenfalls zu einer unerwünschten Teilchenerzeugung führen.
  • Wie ebenfalls aus Fig. 1 zu ersehen ist, ist ein Pumpring 14 an einer tragenden Lippe oder Schulter 15 innerhalb der Kammer positioniert und hat einen solchen Innendurchmesser, dass ein Ringspalt zwischen dem Ring 14 und dem äußeren Rand des Suszeptors 11 gebildet wird. Während der Behandlung wird ein nicht-reaktives Spülgas mit einem Druck, der nicht größer als der Druck des abgeschiedenen Gases ist, in die Kammer von einer Position unter dem Suszeptor aus eingeführt. Dieses Spülgas strömt von unterhalb des Suszeptors 11 durch den Ringspalt zu dem Bereich darüber. Der Zweck des Spülgasstroms besteht darin, einen Durchgang von Abscheidungsgas in den Bereich unter den Suszeptor zu unterbinden und dadurch dazu beizutragen, eine unerwünschte Abscheidung auf Oberflächen der Reaktorbauteile zu verhindern, die sich in diesem Bereich befinden. Der Abschirmring 13, wie er in dieser Figur gezeigt ist, liegt sowohl auf dem Suszeptor 11 als auch auf dem Pumpring 14 auf. Dadurch blockiert er teilweise den Ringspalt, der zwischen dem Suszeptor und dem Pumpring gebildet wird, und steuert dadurch weiterhin den Spülgasstrom von unten her bis unterhalb des Suszeptors.
  • Das Problem bei der in dieser Figur gezeigten Vorrichtung besteht darin, dass sie nicht bei Einsätzen verwendet werden kann, bei denen die Abscheidung über der gesamten Oberfläche des Wafers erfolgen soll. Aufgrund des Wie ein Wafer in Behandlungsreaktoren erwärmt wird, ist dies auch der Fall, wenn der Abschirmring entfernt wird. Die Abscheidung wird u. a. durch die Temperatur des Wafers bewirkt. Gewöhnlich wird der Suszeptor beispielsweise mittels Heizlampen erhitzt. Der erhitzte Suszeptor erhitzt dann den Wafer durch Leitung.
  • In jedem erhitzten Suszeptor stellt sich an den Rändern eine bestimmte Wärmeverlustgröße ein, die in einem Temperaturabfall zu den Rändern des Suszeptors hin resultiert, wobei seine Umfangsbereiche kälter als sein Zentralbereich sind. Wenn der Wafer durch Leitung erwärmt wird, erwärmt der oben beschriebene Suszeptor, der annähernd den gleichen Durchmesser wie der Wafer hat, den Wafer an den Rändern weniger als in der Mitte. Dies wiederum führt zu einer nicht-gleichförmigen und weniger einfach gesteuerten Abscheidung über den gesamten Wafer.
  • Ein bloßes Vergrößern des Suszeptors löst das Problem nicht. Ein vergrößerter Suszeptor würde Flächenbereiche haben, die der Abscheidung ausgesetzt sind. Eine solche Abscheidung muss vor Beginn des nächsten Prozesszyklus mittels eines Reinigungsprozesses entfernt werden, was gewöhnlich durch Plasmaätzen bewirkt wird. Eine Plasmaätzung ist an Quarz- und Aluminiumdioxidbauteilen oder an Oberflächen in der Kammer besonders wirksam, nicht jedoch, wenn der Suszeptor aus eloxiertem Aluminium besteht. Dieses Material ist gegen die Plasmaätzung nicht so widerstandfähig und würde schnell verschwinden. Dementsprechend wäre jegliche Abscheidung auf dem Suszeptor, die durch Plasmaätzung entfernt werden müsste, äußerst nachteilig.
  • Es besteht deshalb das Bedürfnis für eine Behandlungsvorrichtung, die die Behandlung der gesamten Waferoberfläche erlaubt und die gleichzeitig Wafer gleichmäßig erhitzt. Zusätzlich sollte die Vorrichtung vorzugsweise die Rand- und rückseitige Abscheidung auf dem Wafer aus den oben erwähnten Gründen auf ein Minimum reduzieren.
  • Diese Erfindung stellt eine Vorrichtung zum Behandeln einer freiliegenden Fläche eines Substrats bereit, die ein Gehäuse, das eine Substratbehandlungskammer bildet, einen Suszeptor, der in der Kammer angeordnet ist und in seiner oberen Fläche einen Bereich für die Aufnahme und das Abstützen des Substrats hat, eine den Suszeptor umgebende Abschirmung, die einen Körper und ein über einen ringförmigen Umfangsabschnitt des Suszeptors hängendes Dach aufweist, wobei der Körper eine Innenfläche hat, die für die Aufnahme des Suszeptors in ihm bemessen ist, und wobei das Dach eine Öffnung hat, die über der oberen Fläche des Suszeptors liegt, und eine Suszeptor-Hubeinrichtung zum Bewegen des Suszeptors bezüglich der Abschirmung aufweist, wobei der Bereich der oberen Fläche des Suszeptors für die Aufnahme des Substrats eine Tasche mit einem Boden und insgesamt hochstehenden Seiten sowie eine Nut im Boden der Tasche an ihrem Umfang aufweist und die Tasche für die Aufnahme des Substrats in ihr bemessen ist, die Öffnung in dem Dach der Abschirmung einen Durchmesser hat, der größer ist als die Tasche des Suszeptors für die Aufnahme des Substrats, und das Anheben des Suszeptors bezüglich der Abschirmung den ringförmigen Umfangsabschnitt des Suszeptors außerhalb der Tasche mit dem überhängenden Dach der Abschirmung in Eingriff bringt.
  • Die Nut in dem Umfang des Bodens der Tasche wirkt sowohl als "Wärmedrossel" zur Verbesserung der Gleichförmigkeit der Temperatur in dem Abschnitt des Suszeptors direkt unter dem Wafer als auch als Aufnahme für einen Abscheidungsaufbau am Rand des Wafers.
  • Das Dach kann eine Innenfläche haben, die sich nach unten zu der Öffnung hin neigt und einen inneren spitzen Winkel bezüglich der freiliegenden Fläche des Wafers bildet.
  • Erfindungsgemäß hat ein Reaktor zur Behandlung eines Wafers durch chemische Gasphasenabscheidung mit einem einzigen Substrat eine Kammer, die einen Wafer-abstützenden Suszeptor und einen Duschkopf für das Einführen von Behandlungsgas in die Kammer zur Oberseite des Wafers aufweist. Der Suszeptor hat einen Außendurchmesser, der größer ist als der Außendurchmesser des Wafers. Während der Behandlung liegt das Dach der Abschirmung auf einem wesentlichen Teil des Suszeptors, der über den äußeren Umfang des Wafers vorsteht, und deckt ihn ab. Dies verhindert eine nachteilige Abscheidung auf dem Suszeptor.
  • Um die Abschirmung und den Suszeptor bezüglich einander zu zentrieren, kann die Abschirmung eine Vielzahl von Zentriervorsprüngen haben, von denen wenigstens einige an dem Suszeptor angreifen, wenn er sich nach oben bewegt, um die Abschirmung von ihren Trägern in der Kammer abzuheben.
  • Weitere Merkmale der vorliegenden Erfindung ergeben sich aus der folgenden, ins Einzelne gehenden Beschreibung, wobei auf die beiliegenden Zeichnungen Bezug genommen wird, in denen
  • Fig. 1 eine schematische Schnittansicht ist, die den Stand der Technik veranschaulicht,
  • Fig. 2 eine ähnliche Schnittansicht ist, die den Reaktor nach der Erfindung zeigt,
  • Fig. 3 eine Schnittansicht einer Einzelheit der Zwischenfläche zwischen dem Abschirmring und dem Suszeptor zur weiteren Erläuterung der Erfindung ist, und
  • Fig. 4 eine Einzelheit des Abschirmrings im Schnitt längs der Linie 4-4 in Fig. 3 ist. Die Erfindung wird insgesamt unter Bezug auf Fig. 2 erläutert, die eine schematische Schnittansicht durch einen typischen CVD-Reaktor zur Behandlung eines einzelnen Halbleiterwafers ist. Wie gezeigt, hat der Reaktor 20 ein Gehäuse 22, welches eine Behandlungskammer 24 bildet, innerhalb der ein Suszeptor 26 angeordnet ist. Der Suszeptor 26 teilt die Kammer in einen oberen Abschnitt 24a und einen unteren Abschnitt 24b. Der Suszeptor trägt an seiner oberen Fläche einen Halbleiterwafer 28 unterhalb eines Duschkopfs 30, der eine planare Anordnung von in geringem Abstand angeordneten Öffnungen aufweist, durch die Metallatome enthaltendes Gas in die Kammer 24 zum Abscheiden auf dem Wafer 28 eingedüst werden kann. Der Suszeptor 26 ist außerdem um eine zentrale Achse 31, die senkrecht zur Hauptebene des Wafers 28 ist, unter der Wirkung eines Hubmechanismus 32 vertikal längs bewegbar und um diese Achse drehbar. Während der Waferbehandlung werden der Suszeptor und der Kammerinnenraum durch Strahlungsenergiequellen, wie Heizlampen 34, die unter dem Gehäuse 22 angeordnet sind, oder alternativ durch eine Widerstandsheizung in dem Suszeptor 26 erhitzt. Um die Strahlungsheizung zu erleichtern, besteht die untere Wand 36 des Gehäuses 22 aus einem Material, wie Quarz, das für Strahlungsenergie aus den Heizlampen 34 durchlässig ist. Der erhitzte Suszeptor 26 erhitzt seinerseits den Wafer 28 wenigstens teilweise durch Leitung.
  • Wie in dieser Figur gezeigt ist, hat der Suszeptor weiterhin einen größeren Durchmesser als der Wafer. Gewöhnlich hat für einen Wafer von 150 mm der Suszeptor einen Durchmesser von 6,394 Zoll (163 mm), so dass ein Steg verbleibt, der über den äußeren Umfang des Wafers vorsteht. Um den äußeren Umfang des Suszeptor 26 herum ist ein Abschirmring 40 angeordnet. Wenn der Reaktor nicht arbeitet, liegt der Abschirmring 40 auf einer Pumpplatte 42. Die Pumpplatte 42 ist ihrerseits an der Außenwand der Kammer 24 auf herkömmliche Weise an einer Stelle befestigt, die sich etwa 1 Zoll (25,4 mm) unter dem Duschkopf 30 befindet. Während der Abscheidung von Metall oder eines anderen Materials auf dem Wafer ist jedoch der Abschirmring 40 von der Pumpplatte 42 durch den Suszeptor 26 abgehoben und wird durch den Umfangsstegabschnitt des Suszeptors 26 getragen.
  • Diese Figur zeigt auch, dass das Gehäuse 22 einen Spülgaseinlass 38 aufweist, durch den Spülgas in den unteren Teil 24b der Kammer injiziert wird.
  • Der Suszeptor 26 und der Abschirmring 40 sind als Einzelheit in Fig. 3 dargestellt, die eine vergrößerte Schnittansicht dieser Bauteile zeigt. Hier ist anzumerken, dass die spezifischen Abmessungen, die nachstehend unter Bezug auf diese Figur angegeben werden, in ihrer Art eine Veranschaulichung sind und deshalb nicht als das Konzept der Erfindung beschränkend anzusehen sind.
  • Aus dieser Figur ist zu sehen, dass der Suszeptor 26 eine in ihm ausgebildete ausgesparte Tasche 43 hat. Diese Tasche ist für die Aufnahme des Wafers 28 bemessen und so dimensioniert, dass sie einen etwas größeren Durchmesser hat und etwas tiefer ist als der Durchmesser bzw. die Dicke des Wafers. Beispielsweise kann für einen Wafer mit einem Durchmesser von 150 mm die Tasche einen Innendurchmesser von 5,995 Zoll bis 6,0 Zoll (152,27 bis 152,40 mm) haben. Gewöhnlich ist ein solcher 150-mm-Wafer 0,028 Zoll bis 0,034 Zoll (0,711 bis 0,864 mm) dick. In diesem Fall wäre die entsprechende Tasche 0,035 Zoll bis 0,040 Zoll (0,889 bis 1,016 mm) tief.
  • Am Umfangsrand der Tasche 43 ist eine Nut 44 mit halbkreisförmigem Querschnitt in ihren Boden geschnitten. Diese Nut ist am Umfang etwa 0,035 Zoll bis 0,040 Zoll (0,889 bis 1,016 mm) an der Oberseite breit und hat einen Radius von etwa 0,018 bis 0,020 Zoll (0,457 bis 0,508 mm). Vorzugsweise erstreckt sich die Nut 44 radial nach innen zum Außendurchmesser des Wafers und, wenn möglich, bis unter die Wafer-Randabschrägung 45.
  • Die Nut 44 hat zwei Funktionen. Erstens wirkt sie als thermische "Drossel", da sie den Querschnitt des Suszeptors 26 am Umfang des Wafers reduziert. Man weiß, dass die Wärmeleitung in einem Materialkörper, wie dem Suszeptor 26, einen Bezug zu dem Querschnitt des den Körper bildenden Materials hat. Wenn die Querschnittsfläche des Materials abnimmt, gilt dies auch für seine Fähigkeit zur Übertragung von thermischer Energie (Wärme) über eine solche Zone verringerter Querschnittsfläche. Wie vorstehend beschrieben, erhitzt der Suszeptor 26 den Wafer 28 durch Leitung wenigstens innerhalb des Suszeptors selbst. Bei CVD-Einsätzen wird insgesamt bevorzugt, dass der Wafer 28 gleichförmig über seine gesamte Fläche erhitzt wird. Um dies am besten zu erreichen, sollte der Teil des Suszeptors 26, der sich direkt unter dem Wafer 28 befindet, eine gleichförmige Temperatur haben. Dies wird von der Nut 44 dadurch unterstützt, dass sie die Querschnittsfläche des Suszeptors am Umfang des Wafers verringert und dadurch als thermische Drossel wirkt. Wenn der thermische Drosseleffekt der Nut 44 nicht vorhanden wäre, würde die Temperatur des Suszeptors 26, die sonst natürlich zu seinem Außenumfang hin abfallen würde, sich von innerhalb des Teils des Suszeptors 26 unterhalb des Wafers 28 aus verringern.
  • Die Nut wirkt zweitens, wie nachstehend beschrieben, dahingehend, dass sie die Abscheidung aufnimmt, die sich sonst am Rand des Wafers aufbauen würde. Ein Aufbau einer solchen Abscheidung würde den Wafer schädlicherweise von der Suszeptorfläche abheben, was zu einer unerwünschten Rückseitenabscheidung führen und möglicherweise das Erhitzen des Wafers durch Leitung vom Suszeptor beeinträchtigen würde.
  • Der Abschirmring 40 ist gewöhnlich 0,2 Zoll (5,08 mm) dick quer über seinen dicksten Abschnitt. Beim Einsatz mit Wafern von 150 mm Durchmesser ist der Innendurchmesser des Rings 40 etwas größer als 150 mm, und der maximale Außendurchmesser des Rings 40 beträgt etwa 7,808 Zoll (195,2 mm). Der Ring 40 hat längs seines Außenrands eine erste Abstufung 46, die an seiner Unterseite ausgebildet ist. Diese erste Abstufung, die gewöhnlich 0,064 Zoll (1,63 mm) dick und etwa 0,621 Zoll (15,78 mm) breit ist, ist so geformt, dass sie mit einer komplementär geformten Abstufung zusammenwirkt, die in der Oberseite der Pumpplatte 42 ausgebildet ist.
  • Am Innenrand des Abschirmrings 40 ist in seiner Unterseite eine zweite Abstufung zur Bildung eines Dachs 48 vorgesehen, das über dem Suszeptor hängt. Der überhängende Dachabschnitt ist etwa 0,62 Zoll (15,75 mm) breit und 0,035 Zoll (0,89 mm) an seinem dicksten Abschnitt 50 dick, also an dem Teil des Dachs 48, der der zentralen Achse 31 am nächsten liegt. Während der Behandlung greift dieser dickste Abschnitt des Dachs 48 an dem Suszeptor 26 auf einer Kontaktlinie 52 an. Das Dach 48 verjüngt sich bei etwa 0º 3, 3 Minuten vom Suszeptor 26 weg und wird weiter weg von der zentralen Achse 31 im Querschnitt dünner. Diese Anordnung gewährleistet, dass der Abschirmring 40 den Suszeptor 26 an der Kontaktlinie 52 so nahe wie möglich am Wafer kontaktiert und dadurch eine Abscheidung auf der Oberseite des Suszeptors 26 auf ein Minimum reduziert. Um eine Abscheidung an der Oberseite des Suszeptors 26 weiter zu verringern, neigt sich der Innenrand 54 des Dachs 48 von der Kontaktlinie 52 nach innen und nach oben mit einem Winkel von etwa 5º aus der Vertikalen. Dies erzeugt einen "Schatten" an dem Suszeptor 26, der die Abscheidung auf allen freiliegenden Teilen der Oberseite des Suszeptors 26 verringert. Er reduziert auch die Abscheidungsmenge in der Nut 44, die am Rand der Tasche 43 ausgebildet ist.
  • Schließlich zeigt diese Figur, dass die Innenfläche 56 des Hauptkörpers des Abschirmrings 40 nach unten und nach außen geneigt ist. In der Praxis hat diese Neigung einen Winkel von etwa 30º. Diese geneigte Innenfläche 56 ist insgesamt parallel zu einer geneigten Außenfläche des Suszeptors 26 und dient dazu, die Zentrierung des Suszeptors in dem Abschirmring 40 zu unterstützen, wenn der Suszeptor 26 während der Behandlungszyklen nach oben und unten bewegt wird.
  • Um außerdem zu gewährleisten, dass der Suszeptor 26 und der Abschirmring 40 zueinander geeignet zentriert sind, hat der Abschirmring 40 sechs Vorsprünge 60, von denen einer in einer geschnittenen Draufsicht in Fig. 4 gezeigt ist. Diese sechs Vorsprünge 60 haben einen gleichen Abstand um die Innenfläche 56 des Abschirmrings 40 herum und erstrecken sich insgesamt vertikal nach oben längs der gesamten geneigten Innenfläche 56 des Abschirmrings 40. Gewöhnlich haben diese Vorsprünge eine Querschnittsbreite von 0,09 Zoll (2,286 mm) und stehen 0,10 Zoll (0,25 mm) zur Mitte des Wafers von der geneigten Fläche 56 vor. Es hat sich gezeigt, dass, wenn sich der Suszeptor 26 nach oben in Eingriff mit dem Abschirmring 40 bewegt, wenigstens drei dieser Vorsprünge 60 mit der geneigten Außenfläche 56 des Suszeptors Kontakt herstellen. Das hat zwei Vorteile. Erstens bilden die Vorsprünge 56 Kontaktpunkte, welche den "Wärmesenken"-Effekt des Abschirmrings 40 wesentlich verringern, indem sie die Kontaktfläche wesentlich reduzieren, die für einen Wärmeübergang durch Leitung zwischen dem Suszeptor 26 und dem Abschirmring 40 verfügbar ist. Diese wesentlich verringerte Kontaktfläche reduziert auch die Möglichkeit eines Klebens zwischen dem Abschirmring und dem Suszeptor 26. Zweitens dienen diese Vorsprünge 56, wie oben angegeben, zur Zentrierung der Abschirmung 40 und des Suszeptors 26 bezüglich einander. Diese Vorsprünge 56 erhöhen die Bearbeitungstoleranzen und sorgen auf einem Minimum für einen stabilen Dreipunktkontakt zwischen dem Suszeptor 26 und dem Abschirmring 40.
  • Unter erneuter Bezugnahme auf Fig. 2 arbeitet der oben beschriebene Reaktor 20 wie folgt. Für den Beginn der Behandlung bewegt sich der Suszeptor 26 nach unten unter der Wirkung der Hubeinwirkung 32 in den unteren Teil 24b der Kammer 20. In die Kammer wird ein Wafer 28 eingeführt und auf den Suszeptor 26 durch einen herkömmlichen Robotarm und Stifte (nicht gezeigt) platziert. Der Suszeptor 26 bewegt sich dann zurück nach oben zur Herstellung eines Kontakts mit dem Abschirmring 40 auf der Berührungslinie 52. Wenn sich der Suszeptor 26 nach oben bewegt, gewährleisten, wie vorstehend beschrieben, die sechs Vorsprünge 60, die längs der Innenfläche 56 des Abschirmrings angeordnet sind, dass der Abschirmring bezüglich des Suszeptors 26 richtig zentriert wird.
  • Wenn sich der Suszeptor 26 weiter nach oben bewegt, hebt er den Abschirmring 40 etwas von der Pumpplatte 42 ab, wodurch zwischen dem Abschirmring 40 und der Pumpplatte 42 ein Serpentinenraum 58 gebildet wird. An einem Punkt, an dem sich der Wafer etwa 0,250 Zoll bis 0,500 Zoll (6,35 bis 12,7 mm) von dem Duschkopf 30 befindet, hält der Suszeptor 26 an und die Behandlung wird eingeleitet. Der Innenraum der Kammer und insbesondere der Suszeptor 26 werden mittels Strahlungsenergie aus den Heizlampen 34 erhitzt. Wenn sich der Suszeptor aufheizt, erhitzt er den Wafer 28 durch Leitung. Um die Gleichförmigkeit der Beheizung und die Gleichförmigkeit der Abscheidung zu gewährleisten, wird der Suszeptor 26 um die zentrale Achse 31 gedreht. Diese Drehung wird durch herkömmliche Einrichtungen über einen Hubmechanismus 32 erreicht, der auch drehen kann.
  • Wenn der Suszeptor 26 eine geeignete Temperatur erreicht hat, was mittels einer Temperatursensoreinrichtung, wie nicht gezeigte Pyrometer, bestimmt wird, wird in den unteren Teil 24b der Kammer 20 über den Spülgaseinlass 38 Spülgas mit einem Druck eingeführt, der etwas höher ist als der des Behandlungsgases im oberen Abschnitt 24a. Etwa zu diesem Zeitpunkt beginnt die Abscheidung von Metallatomen (gewöhnlich Kupfer oder Wolfram) auf der Oberseite des Wafers 28 durch Einführen eines die Metallatome enthaltenden Gases in die Kammer 24 durch den Duschkopf 30. Das Abscheidungsgas wird gewöhnlich mit einem Druck eingeführt, der etwas geringer ist als der des Spülgases. Als Folge strömt das Spülgas aus dem unteren Abschnitt 24b zum oberen Abschnitt 24a der Kammer über den Raum 58 (Fig. 3) zwischen den Flächen der komplementären Abstufungen, die in dem Abschirmring 40 bzw. in der Pumpplatte 42 ausgebildet sind. Wenn sich der Duschkopf 30 etwa 25,4 mm über der Pumpplatte befindet und der Wafer auf einen Punkt von 6,35 bis 12,7 mm unter dem Duschkopf angehoben ist, beträgt der Spalt zwischen der horizontalen Fläche der Abstufung 46 und dem Pumpring 42 etwa 12,7 bis 19 mm. Dies hat den Vorteil, dass das Abscheidungsgas daran gehindert wird, in den unteren Abschnitt 24b der Kammer 24 einzudringen. Wenn das Gas eindringen würde, würde es eine unerwünschte Abscheidung auf Bauteilen im unteren Abschnitt 24b erzeugen.
  • Während der Abscheidung schirmt, wie oben beschrieben, der Abschirmring 40 die obere Fläche des Suszeptors 26 ab. In all den Bereichen des Suszeptors 26, die "hinter" (radial außerhalb von) der Berührungslinie 52 liegen, wird eine Abscheidung unterbunden. Zudem "schattet" der sich nach oben und nach innen neigende Innenrand 54 des Daches 48 die Oberseite des Suszeptors 26 und wenigstens einen Teil der Nut 44 ab. Trotzdem stellt sich eine geringe Abscheidung in der Nut 44 ein. Dies zeigt (neben dem thermischen "Drossel"- Effekt) eine zweite Funktion der Nut 44. Sie agiert als Aufnahme für abgeschiedenes Material. das sich um den Rand des Wafers 28 herum ergibt. Wenn die Nut 44 nicht vorhanden wäre, würde eine solche Abscheidung einen Aufbau am Rand des Wafers verursachen, der den Wafer etwas von dem Suszeptor 26 abheben könnte. Wie beschrieben, könnte dies die schädliche Wirkung der Reduzierung des Kontakts zwischen dem Wafer und dem Suszeptor 26 haben, was die Wärmeleitung zwischen dem Suszeptor und dem Wafer beeinträchtigen könnte. Es könnte auch möglich sein, dass Abscheidungsgas unter den Wafer 28 sickert und dadurch das verursacht, was in der Industrie als "rückseitiges Anbacken" bekannt ist.
  • Ein solches rückseitiges Anbacken oder eine Abscheidung auf der Rückseite des Wafers ist vom Gesichtspunkt der Qualitätskontrolle gewöhnlich nicht akzeptierbar. Jede Abscheidung in der Nut 44 kann während einer Plasmaätzbehandlung weggeätzt werden. Obwohl eine solche Plasmaätzreinigung für die ebene obere Fläche schädlich ist, ist sie für die Nut nicht so schädlich. Der Grund dafür besteht darin, dass die Nut ausgeätzt werden kann, ohne ihre Funktionen oder die des Suszeptors nachteilig zu beeinflussen.
  • Abschließend werden während des Abscheidungsprozesses Spülgas und abgegebenes Abscheidungsgas aus dem oberen Teil 24a der Kammer 24 über einen Abführkanal (nicht gezeigt) in herkömmlicher Weise evakuiert. Die erfindungsgemäße Vorrichtung hat deshalb eine Anzahl von Vorteilen. Das Dach 48 des Abschirmrings 40 verhindert eine Materialabscheidung auf dem oberen Teil der Oberseite des Suszeptors 26, der über den äußeren Rand des Wafers 28 vorsteht. Zur weiteren Steigerung des Abschirmeffekts des Daches 48 ist sein Innenrand, wie vorstehend beschrieben, nach innen und nach oben geneigt.
  • Außerdem hat der Suszeptor 26 einen größeren Durchmesser als der Wafer 28. Dies führt zu einer gleichförmigeren Erhitzung des Wafers, wenn der Temperaturabfall zum Rand des Suszeptors hin um den Teil des Suszeptors auftritt, der jenseits des Außenrands des Wafers 28 liegt. Die Funktion der Nut 44 stellt sicher, dass der Temperaturabfall jenseits des Randes des Wafers 28 auftritt.
  • Die Nut 44 hat einen weiteren Vorteil dadurch, dass sie eine Falle für eine Abscheidung bildet, die sich um den Rand des Wafers 28 aufbaut. Ein weiterer Vorteil der beschriebenen Vorrichtung besteht schließlich darin, dass Spülgas, das sich auf einem höheren Druck als das Abscheidungsgas befindet, von dem unteren zum oberen Teil der Kammer 24 über den Raum 58 zwischen dem Abschirmring 40 und seinem abstützenden Ring 42 gelangen kann. Dies unterbindet eine Abscheidung auf den Oberflächen der Bauteile, die sich innerhalb des unteren Teils 24b der Kammer 24 befinden.
  • Obwohl die vorstehende Erfindung im Einzelnen zur Erläuterung und beispielsweise zum leichteren Verständnis beschrieben wurde, können bestimmte Änderungen und Modifizierungen innerhalb des Rahmens der Ansprüche ausgeführt werden. Diese Erfindung sollte also somit nicht durch die spezielle Beschreibung begrenzt, sondern stattdessen in Anbetracht der Ansprüche interpretiert werden.

Claims (7)

1. Vorrichtung zum Behandeln einer freiliegenden Fläche eines Substrats
- mit einem Gehäuse (22), das eine Substratbehandlungskammer (24) bildet,
- mit einem Suszeptor (26), der in der Kammer angeordnet ist und in seiner oberen Fläche einen Bereich für die Aufnahme und das Abstützen des Substrats (28) hat,
- mit einer den Suszeptor umgebenden Abschirmung (40), die einen Körper und ein über einen ringförmigen Umfangsabschnitt des Suszeptors hängendes Dach (48) aufweist, wobei der Körper eine Innenfläche (56) hat, die für die Aufnahme des Suszeptors in ihm bemessen ist, und das Dach eine Öffnung (54) hat, die über der oberen Fläche des Suszeptors liegt, und
- mit einer Suszeptor-Hubeinrichtung (32) zum Bewegen des Suszeptors bezüglich der Abschirmung,
dadurch gekennzeichnet,
- dass der Bereich der oberen Fläche des Suszeptors für die Aufnahme des Substrats eine Tasche (43) mit einem Boden und insgesamt hochstehenden Seiten sowie eine Nut im Boden der Tasche an ihrem Umfang aufweist, wobei die Tasche für die Aufnahme des Substrats in ihr bemessen ist, und
- dass die Öffnung in dem Dach der Abschirmung einen Durchmesser hat, der größer ist als die Tasche des Suszeptors für die Aufnahme des Substrats,
- wobei das Anheben des Suszeptors bezüglich der Abschirmung den ringförmigen Umfangsabschnitt des Suszeptors außerhalb der Tasche mit dem überhängenden Dach der Abschirmung in Eingriff bringt.
2. Vorrichtung nach Anspruch 1, dadurch gekennzeichnet, dass das Dach (48) eine Innenfläche (54) hat, die sich nach unten zu der Öffnung hin neigt und einen inneren spitzen Winkel bezüglich der freiliegenden Fläche des Wafers bildet.
3. Vorrichtung nach Anspruch 1, dadurch gekennzeichnet, dass das Dach (48) mit dem Suszeptor auf einer Berührungslinie (52) angrenzend an einen radial innen liegenden Rand (54) des Dachs in Eingriff gebracht ist, wobei ein Raum zwischen den Suszeptor und dem Dach radial außerhalb der Berührungslinie gebildet wird.
4. Vorrichtung nach Anspruch 3, dadurch gekennzeichnet, dass das Dach (48) an der Berührungslinie eine vergrößerte Querschnittsfläche hat.
5. Vorrichtung nach Anspruch 1, welche weiterhin einen ringförmigen Pumpring (42) aufweist, der einen Innendurchmesser hat, der größer ist als der Durchmesser des Suszeptors, und der symmetrisch um die Symmetrieachse in der Kammer angeordnet ist, um einen Ring zwischen sich und dem Suszeptor zu bilden.
6. Vorrichtung nach Anspruch 5, dadurch gekennzeichnet, dass die Suszeptor- Abschirmung (40) einen äußeren Rand (46) mit einer ersten darin ausgebildeten Stufe und der Pumpring einen inneren Rand (42) mit einer zweiten darin ausgebildeten Stufe aufweist, wobei die erste und die zweite Stufe so ausgebildet sind, dass sie ineinanderpassen.
7. Vorrichtung nach Anspruch 1, dadurch gekennzeichnet, dass die Innenfläche (56) des Körpers der Abschirmung eine Vielzahl von nach innen vorstehenden Vorsprüngen (60) hat, um zu gewährleisten, dass die Abschirmung bezüglich des Suszeptors zentriert ist.
DE69524841T 1994-10-20 1995-10-16 Reaktoren zum Behandeln von Substraten Expired - Fee Related DE69524841T2 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/326,506 US5855687A (en) 1990-12-05 1994-10-20 Substrate support shield in wafer processing reactors

Publications (2)

Publication Number Publication Date
DE69524841D1 DE69524841D1 (de) 2002-02-07
DE69524841T2 true DE69524841T2 (de) 2002-08-29

Family

ID=23272500

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69524841T Expired - Fee Related DE69524841T2 (de) 1994-10-20 1995-10-16 Reaktoren zum Behandeln von Substraten

Country Status (5)

Country Link
US (1) US5855687A (de)
EP (2) EP1004688A1 (de)
JP (1) JPH08255760A (de)
KR (1) KR100252334B1 (de)
DE (1) DE69524841T2 (de)

Families Citing this family (259)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6133152A (en) * 1997-05-16 2000-10-17 Applied Materials, Inc. Co-rotating edge ring extension for use in a semiconductor processing chamber
US6293749B1 (en) * 1997-11-21 2001-09-25 Asm America, Inc. Substrate transfer system for semiconductor processing equipment
US6096135A (en) * 1998-07-21 2000-08-01 Applied Materials, Inc. Method and apparatus for reducing contamination of a substrate in a substrate processing system
TW412063U (en) * 1998-07-30 2000-11-11 Promos Technologies Inc Confinement ring for wafer fixation
US6343905B1 (en) 1999-12-17 2002-02-05 Nanometrics Incorporated Edge gripped substrate lift mechanism
KR100358045B1 (ko) 1999-12-22 2002-10-25 주식회사 하이닉스반도체 반도체 소자의 구리 금속 배선 형성 방법
JP3567855B2 (ja) * 2000-01-20 2004-09-22 住友電気工業株式会社 半導体製造装置用ウェハ保持体
US6223447B1 (en) * 2000-02-15 2001-05-01 Applied Materials, Inc. Fastening device for a purge ring
JP4422295B2 (ja) * 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
US6521292B1 (en) * 2000-08-04 2003-02-18 Applied Materials, Inc. Substrate support including purge ring having inner edge aligned to wafer edge
DE10044419C1 (de) * 2000-09-08 2002-05-02 Infineon Technologies Ag Abschattungsring für Plasmabeschichtungsanlagen und dessen Verwendung
US6599368B1 (en) * 2000-10-05 2003-07-29 Applied Materials, Inc. System architecture of semiconductor manufacturing equipment
ATE417356T1 (de) * 2000-10-31 2008-12-15 Sez Ag Vorrichtung zur flüssigkeitsbehandlung von scheibenförmigen gegenständen
KR100776515B1 (ko) * 2000-12-28 2007-11-16 엘지.필립스 엘시디 주식회사 진공증착장치
KR100875183B1 (ko) * 2002-04-26 2008-12-22 엘지디스플레이 주식회사 플라즈마 화학기상증착 장치 및 증착 방법
KR100875817B1 (ko) * 2002-06-12 2008-12-24 동부일렉트로닉스 주식회사 서셉터와 디스퍼션 헤드의 갭조절 장치
JP2004055401A (ja) * 2002-07-22 2004-02-19 Sony Corp 有機膜形成装置
US20050221603A1 (en) * 2003-06-23 2005-10-06 Applied Materials, Inc. System architecture of semiconductor manufacturing equipment
KR101003699B1 (ko) * 2003-08-11 2010-12-23 주성엔지니어링(주) 섀도우 프레임을 포함하는 액정표시장치용 증착장치 및 그의 동작방법
DE102004029466A1 (de) * 2004-06-18 2006-01-05 Leybold Optics Gmbh Medieninjektor
JP2006093557A (ja) * 2004-09-27 2006-04-06 Sharp Corp 気相成長装置
US20060138084A1 (en) * 2004-12-23 2006-06-29 Ye Sim K Selective reactive ion etching of wafers
KR100601988B1 (ko) * 2005-02-05 2006-07-18 삼성전자주식회사 웨이퍼 가열 장비
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
TWI327339B (en) * 2005-07-29 2010-07-11 Nuflare Technology Inc Vapor phase growing apparatus and vapor phase growing method
KR100775960B1 (ko) * 2005-09-15 2007-11-16 삼성전자주식회사 마스크를 갖는 플라즈마 화학 기상 증착 막 형성 장치
TWI354320B (en) * 2006-02-21 2011-12-11 Nuflare Technology Inc Vopor phase deposition apparatus and support table
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
JP5236197B2 (ja) * 2007-03-28 2013-07-17 東京エレクトロン株式会社 成膜方法および成膜装置
JP4874851B2 (ja) * 2007-03-30 2012-02-15 富士フイルム株式会社 真空成膜装置
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US20090084317A1 (en) * 2007-09-28 2009-04-02 Applied Materials, Inc. Atomic layer deposition chamber and components
JP2009277720A (ja) * 2008-05-12 2009-11-26 Nec Electronics Corp 半導体装置の製造方法及びエッチング装置
EP2159302B1 (de) * 2008-08-25 2015-12-09 Applied Materials, Inc. Beschichtungskammer mit beweglichem Schirm
CN104947061B (zh) * 2008-08-25 2017-08-29 应用材料公司 具有可移动屏蔽件的涂布室
CN102714146A (zh) 2009-12-31 2012-10-03 应用材料公司 用以修改晶圆边缘与斜面沉积的遮蔽环
US8920564B2 (en) * 2010-07-02 2014-12-30 Applied Materials, Inc. Methods and apparatus for thermal based substrate processing with variable temperature capability
US8580693B2 (en) * 2010-08-27 2013-11-12 Applied Materials, Inc. Temperature enhanced electrostatic chucking in plasma processing apparatus
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP2013062376A (ja) * 2011-09-13 2013-04-04 Toyota Motor Corp 回転式成膜装置
US20130272928A1 (en) * 2012-04-12 2013-10-17 Devi Shanker Misra Apparatus for the deposition of diamonds by microwave plasma chemical vapour deposition process and substrate stage used therein
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6080571B2 (ja) * 2013-01-31 2017-02-15 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR101443792B1 (ko) * 2013-02-20 2014-09-26 국제엘렉트릭코리아 주식회사 건식 기상 식각 장치
TWI491758B (zh) * 2013-05-14 2015-07-11 Global Material Science Co Ltd 用於光電半導體製程的沉積設備及其遮覆框
JP5800964B1 (ja) * 2014-07-22 2015-10-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体
KR101600265B1 (ko) * 2014-09-01 2016-03-08 엘지디스플레이 주식회사 화학기상증착장치
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10269614B2 (en) 2014-11-12 2019-04-23 Applied Materials, Inc. Susceptor design to reduce edge thermal peak
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9698042B1 (en) * 2016-07-22 2017-07-04 Lam Research Corporation Wafer centering in pocket to improve azimuthal thickness uniformity at wafer edge
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102037168B1 (ko) * 2017-11-06 2019-10-28 세메스 주식회사 기판 처리 장치 및 기판 지지 유닛
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN108103473B (zh) * 2017-12-18 2020-04-24 沈阳拓荆科技有限公司 用于半导体处理腔体的遮蔽装置及其使用方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US20190259635A1 (en) * 2018-02-17 2019-08-22 Applied Materials, Inc. Process kit for processing reduced sized substrates
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) * 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210127620A (ko) 2020-04-13 2021-10-22 에이에스엠 아이피 홀딩 비.브이. 질소 함유 탄소 막을 형성하는 방법 및 이를 수행하기 위한 시스템
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN113764328B (zh) * 2020-06-02 2024-06-21 拓荆科技股份有限公司 用于加工晶圆的装置及方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115125519B (zh) * 2022-06-30 2023-09-08 北京北方华创微电子装备有限公司 半导体设备的工艺腔室、半导体设备及半导体工艺方法

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5262029A (en) * 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
JP2731855B2 (ja) * 1989-02-14 1998-03-25 アネルバ株式会社 減圧気相成長装置
JPH02268427A (ja) * 1989-04-11 1990-11-02 Tokyo Electron Ltd プラズマ処理装置
JPH0313577A (ja) * 1989-06-08 1991-01-22 Agency Of Ind Science & Technol スパッタ装置の基板ホルダ
US4990374A (en) * 1989-11-28 1991-02-05 Cvd Incorporated Selective area chemical vapor deposition
US5620525A (en) * 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
US5238499A (en) * 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5578532A (en) * 1990-07-16 1996-11-26 Novellus Systems, Inc. Wafer surface protection in a gas deposition process
US5304248A (en) * 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
US5534072A (en) * 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
JPH06204323A (ja) * 1992-10-27 1994-07-22 Applied Materials Inc ウェハプロセスチャンバ内のドーム状加熱ペディスタルのためのクランプリング
US5328722A (en) * 1992-11-06 1994-07-12 Applied Materials, Inc. Metal chemical vapor deposition process using a shadow ring
US5292554A (en) * 1992-11-12 1994-03-08 Applied Materials, Inc. Deposition apparatus using a perforated pumping plate
DE69331659T2 (de) * 1993-01-13 2002-09-12 Applied Materials Inc Verfahren zur Abscheidung von Polysiliziumschichten mit einer verbesserten Uniformität und dazugehörige Vorrichtung
US5352294A (en) * 1993-01-28 1994-10-04 White John M Alignment of a shadow frame and large flat substrates on a support
US5326725A (en) * 1993-03-11 1994-07-05 Applied Materials, Inc. Clamping ring and susceptor therefor
US5800686A (en) * 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
US5540821A (en) * 1993-07-16 1996-07-30 Applied Materials, Inc. Method and apparatus for adjustment of spacing between wafer and PVD target during semiconductor processing
US5534110A (en) * 1993-07-30 1996-07-09 Lam Research Corporation Shadow clamp
US5437757A (en) * 1994-01-21 1995-08-01 Applied Materials, Inc. Clamp ring for domed pedestal in wafer processing chamber
US5421401A (en) * 1994-01-25 1995-06-06 Applied Materials, Inc. Compound clamp ring for semiconductor wafers
US5643366A (en) * 1994-01-31 1997-07-01 Applied Materials, Inc. Wafer handling within a vacuum chamber using vacuum
US5518593A (en) * 1994-04-29 1996-05-21 Applied Komatsu Technology, Inc. Shield configuration for vacuum chamber
US5476548A (en) * 1994-06-20 1995-12-19 Applied Materials, Inc. Reducing backside deposition in a substrate processing apparatus through the use of a shadow ring

Also Published As

Publication number Publication date
US5855687A (en) 1999-01-05
KR100252334B1 (ko) 2000-05-01
KR960015720A (ko) 1996-05-22
EP0709486B1 (de) 2002-01-02
EP1004688A1 (de) 2000-05-31
EP0709486A1 (de) 1996-05-01
JPH08255760A (ja) 1996-10-01
DE69524841D1 (de) 2002-02-07

Similar Documents

Publication Publication Date Title
DE69524841T2 (de) Reaktoren zum Behandeln von Substraten
DE69528217T2 (de) Vorrichtung und Verfahren zur Bearbeitung von Substraten
DE69927003T2 (de) Vakuumbehandlungsvorrichtung
DE69426679T2 (de) Vorrichtung und Verfahren zur Sicherstellung der Hitzeübertragung auf BZW von einem ganzen Substrat während der Bearbeitung eines Halbleiterbauteils
DE69608873T2 (de) Vorrichtung zur Reduzierung von Rückständen in einer Kammer zur Behandlung von Halbleitern
DE69411307T2 (de) CVD Kammer
DE3051188C2 (de)
DE19980683C2 (de) Gestapelte Duschkopfeinheit zum Leiten von Gasen und HF-Leistung in eine Reaktionskammer
DE69806281T2 (de) Verfahren und Vorrichtung zum Herstellen laminierter dünnen Schichten
DE69522539T2 (de) Vorrichtung zur chemischen Dampfabscheidung bei niedrigem Druck
DE69529325T2 (de) Kontrolle von Kantenniederschlag auf Halbleitersubstrate
DE69031192T2 (de) CVD-Reaktor und Verfahren zu dessen Verwendung
DE60310291T2 (de) Verfahren und Vorrichtung zur Gasphasenbeschichtung
DE69130293T2 (de) Minimierung der partikelerzeugung in cvd-reaktoren und verfahren
DE69710961T2 (de) Komponenten peripher zum Sockel im Wege des Gasflusses innerhalb einer Kammer zur chemischen Gasphasenabscheidung
DE69118085T2 (de) Differentialdruck-Haltungssystem für CVD-Anlage
DE3505178C2 (de) Verfahren und Vorrichtung zum Festhalten einer Halbleiterscheibe
DE3889649T2 (de) Ätzverfahren und -gerät.
DE69619074T2 (de) Substratträgervorrichtung für eine Beschichtungskammer
DE3873847T2 (de) Behandlungsapparat und -verfahren.
DE69926761T2 (de) Cvd-reaktorsystem und verfahren zur cvd-oberflächenbeschichtung
DE69028180T2 (de) Verfahren und Vorrichtung zum kontinuierlichen Ätzen
DE69710655T2 (de) Gaseinleitsystem für CVD Reaktoren
DE102005024118B4 (de) Vorrichtung und Verfahren zur Reduktion von Partikeln bei der thermischen Behandlung rotierender Substrate
EP2010693A1 (de) Cvd-reaktor mit absenkbarer prozesskammerdecke

Legal Events

Date Code Title Description
8339 Ceased/non-payment of the annual fee