DE69502087T2 - Verbesserung für elektrostatische Spannvorrichtung um einen Substrat in einem Behandlungskammer zu halten - Google Patents

Verbesserung für elektrostatische Spannvorrichtung um einen Substrat in einem Behandlungskammer zu halten

Info

Publication number
DE69502087T2
DE69502087T2 DE69502087T DE69502087T DE69502087T2 DE 69502087 T2 DE69502087 T2 DE 69502087T2 DE 69502087 T DE69502087 T DE 69502087T DE 69502087 T DE69502087 T DE 69502087T DE 69502087 T2 DE69502087 T2 DE 69502087T2
Authority
DE
Germany
Prior art keywords
hold
improvement
substrate
electrostatic chuck
treatment chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69502087T
Other languages
English (en)
Other versions
DE69502087D1 (de
Inventor
Shamouil Shamouillian
Alan Goldspiel
Manoocher Birang
Ron Northrup
John F Cameron
Semyon Sherstinsky
Chandra Deshpandey
Sasson Somekh
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of DE69502087D1 publication Critical patent/DE69502087D1/de
Application granted granted Critical
Publication of DE69502087T2 publication Critical patent/DE69502087T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • C23C16/45521Inert gas curtains the gas, other than thermal contact gas, being introduced the rear of the substrate to flow around its periphery
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N13/00Clutches or holding devices using electrostatic attraction, e.g. using Johnson-Rahbek effect
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/23Chucks or sockets with magnetic or electrostatic means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Manipulator (AREA)
  • Drying Of Semiconductors (AREA)
DE69502087T 1995-03-24 1995-08-22 Verbesserung für elektrostatische Spannvorrichtung um einen Substrat in einem Behandlungskammer zu halten Expired - Fee Related DE69502087T2 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/410,449 US5822171A (en) 1994-02-22 1995-03-24 Electrostatic chuck with improved erosion resistance

Publications (2)

Publication Number Publication Date
DE69502087D1 DE69502087D1 (de) 1998-05-20
DE69502087T2 true DE69502087T2 (de) 1998-09-17

Family

ID=23624774

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69502087T Expired - Fee Related DE69502087T2 (de) 1995-03-24 1995-08-22 Verbesserung für elektrostatische Spannvorrichtung um einen Substrat in einem Behandlungskammer zu halten

Country Status (5)

Country Link
US (3) US5822171A (de)
EP (2) EP0734053A1 (de)
JP (2) JP3989564B2 (de)
KR (2) KR100373877B1 (de)
DE (1) DE69502087T2 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10232080B4 (de) * 2002-07-15 2015-10-01 Integrated Dynamics Engineering Gmbh Elektrostatischer Greifer und Verfahren zu dessen Herstellung

Families Citing this family (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5822171A (en) * 1994-02-22 1998-10-13 Applied Materials, Inc. Electrostatic chuck with improved erosion resistance
US6678669B2 (en) * 1996-02-09 2004-01-13 Adeza Biomedical Corporation Method for selecting medical and biochemical diagnostic tests using neural network-related applications
US6108189A (en) * 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
TW334609B (en) * 1996-09-19 1998-06-21 Hitachi Ltd Electrostatic chuck, method and device for processing sanyle use the same
JP3790000B2 (ja) * 1997-01-27 2006-06-28 日本碍子株式会社 セラミックス部材と電力供給用コネクターとの接合構造
US6104596A (en) * 1998-04-21 2000-08-15 Applied Materials, Inc. Apparatus for retaining a subtrate in a semiconductor wafer processing system and a method of fabricating same
US6328858B1 (en) 1998-10-01 2001-12-11 Nexx Systems Packaging, Llc Multi-layer sputter deposition apparatus
US6217272B1 (en) 1998-10-01 2001-04-17 Applied Science And Technology, Inc. In-line sputter deposition system
DE19853092B4 (de) * 1998-11-18 2004-10-21 Leica Microsystems Lithography Gmbh Übernahme- und Haltesystem für ein Substrat
US6169652B1 (en) 1999-03-12 2001-01-02 Euv, L.L.C. Electrostatically screened, voltage-controlled electrostatic chuck
US6195246B1 (en) * 1999-03-30 2001-02-27 Electron Vision Corporation Electrostatic chuck having replaceable dielectric cover
US6319102B1 (en) * 1999-07-09 2001-11-20 International Business Machines Corporation Capacitor coupled chuck for carbon dioxide snow cleaning system
WO2001026141A2 (en) * 1999-10-01 2001-04-12 Varian Semiconductor Equipment Associates, Inc. Surface structure and method of making, and electrostatic wafer clamp incorporating surface structure
US6839217B1 (en) 1999-10-01 2005-01-04 Varian Semiconductor Equipment Associates, Inc. Surface structure and method of making, and electrostatic wafer clamp incorporating surface structure
US6538873B1 (en) * 1999-11-02 2003-03-25 Varian Semiconductor Equipment Associates, Inc. Active electrostatic seal and electrostatic vacuum pump
US6362946B1 (en) * 1999-11-02 2002-03-26 Varian Semiconductor Equipment Associates, Inc. Electrostatic wafer clamp having electrostatic seal for retaining gas
US6490144B1 (en) 1999-11-29 2002-12-03 Applied Materials, Inc. Support for supporting a substrate in a process chamber
KR100502268B1 (ko) * 2000-03-01 2005-07-22 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마처리장치 및 방법
US6452776B1 (en) * 2000-04-06 2002-09-17 Intel Corporation Capacitor with defect isolation and bypass
US6494958B1 (en) * 2000-06-29 2002-12-17 Applied Materials Inc. Plasma chamber support with coupled electrode
JP4590031B2 (ja) * 2000-07-26 2010-12-01 東京エレクトロン株式会社 被処理体の載置機構
US7049585B2 (en) * 2000-07-27 2006-05-23 Ebara Corporation Sheet beam-type testing apparatus
US6530733B2 (en) 2000-07-27 2003-03-11 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6682288B2 (en) 2000-07-27 2004-01-27 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6821912B2 (en) 2000-07-27 2004-11-23 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6613442B2 (en) 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US6552892B2 (en) 2001-05-09 2003-04-22 Axcelis Technologies, Inc. Method and apparatus for the grounding of process wafers by the use of conductive regions created by ion implantation into the surface of an electrostatic clamp
EP1280188B1 (de) * 2001-07-26 2007-01-17 Canon Kabushiki Kaisha Substrathalter und ein Belichtungsapparat
US20030106646A1 (en) * 2001-12-11 2003-06-12 Applied Materials, Inc. Plasma chamber insert ring
JP2006517740A (ja) * 2003-01-17 2006-07-27 ゼネラル・エレクトリック・カンパニイ ウェーハ加工装置及びその製造方法
US7151658B2 (en) * 2003-04-22 2006-12-19 Axcelis Technologies, Inc. High-performance electrostatic clamp comprising a resistive layer, micro-grooves, and dielectric layer
JP4532410B2 (ja) * 2003-06-17 2010-08-25 株式会社クリエイティブ テクノロジー 双極型静電チャック
US6946403B2 (en) * 2003-10-28 2005-09-20 Axcelis Technologies, Inc. Method of making a MEMS electrostatic chuck
US7088431B2 (en) * 2003-12-17 2006-08-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP4349952B2 (ja) * 2004-03-24 2009-10-21 京セラ株式会社 ウェハ支持部材とその製造方法
US7697260B2 (en) * 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
US7457097B2 (en) * 2004-07-27 2008-11-25 International Business Machines Corporation Pressure assisted wafer holding apparatus and control method
KR100635228B1 (ko) 2005-04-12 2006-10-17 주식회사 에이디피엔지니어링 플라즈마 처리장치
US9520276B2 (en) * 2005-06-22 2016-12-13 Tokyo Electron Limited Electrode assembly and plasma processing apparatus
JP4542959B2 (ja) * 2005-07-14 2010-09-15 東京エレクトロン株式会社 静電吸着電極、基板処理装置および静電吸着電極の製造方法
TWI358460B (en) * 2005-08-17 2012-02-21 Applied Materials Inc Substrate support having brazed plates and resista
TW200721244A (en) * 2005-11-17 2007-06-01 Beam Corp E Substrate treatment apparatus and substrate treatment method
DE102005056364B3 (de) * 2005-11-25 2007-08-16 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Bipolarer Trägerwafer und mobile, bipolare, elektrostatische Waferanordnung
US7879184B2 (en) * 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US7589950B2 (en) * 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
US8232183B2 (en) * 2007-05-04 2012-07-31 Taiwan Semiconductor Manufacturing Company, Ltd. Process and apparatus for wafer-level flip-chip assembly
JP2008311351A (ja) * 2007-06-13 2008-12-25 Hitachi High-Technologies Corp 荷電粒子線装置
KR100884635B1 (ko) * 2007-08-23 2009-02-23 주식회사 세미위즈 방전기능이 구비된 정전기척
US8043433B2 (en) * 2008-02-11 2011-10-25 Applied Materials, Inc. High efficiency electro-static chucks for semiconductor wafer processing
US8607731B2 (en) * 2008-06-23 2013-12-17 Applied Materials, Inc. Cathode with inner and outer electrodes at different heights
EP2141259B1 (de) 2008-07-04 2018-10-31 ABB Schweiz AG Abscheidungsverfahren zur Passivierung von Silizium-Wafern
US8194384B2 (en) * 2008-07-23 2012-06-05 Tokyo Electron Limited High temperature electrostatic chuck and method of using
US9543181B2 (en) * 2008-07-30 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Replaceable electrostatic chuck sidewall shield
JP5230462B2 (ja) * 2009-01-26 2013-07-10 三菱重工業株式会社 プラズマ処理装置の基板支持台
US8435906B2 (en) * 2009-01-28 2013-05-07 Applied Materials, Inc. Methods for forming conformal oxide layers on semiconductor devices
JP5364514B2 (ja) * 2009-09-03 2013-12-11 東京エレクトロン株式会社 チャンバ内クリーニング方法
CN102666917A (zh) * 2009-11-30 2012-09-12 朗姆研究公司 一种带有成角度侧壁的静电卡盘
JP5218865B2 (ja) 2010-03-26 2013-06-26 Toto株式会社 静電チャック
US8597462B2 (en) * 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
EP2686736B1 (de) * 2011-03-17 2014-12-17 ASML Netherlands BV Elektrostatische klammer, lithografische vorrichtung und geräteherstellungsverfahren
JPWO2013027584A1 (ja) * 2011-08-19 2015-03-19 株式会社アルバック 真空処理装置及び真空処理方法
US10388493B2 (en) * 2011-09-16 2019-08-20 Lam Research Corporation Component of a substrate support assembly producing localized magnetic fields
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US8898889B2 (en) * 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
US9263240B2 (en) * 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
KR101971312B1 (ko) * 2011-11-23 2019-04-22 램 리써치 코포레이션 다중 존 가스 주입 상부 전극 시스템
SG11201402447TA (en) 2011-11-24 2014-06-27 Lam Res Corp Plasma processing chamber with flexible symmetric rf return strap
JP6010433B2 (ja) * 2012-11-15 2016-10-19 東京エレクトロン株式会社 基板載置台および基板処理装置
KR20160058917A (ko) * 2013-09-20 2016-05-25 어플라이드 머티어리얼스, 인코포레이티드 통합된 정전 척을 갖는 기판 캐리어
KR102277859B1 (ko) * 2013-12-06 2021-07-16 어플라이드 머티어리얼스, 인코포레이티드 예비가열 부재를 셀프 센터링하기 위한 장치
CN106165141B (zh) 2014-05-09 2019-01-15 应用材料公司 基板载体系统及使用它的方法
JP6358856B2 (ja) * 2014-05-29 2018-07-18 東京エレクトロン株式会社 静電吸着装置及び冷却処理装置
WO2017170374A1 (ja) * 2016-03-29 2017-10-05 日本碍子株式会社 静電チャックヒータ
JP6693808B2 (ja) * 2016-05-25 2020-05-13 日本特殊陶業株式会社 電極内蔵型載置台構造
US9922857B1 (en) * 2016-11-03 2018-03-20 Lam Research Corporation Electrostatically clamped edge ring
US20180323042A1 (en) * 2017-05-02 2018-11-08 Applied Materials, Inc. Method to modulate the wafer edge sheath in a plasma processing chamber
US20180374736A1 (en) * 2017-06-22 2018-12-27 Applied Materials, Inc. Electrostatic carrier for die bonding applications
CN107435142B (zh) * 2017-08-04 2019-04-30 武汉华星光电半导体显示技术有限公司 用于化学气相沉积设备的电极框及化学气相沉积设备
CN116454003A (zh) * 2018-04-05 2023-07-18 朗姆研究公司 有冷却气体区域和相应槽及单极静电夹持电极模式的静电卡盘
US10867829B2 (en) * 2018-07-17 2020-12-15 Applied Materials, Inc. Ceramic hybrid insulator plate
WO2020163060A1 (en) * 2019-02-05 2020-08-13 Applied Materials, Inc. Substrate support for chucking of mask for deposition processes
US11488852B2 (en) 2019-05-31 2022-11-01 Applied Materials, Inc. Methods and apparatus for reducing high voltage arcing in semiconductor process chambers
JP7499651B2 (ja) 2020-09-02 2024-06-14 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US11651986B2 (en) * 2021-01-27 2023-05-16 Applied Materials, Inc. System for isolating electrodes at cryogenic temperatures
US20220319896A1 (en) * 2021-04-02 2022-10-06 Applied Materials, Inc. Rotating biasable pedestal and electrostatic chuck in semiconductor process chamber

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1443215A (en) * 1973-11-07 1976-07-21 Mullard Ltd Electrostatically clamping a semiconductor wafer during device manufacture
US3983401A (en) * 1975-03-13 1976-09-28 Electron Beam Microfabrication Corporation Method and apparatus for target support in electron projection systems
US4184188A (en) * 1978-01-16 1980-01-15 Veeco Instruments Inc. Substrate clamping technique in IC fabrication processes
US4384918A (en) * 1980-09-30 1983-05-24 Fujitsu Limited Method and apparatus for dry etching and electrostatic chucking device used therein
JPS57149734A (en) * 1981-03-12 1982-09-16 Anelva Corp Plasma applying working device
JPS5929435A (ja) * 1982-08-11 1984-02-16 Hitachi Ltd 試料支持装置
JPS60261377A (ja) * 1984-06-08 1985-12-24 Nippon Telegr & Teleph Corp <Ntt> 静電チャックの製造方法
JPS61192435A (ja) * 1985-02-21 1986-08-27 Canon Inc 静電吸着保持装置
JPH0760849B2 (ja) * 1986-06-05 1995-06-28 東陶機器株式会社 静電チャック板
JPS6372877A (ja) * 1986-09-12 1988-04-02 Tokuda Seisakusho Ltd 真空処理装置
DE3633386A1 (de) * 1986-10-01 1988-04-14 Leybold Ag Verfahren und vorrichtung zum behandeln von substraten im vakuum
JPS63131536A (ja) * 1986-11-21 1988-06-03 Tokuda Seisakusho Ltd 静電チヤツク電極の製造方法
US4724510A (en) * 1986-12-12 1988-02-09 Tegal Corporation Electrostatic wafer clamp
JP2521471B2 (ja) * 1987-05-14 1996-08-07 富士通株式会社 静電吸着装置
JPH01298721A (ja) * 1988-05-27 1989-12-01 Tokuda Seisakusho Ltd 真空処理装置
JPH0730468B2 (ja) * 1988-06-09 1995-04-05 日電アネルバ株式会社 ドライエッチング装置
JP2695436B2 (ja) * 1988-06-24 1997-12-24 富士通株式会社 静電チャックの劣化検出回路
JPH0227748A (ja) * 1988-07-16 1990-01-30 Tomoegawa Paper Co Ltd 静電チャック装置及びその作成方法
US5049421A (en) * 1989-01-30 1991-09-17 Dresser Industries, Inc. Transducer glass bonding technique
JP3129452B2 (ja) * 1990-03-13 2001-01-29 富士電機株式会社 静電チャック
US5094885A (en) * 1990-10-12 1992-03-10 Genus, Inc. Differential pressure cvd chuck
US5238499A (en) * 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
JPH0478133A (ja) * 1990-07-20 1992-03-12 Tokyo Electron Ltd プラズマ処理装置
US5255153A (en) * 1990-07-20 1993-10-19 Tokyo Electron Limited Electrostatic chuck and plasma apparatus equipped therewith
US5221403A (en) * 1990-07-20 1993-06-22 Tokyo Electron Limited Support table for plate-like body and processing apparatus using the table
JPH06103683B2 (ja) * 1990-08-07 1994-12-14 株式会社東芝 静電吸着方法
JP2951718B2 (ja) * 1990-11-28 1999-09-20 東京エレクトロン株式会社 圧力ゲージ出力の零点調整装置
US5155652A (en) * 1991-05-02 1992-10-13 International Business Machines Corporation Temperature cycling ceramic electrostatic chuck
US5191506A (en) * 1991-05-02 1993-03-02 International Business Machines Corporation Ceramic electrostatic chuck
US5275683A (en) * 1991-10-24 1994-01-04 Tokyo Electron Limited Mount for supporting substrates and plasma processing apparatus using the same
US5207437A (en) * 1991-10-29 1993-05-04 International Business Machines Corporation Ceramic electrostatic wafer chuck
JPH05166757A (ja) * 1991-12-13 1993-07-02 Tokyo Electron Ltd 被処理体の温調装置
JP2894658B2 (ja) * 1992-01-17 1999-05-24 株式会社東芝 ドライエッチング方法およびその装置
US5315473A (en) * 1992-01-21 1994-05-24 Applied Materials, Inc. Isolated electrostatic chuck and excitation method
US5348497A (en) * 1992-08-14 1994-09-20 Applied Materials, Inc. High voltage vaccum feed-through electrical connector
DE69316872T2 (de) * 1992-11-06 1998-05-28 Varian Associates Elektrostatische klemmvorrichtung zur halterung einer wafer
US5460684A (en) * 1992-12-04 1995-10-24 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
US5478429A (en) * 1993-01-20 1995-12-26 Tokyo Electron Limited Plasma process apparatus
TW277139B (de) * 1993-09-16 1996-06-01 Hitachi Seisakusyo Kk
KR100264445B1 (ko) * 1993-10-04 2000-11-01 히가시 데쓰로 플라즈마처리장치
US5484485A (en) * 1993-10-29 1996-01-16 Chapman; Robert A. Plasma reactor with magnet for protecting an electrostatic chuck from the plasma
US5822171A (en) * 1994-02-22 1998-10-13 Applied Materials, Inc. Electrostatic chuck with improved erosion resistance
US5631803A (en) * 1995-01-06 1997-05-20 Applied Materials, Inc. Erosion resistant electrostatic chuck with improved cooling system
US5535090A (en) * 1994-03-03 1996-07-09 Sherman; Arthur Electrostatic chuck
US5507874A (en) * 1994-06-03 1996-04-16 Applied Materials, Inc. Method of cleaning of an electrostatic chuck in plasma reactors
US5606485A (en) * 1994-07-18 1997-02-25 Applied Materials, Inc. Electrostatic chuck having improved erosion resistance
US5528451A (en) * 1994-11-02 1996-06-18 Applied Materials, Inc Erosion resistant electrostatic chuck
US5691876A (en) * 1995-01-31 1997-11-25 Applied Materials, Inc. High temperature polyimide electrostatic chuck
US5708557A (en) * 1996-08-22 1998-01-13 Packard Hughes Interconnect Company Puncture-resistant electrostatic chuck with flat surface and method of making the same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10232080B4 (de) * 2002-07-15 2015-10-01 Integrated Dynamics Engineering Gmbh Elektrostatischer Greifer und Verfahren zu dessen Herstellung

Also Published As

Publication number Publication date
KR960035755A (ko) 1996-10-24
US6557248B1 (en) 2003-05-06
DE69502087D1 (de) 1998-05-20
EP0734053A1 (de) 1996-09-25
JPH0922937A (ja) 1997-01-21
EP0734052B1 (de) 1998-04-15
US6023405A (en) 2000-02-08
JPH0922936A (ja) 1997-01-21
KR100373877B1 (ko) 2003-05-09
KR100378470B1 (ko) 2003-06-09
EP0734052A1 (de) 1996-09-25
JP3989564B2 (ja) 2007-10-10
KR960035754A (ko) 1996-10-24
US5822171A (en) 1998-10-13

Similar Documents

Publication Publication Date Title
DE69502087D1 (de) Verbesserung für elektrostatische Spannvorrichtung um einen Substrat in einem Behandlungskammer zu halten
DE19681656T1 (de) Ausrichtvorrichtung für einen Substratträger
DE69619074D1 (de) Substratträgervorrichtung für eine Beschichtungskammer
DE69605956T2 (de) Oberflächenbehandlungsverfahren für Siliziumsubstraten
DE59408823D1 (de) Vorrichtung zum Beschichten von Substraten
DE69634711D1 (de) VBB-Referenz für spannungsgepümptes Substrat
DE69607062T2 (de) Dichtungsmittel für einen Probenhalter
DE69415838T2 (de) Ein Substrathalter
DE69434049D1 (de) Keramisches Substrat und Verfahren zu dessen Herstellung
DE69604132T2 (de) Beschichtetes Substrat
BR9510266A (pt) Processo aparelho para revestir um substrato
DE59603513D1 (de) Elektrodensubstrat für brennstoffzelle
DE69417368D1 (de) Reinigungsbehälter für Halbleitersubstrate
DE59611403D1 (de) Vorrichtung zum Beschichten eines Substrats
DE69607123D1 (de) Gerät zum Polieren von Wafers
DE69630559D1 (de) Verfahren zum Beschichten von Flachglas
DE69633754D1 (de) Herstellungsverfahren für einen dünnen Halbleiterfilm
ATE198826T1 (de) Auswringvorrichtung für einen nasswischbesatz eines wischbesatzträgers
GB2298956B (en) Improvements in crystal substrate processing
DE69603569D1 (de) Verfahren zur Substratschadensreduzierung bei PECVD
DE59608911D1 (de) Fadenhalter für einen Bringergreifer
ATE187584T1 (de) Anordnung zum dichten umschliessen eines substrates
DE69602648D1 (de) Antistatische Beschichtung für einen Bildschirm
DE59606997D1 (de) Vorrichtung zum Ausrichten von flachen Sendungen
FR2724269B1 (fr) Porte-substrat electrostatique

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee