DE112011102124T5 - Mikroelektromechanisches System - Google Patents

Mikroelektromechanisches System Download PDF

Info

Publication number
DE112011102124T5
DE112011102124T5 DE112011102124T DE112011102124T DE112011102124T5 DE 112011102124 T5 DE112011102124 T5 DE 112011102124T5 DE 112011102124 T DE112011102124 T DE 112011102124T DE 112011102124 T DE112011102124 T DE 112011102124T DE 112011102124 T5 DE112011102124 T5 DE 112011102124T5
Authority
DE
Germany
Prior art keywords
electrode
lower electrode
layer
silicon
mems
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE112011102124T
Other languages
English (en)
Other versions
DE112011102124B4 (de
DE112011102124T9 (de
Inventor
Christopher Vincent Jahnes
Anthony Stamper
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of DE112011102124T5 publication Critical patent/DE112011102124T5/de
Application granted granted Critical
Publication of DE112011102124T9 publication Critical patent/DE112011102124T9/de
Publication of DE112011102124B4 publication Critical patent/DE112011102124B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00642Manufacture or treatment of devices or systems in or on a substrate for improving the physical properties of a device
    • B81C1/0065Mechanical properties
    • B81C1/00666Treatments for controlling internal stress or strain in MEMS structures
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B3/00Devices comprising flexible or deformable elements, e.g. comprising elastic tongues or membranes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B3/00Devices comprising flexible or deformable elements, e.g. comprising elastic tongues or membranes
    • B81B3/0018Structures acting upon the moving or flexible element for transforming energy into mechanical movement or vice versa, i.e. actuators, sensors, generators
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00134Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems comprising flexible or deformable structures
    • B81C1/0015Cantilevers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00349Creating layers of material on a substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00349Creating layers of material on a substrate
    • B81C1/00365Creating layers of material on a substrate having low tensile stress between layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00444Surface micromachining, i.e. structuring layers on the substrate
    • B81C1/00468Releasing structures
    • B81C1/00476Releasing structures removing a sacrificial layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00555Achieving a desired geometry, i.e. controlling etch rates, anisotropy or selectivity
    • B81C1/00619Forming high aspect ratio structures having deep steep walls
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00555Achieving a desired geometry, i.e. controlling etch rates, anisotropy or selectivity
    • B81C1/00626Processes for achieving a desired geometry not provided for in groups B81C1/00563 - B81C1/00619
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01HELECTRIC SWITCHES; RELAYS; SELECTORS; EMERGENCY PROTECTIVE DEVICES
    • H01H1/00Contacts
    • H01H1/0036Switches making use of microelectromechanical systems [MEMS]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01HELECTRIC SWITCHES; RELAYS; SELECTORS; EMERGENCY PROTECTIVE DEVICES
    • H01H57/00Electrostrictive relays; Piezo-electric relays
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01HELECTRIC SWITCHES; RELAYS; SELECTORS; EMERGENCY PROTECTIVE DEVICES
    • H01H59/00Electrostatic relays; Electro-adhesion relays
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01HELECTRIC SWITCHES; RELAYS; SELECTORS; EMERGENCY PROTECTIVE DEVICES
    • H01H59/00Electrostatic relays; Electro-adhesion relays
    • H01H59/0009Electrostatic relays; Electro-adhesion relays making use of micromechanics
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N30/00Piezoelectric or electrostrictive devices
    • H10N30/30Piezoelectric or electrostrictive devices with mechanical input and electrical output, e.g. functioning as generators or sensors
    • H10N30/304Beam type
    • H10N30/306Cantilevers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/01Switches
    • B81B2201/012Switches characterised by the shape
    • B81B2201/014Switches characterised by the shape having a cantilever fixed on one side connected to one or more dimples
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2203/00Basic microelectromechanical structures
    • B81B2203/01Suspended structures, i.e. structures allowing a movement
    • B81B2203/0118Cantilevers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2203/00Basic microelectromechanical structures
    • B81B2203/04Electrodes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0102Surface micromachining
    • B81C2201/0105Sacrificial layer
    • B81C2201/0109Sacrificial layers not provided for in B81C2201/0107 - B81C2201/0108
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0128Processes for removing material
    • B81C2201/013Etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0161Controlling physical properties of the material
    • B81C2201/0163Controlling internal stress of deposited layers
    • B81C2201/0167Controlling internal stress of deposited layers by adding further layers of materials having complementary strains, i.e. compressive or tensile strain
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0161Controlling physical properties of the material
    • B81C2201/0163Controlling internal stress of deposited layers
    • B81C2201/017Methods for controlling internal stress of deposited layers not provided for in B81C2201/0164 - B81C2201/0169
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/01Packaging MEMS
    • B81C2203/0136Growing or depositing of a covering layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/01Packaging MEMS
    • B81C2203/0172Seals
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2115/00Details relating to the type of the circuit
    • G06F2115/04Micro electro-mechanical systems [MEMS]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01HELECTRIC SWITCHES; RELAYS; SELECTORS; EMERGENCY PROTECTIVE DEVICES
    • H01H57/00Electrostrictive relays; Piezo-electric relays
    • H01H2057/006Micromechanical piezoelectric relay
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/937Hillock prevention
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/42Piezoelectric device making
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/43Electric condenser making
    • Y10T29/435Solid dielectric type
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49105Switch making
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49121Beam lead frame or beam lead device
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/49126Assembling bases
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/4913Assembling to base an electrical component, e.g., capacitor, etc.
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/49155Manufacturing circuit on or in base
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/53Means to assemble or disassemble
    • Y10T29/5313Means to assemble electrical device

Abstract

Ein Verfahren zum Ausbilden eines mikroelektromechanischen Systems (MEMS) beinhaltet ein Ausbilden einer unteren Elektrode auf einer ersten Isolatorschicht innerhalb einer Kavität des MEMS. Das Verfahren weist des Weiteren ein Ausbilden einer oberen Elektrode über einem weiteren Isolatormaterial auf der Oberseite der unteren Elektrode auf, die zumindest teilweise mit der unteren Elektrode in Kontakt steht. Das Ausbilden der unteren Elektrode und der oberen Elektrode beinhaltet ein Anpassen eines Metallvolumens der unteren Elektrode und der oberen Elektrode, um eine Biegung des Arms zu modifizieren.

Description

  • Technisches Gebiet
  • Die Erfindung bezieht sich auf Halbleiterstrukturen und auf Verfahren zur Fertigung und insbesondere auf Strukturen von Mikrosystemen bzw. mikroelektromechanischen Systemen, MEMS mit planaren Kavitäten, Verfahren zur Fertigung und Konstruktionsstrukturen.
  • Hintergrund
  • Schalter von integrierten Schaltungen, die in integrierten Schaltungen verwendet werden, können aus Halbleiterstrukturen (z. B. Transistoren) oder aus passiven Drähten (MEMS) ausgebildet werden. Üblicherweise werden MEMS-Schalter aufgrund ihrer nahezu idealen Isolation, die eine entscheidende Anforderung für drahtlose Funkanwendungen darstellt, bei denen sie zum Moduswechsel von Leistungsverstärkern (power amplifiers, PAs) verwendet werden, und aufgrund ihres geringen Einfügungsverlustes (d. h. Widerstands) bei Frequenzen von 10 GHz und höher eingesetzt. MEMS-Schalter können in einer Vielfalt von Anwendungen verwendet werden, vor allem in Analog- und Mischsignalanwendungen. Ein solches Beispiel sind Chips von Mobiltelefonen, die einen Leistungsverstärker (PA) und Schaltungen enthalten, die auf jeden Übertragungsmodus eingestellt werden. Integrierte Schalter auf dem Chip würden den PA mit der entsprechenden Schaltung verbinden, sodass nicht ein PA je Modus erforderlich ist.
  • Abhängig von der jeweiligen Anwendung und den Konstruktionskriterien können MEMS-Strukturen zahlreiche verschiedene Formen annehmen. Beispielsweise können MEMS in Form einer Auslegerarmstruktur realisiert werden. Bei der Auslegerstruktur wird ein Auslegerarm (eine aufgehängte Elektrode, deren eines Ende befestigt ist) durch Anlegen einer Betätigungsspannung in Richtung einer festen Elektrode gezogen. Die Spannung, die erforderlich ist, um die aufgehängte Elektrode durch elektrostatische Kraft zu der festen Elektrode zu ziehen, wird als Anzugsspannung bezeichnet, die von mehreren Parametern wie zum Beispiel der Länge der aufgehängten Elektrode, dem Abstand oder Zwischenraum zwischen der aufgehängten und der festen Elektrode und der Federkonstante der aufgehängten Elektrode, bei der es sich um eine Funktion der Materialien und ihrer Dicke handelt, abhängt. Alternativ könnte es sich bei dem MEMS-Arm um eine Brückenstruktur handeln, bei der beide Enden befestigt sind.
  • MEMS können auf verschiedene Arten mithilfe einer Reihe unterschiedlicher Werkzeuge gefertigt werden. Im Allgemeinen werden die Vorgehensweisen und Werkzeuge jedoch dazu verwendet, kleine Strukturen mit Abmessungen im Mikrometerbereich mit Schalterabmessungen von etwa 5 Mikrometern Dicke, 100 Mikrometern Breite und 200 Mikrometern Länge auszubilden. Außerdem sind viele der Vorgehensweisen, d. h. Technologien, die zur Fertigung von MEMS angewendet werden, von der Technologie integrierter Schaltungen (IC) übernommen worden. Beispielsweise werden nahezu sämtliche MEMS auf Wafern gebildet und in dünnen Materialschichten realisiert, welche durch photolithographische Prozesse auf der Oberseite des Wafers strukturiert werden. Insbesondere werden bei der Fertigung von MEMS drei grundlegende Herstellungsblöcke verwendet: (i) Abscheidung von dünnen Materialschichten auf einem Substrat, (ii) Aufbringen einer strukturierten Maske auf der Oberseite der Schichten durch photolithographische Belichtung und (iii) selektives Ätzen der Schichten entsprechend der Maske.
  • Beispielsweise werden bei MEMS-Schaltern des Auslegertyps die festen Elektroden und die aufgehängten Elektroden üblicherweise mithilfe einer Reihe von herkömmlichen Photolithographie-, Ätz- und Abscheidungsprozessen gefertigt. In einem Beispiel wird, nachdem die aufgehängte Elektrode ausgebildet worden ist, eine Schicht eines Opfermaterials, z. B. des von Microchem, Inc. hergestellten Aufschleuder-Polymers PMGI unter der MEMS-Struktur, um eine Kavität auszubilden, und über der MEMS-Struktur abgeschieden, um eine Kavität auszubilden. Die Kavität über dem MEMS wird dazu verwendet, die Ausbildung einer Kappe, z. B. einer SiN-Haube, zu unterstützen, um die MEMS-Struktur abzudichten. Dies weist jedoch mehrere Nachteile auf. Es ist beispielsweise bekannt, dass MEMS-Kavitäten, die mit Aufschleuder-Polymeren wie zum Beispiel PMGI ausgebildet werden, nichtplanar sind. Nichtplanare MEMS-Kavitäten verursachen jedoch Probleme, darunter zum Beispiel Schwankungen in der Schärfentiefe bei der Lithographie und Probleme bei der Zuverlässigkeit bei Aufbau- und Verbindungstechnik aufgrund von Rissbildung im Dielektrikum. Darüber hinaus erfordern mit Aufschleuder-Polymeren ausgebildete MEMS-Kavitäten eine Bearbeitung bei niedrigen Temperaturen, um ein Verfließen oder eine Beschädigung des Polymers zu verhindern; und das Polymer kann nach dem Austreiben organische (z. B. kohlenstoffhaltige) Rückstände in der Kavität zurücklassen.
  • Dementsprechend besteht ein Bedarf im Stand der Technik, die hierin oben beschriebenen Mängel und Beschränkungen zu beseitigen.
  • Kurzdarstellung
  • In einem ersten Aspekt der Erfindung weist ein Verfahren zum Ausbilden eines mikroelektromechanischen Systems (MEMS) – bzw. kurz Mikrosystems – das Ausbilden einer unteren Elektrode auf einer ersten Isolatorschicht innerhalb einer Kavität des MEMS auf. Das Verfahren weist des Weiteren das Ausbilden einer oberen Elektrode über einem weiteren Isolatormaterial auf der Oberseite der unteren Elektrode auf, die zumindest teilweise mit der unteren Elektrode in Kontakt steht. Das Ausbilden der unteren Elektrode und der oberen Elektrode beinhaltet das Anpassen eines Metallvolumens der unteren Elektrode und der oberen Elektrode, um die Biegung des Arms zu modifizieren.
  • In einem weiteren Aspekt der Erfindung weist ein Verfahren zum Ausbilden eines Schalters das Ausbilden einer unteren Elektrode und einer oberen Elektrode auf der Oberseite der unteren Elektrode auf. Das Ausbilden der unteren Elektrode und der oberen Elektrode beinhaltet, ein Metallvolumen der unteren Elektrode im Hinblick auf die obere Elektrode ins Gleichgewicht zu bringen.
  • Bei einer weiteren Ausführungsform der Erfindung weist ein Verfahren das Ausbilden eines beweglichen Arms auf, der zumindest eine Isolatorschicht auf einer Leiterschicht aufweist, sodass ein Volumen des Leiters angepasst wird, um die Biegeeigenschaften des Arms zu modifizieren.
  • In einem noch weiteren Aspekt der Erfindung weist eine MEMS-Struktur einen beweglichen Arm auf, der zumindest eine Isolatorschicht auf einer Leiterschicht aufweist, sodass ein Volumen des Leiters angepasst wird, um die Biegeeigenschaften des Arms zu modifizieren.
  • In einem weiteren Aspekt der Erfindung wird eine Konstruktionsstruktur, die physisch in einem maschinenlesbaren Speichermedium verkörpert wird, zum Konstruieren, Fertigen oder Testen einer integrierten Schaltung bereitgestellt. Die Konstruktionsstruktur weist die Strukturen der vorliegenden Erfindung auf. Bei weiteren Ausführungsformen weist eine Konstruktionsstruktur in einer Hardware-Beschreibungssprache (hardware description language, HDL), die auf einem maschinenlesbaren Datenspeichermedium codiert ist, Elemente auf, die, wenn sie in einem computergestützten Konstruktionssystem verarbeitet werden, eine maschinenausführbare Darstellung des MEMS erzeugen, die die Strukturen der vorliegenden Erfindung aufweist. Bei noch weiteren Ausführungsformen wird ein Verfahren in einem computergestützten Konstruktionssystem zum Erzeugen eines funktionalen Konstruktionsmodells des MEMS bereitgestellt. Das Verfahren weist das Erzeugen einer funktionalen Darstellung der Strukturelemente des MEMS auf.
  • In bestimmten Aspekten weist die Konstruktionsstruktur, die durch eine Maschine lesbar ist, die bei Konstruktion, Fertigung oder Simulation einer integrierten Schaltung verwendet wird, einen beweglichen Arm auf, der zumindest eine Isolatorschicht auf einer Leiterschicht aufweist, sodass ein Volumen des Leiters angepasst wird, um die Biegeeigenschaften des Arms zu modifizieren.
  • Kurze Beschreibung der Zeichnungen
  • Eine oder mehrere Ausführungsformen der Erfindung werden nun lediglich als Beispiel unter Bezugnahme auf die beigefügten Zeichnungen beschrieben, in denen:
  • 1 bis 23 und 26 bis 33 verschiedene Strukturen und damit in Zusammenhang stehende Bearbeitungsschritte gemäß einer oder mehrerer Ausführungsformen der Erfindung darstellen;
  • 24a bis 24f Draufsichten auf Strukturen von MEMS-Einheiten darstellen, die mithilfe der gemäß Ausführungsformen der Erfindung dargestellten Prozesse gefertigt worden sind;
  • 25 verschiedene Topographiegraphen (d. h. Atomkraftmikroskopdaten) darstellt, die Daten für die Tiefe von Siliciumausnehmungen im Verhältnis zu einer Oxidpolierung darstellen;
  • 34 ein Ablaufplan eines Konstruktionsprozesses ist, der bei einer/einem Halbleiterkonstruktion, -fertigung und/oder -test verwendet wird; und
  • 35a eine Struktur und einen Prozess darstellt, die/der eine Oxidnaht in abgeschiedenem Silicium aufgrund einer Anfangstopographie gemäß Ausführungsformen der Erfindung verringert oder beseitigt (im Vergleich zu 35b, die die Oxidnaht darstellt).
  • Ausführliche Beschreibung
  • Die Erfindung bezieht sich auf Halbleiterstrukturen und auf Verfahren zur Fertigung und insbesondere auf Strukturen von Mikrosystemen (MEMS) mit planaren Kavitäten (d. h. mit ebenen oder planaren Flächen), Verfahren zur Fertigung und eine Konstruktionsstruktur. Zweckmäßigerweise vermindern die Verfahren zum Ausbilden der Strukturen die Gesamtspannung der MEMS-Struktur und verringern Materialschwankungen der MEMS-Einheit. Bei Ausführungsformen verwenden die Strukturen und Verfahren zum Ausbilden der planaren (z. B. ebene oder planare Flächen aufweisenden) MEMS-Einheiten eine Opferschicht, um eine Kavität angrenzend an die MEMS-Arme auszubilden. Bei weiteren Ausführungsformen wird eine MEMS-Kavität mit zwei Ebenen mithilfe eines umgekehrten Damaszener-Prozesses ausgebildet, um eine planare Struktur (d. h. mit einer ebenen oder planaren Fläche) auszubilden. Die MEMS-Strukturen der vorliegenden Erfindung können zum Beispiel neben sonstigen Einheiten als Kontaktschalter mit Einzel- oder Doppeldrahtarm, Kondensatorschalter mit Doppeldrahtarm oder Luftspaltinduktor mit Einzel- oder Doppeldrahtarm verwendet werden.
  • 1 stellt eine Anfangsstruktur und damit in Zusammenhang stehende Bearbeitungsschritte gemäß Ausführungsformen der Erfindung dar. Bei der in den nächsten verschiedenen Absätzen offenbarten Struktur handelt es sich um einen MEMS-Kondensatorschalter, wenngleich die Verfahren und Strukturen auch für sonstige MEMS-Schalter wie zum Beispiel ohmsche Kontaktschalter, in denen kein MEMS-Kondensatordielektrikum verwendet würde; MEMS-Beschleunigungssensoren; usw. zutreffend sind. Die Struktur beinhaltet zum Beispiel ein Substrat 10. Bei dem Substrat 10 kann es sich bei Ausführungsformen um eine beliebige Schicht einer Einheit handeln. Bei Ausführungsformen handelt es sich bei dem Substrat 10 um einen Silicium-Wafer, der mit einem Siliciumdioxid oder einem sonstigen Isolatormaterial beschichtet ist, das Fachleuten bekannt ist. Eine Verbindung 12 wird innerhalb des Substrats 10 bereitgestellt. Bei der Verbindung 12 kann es sich zum Beispiel um einen Stift aus Wolfram oder Kupfer handeln, der in einer herkömmlich ausgebildeten Durchkontaktierung ausgebildet ist. Die Verbindung 12 kann zum Beispiel mithilfe eines beliebigen herkömmlichen Lithographie-, Ätz- oder Abscheidungsprozesses ausgebildet werden, der Fachleuten zum Ausbilden von Stiften bekannt ist, wie zum Beispiel mithilfe eines Damaszener-Prozesses. Die Verbindung 12 könnte sonstige Verdrahtungsebenen, CMOS-Transistoren oder sonstige aktive Einheiten, passive Einheiten usw., die nach dem Stand der Technik bekannt sind, kontaktieren.
  • In 2 wird eine Verdrahtungsschicht auf dem Substrat 10 ausgebildet, um mehrere Drähte 14 mithilfe von herkömmlichen Abscheidungs- und Strukturierungsprozessen auszubilden. Die Verdrahtungsschicht kann zum Beispiel auf dem Substrat bis auf eine Tiefe von etwa 0,05 bis 4 Mikrometern abgeschieden werden; wenngleich sonstige Abmessungen durch die vorliegende Erfindung ebenfalls in Betracht gezogen werden. Bei Ausführungsformen wird die Verdrahtungsschicht 14 auf eine Tiefe von 0,25 Mikrometern abgeschieden. Anschließend wird die Verdrahtungsschicht strukturiert, um die Drähte (die unteren Elektroden) 14 auszubilden, die einen Drahtabstand (Zwischenraum) 14a dazwischen aufweisen. Bei Ausführungsformen kann sich das Draht-Abstand-Aspektverhältnis, das durch das Verhältnis der Höhe des Drahtes 14 zu dem Drahtabstand 14a festgelegt wird, auf Materialschwankungen (z. B. Topographie) auswirken, wie unter Bezugnahme auf 25 genauer erörtert wird. Ein niedriges Aspektverhältnis von 1:20 könnte zum Beispiel aus einem Draht 14 mit einer Höhe von 50 nm mit einem Abstand 14a von 1.000 nm ausgebildet werden; und ein hohes Aspektverhältnis von 1:1 könnte aus einem Draht mit einer Höhe von 500 nm mit einem Abstand von 500 nm ausgebildet werden. Diese Werte des Aspektverhältnisses dienen lediglich als Referenz, und die Konformität einer Opferschicht 18 (3) entscheidet darüber, welches Draht-Abstand-Aspektverhältnis erforderlich ist, wie hierin erörtert wird.
  • Zumindest einer der Drähte 14 steht mit der Verbindung 12 in Kontakt (in direktem elektrischen Kontakt). Bei Ausführungsformen können die Drähte 14 aus Aluminium oder einer Aluminiumlegierung wie zum Beispiel AlCu, AlSi oder AlCuSi ausgebildet werden; wenngleich sonstige Verdrahtungsmaterialien ebenfalls durch die vorliegende Erfindung in Betracht gezogen werden. Bei den Drähten 14 kann es sich neben sonstigen Verdrahtungsmaterialien zum Beispiel um ein hochschmelzendes Metall wie beispielsweise Ti, TiN, TiN, Ta, TaN und W oder um AlCu handeln. Bei Ausführungsformen können die Drähte 14 mit Si, z. B. 1%, dotiert werden, um zu verhindern, dass das Metall, z. B. Al, mit einem Material einer oberen Kavitätenschicht, z. B. Silicium, reagiert. Bei Ausführungsformen kann der Aluminiumanteil des Drahtes mit Cu, z. B. 0,5%, dotiert werden, um den Elektromigrationswiderstand des Drahtes zu erhöhen. Bei Ausführungsformen könnten die Drähte aus reinen hochschmelzenden Metallen wie zum Beispiel TiN, W, Ta usw. ausgebildet werden.
  • Die Oberflächenmorphologie des Drahtes 14 wird durch die Atomoberflächenrauheit wie auch durch das Vorhandensein von Metallhügeln bestimmt. Bei Metallhügeln handelt es sich um Unebenheiten in dem Metall, die üblicherweise eine Breite von etwa 10 nm bis 1.000 nm und eine Höhe von etwa 10 nm bis 1.000 nm aufweisen. Bei einer Aluminiumverdrahtung, die darüber und darunter mit TiN plattiert ist, beispielsweise bei 200 nm AlCu, das darunter mit 10/20 nm Ti/TiN und darüber mit 30 nm TiN plattiert ist, könnte ein typischer Metallhügel eine Breite von 50 nm und eine Höhe von 100 nm aufweisen. Bei MEMS-Kondensatoren, bei denen der Draht 14 mit einem Dielektrikum beschichtet ist und als untere Kondensatorplatte verwendet wird, wird durch das Vorhandensein von Hügeln oder durch einen hohen Wert der atomaren Oberflächenrauheit die Kapazitätsdichte verringert, da die obere Kondensatorplatte, die aus dem MEMS-Arm ausgebildet ist, keinen engen Kontakt mit der unteren Kondensatorplatte herstellen kann, die aus dem Draht 14 ausgebildet ist.
  • Die Oberflächenrauheit kann mithilfe eines Atomkraftmikroskops (atomic force microscope, AFM) oder eines optischen Profilometers gemessen werden, und es sind mehrere Verfahren zum Messen und Quantifizieren der Breite und Höhe von Hügeln bekannt. Bei Ausführungsformen werden Hügel durch Messen der minimalen bis maximalen Höhe von Drahtbereichen, die üblicherweise zwischen 1 und 10.000 Quadratmikrometer groß sind, mithilfe eines AFM quantifiziert, und die Oberflächenrauheit wird durch Berechnen des quadratischen Mittels (root mean square, RMS) der Rauheit in Bereichen mit oder ohne Hügel ermittelt. Bei einer Ausführungsform handelt es sich bei der Oberflächenrauheit um das RMS der Rauheit eines Bereichs von 2 μm2 Größe ohne sichtbare Hügel.
  • Tabelle 1 fasst Daten zu Metallhügeln und der Oberflächenrauheit für eine Vielfalt von Drahtmaterialien zusammen, die mit einem AFM gemessen wurden. Das quadratische Mittel (RMS) der Rauheit wurde in Bereichen ohne sichtbare Metallhügel in einem etwa 2 μm2 großen Bereich gemessen. Der Wert der maximalen Rautiefe der Hügel wurde in einem Bereich von etwa 10.000 μm2 Größe gemessen. Die Drahtvarianten aus reinem hochschmelzenden Metall wiesen die bei weitem geringste Rauheit und die flachsten Hügel, jedoch den höchsten Widerstand auf. Drähte mit AlCu weisen einen erheblich geringeren Widerstand, jedoch eine deutlich größere Rauheit und mehr Hügel auf als Drähte rein aus hochschmelzendem Metall. Durch Zugabe von ausreichend Ti unter und über dem AlCu und Tempern der Wafer bei 350°C bis 450°C über einen ausreichend langen Zeitraum, um das TiAl3-Silicid auszubilden, d. h. bei 400°C über 1 Stunde, entweder vor oder nach dem Strukturieren, wird aufgrund des verringerten Aluminiumvolumens die minimale bis maximale Höhe der Hügel drastisch gesenkt, das RMS der Oberflächenrauheit nimmt dagegen geringfügig zu. In beispielhaften Ausführungsformen werden die Drähte 14 nach dem Strukturieren getempert und geätzt, um durch das TiAl3 verursachte Probleme bei der Metallätzung zu verringern. Dünneres Ti, z. B. 5 nm, unter und über dem AlCu hatte eine minimale oder keine Auswirkung auf die Verringerung der Hügel; 10 nm und 15 nm Ti verringerten die Hügel dagegen erheblich und waren gleichwertig. Wenn das Ti mit Aluminium reagiert und TiAl3 ausbildet, wird die Dicke des Aluminiums (z. B. AlCu) etwa im Verhältnis 3:1 verringert; d. h. für jeweils 10 nm Ti werden 30 nm Aluminium verbraucht, um TiAl3 auszubilden; und damit stets etwas nicht in Reaktion getretenes AlCu in dem Draht verbleibt, muss das Dickenverhältnis von Ti:AlCu, bei dem die Dicke von Ti die Schichten unter und über dem AlCu beinhaltet, geringer als 1:3 sein. Das heißt, für eine bestmögliche Verringerung von Hügeln und einen bestmöglichen Drahtwiderstand unter Berücksichtigung der Schwankungen der abgeschiedenen Dicke des Ti und AlCu sollte der Bereich der abgeschiedenen Ti-Dicke mehr als 5% und weniger als 25% der abgeschiedenen AlCu-Dicke betragen. TABELLE 1
    Prozess (TiN = 32 nm für jede Schicht) Dicke des AlCu Ta/TiN oder Ta (nm) Dicke des unteren und oberen Ti Quadr atische Oberfl ächner auheit (nm) Maximale Rautife der Hügel (nm) Widerstand (Ω/SQ)
    TiN/AlCu/TiN 200 n. z. 4,6 148 0,18
    Ti/AlCu/Ti/TiN 200 5 6,8 119 0,24
    Ti/AlCu/Ti/TiN 200 10 6,4 43 0,32
    Ti/AlCu/Ti/TiN 200 15 6,2 46 0,42
    TiN 32 n. z. 2,3 27 100
    Ta/TiN 200/32 n. z. 2,4 29 2
  • Die Bildung der Metallhügel kann auch durch die Anordnung der Drähte verursacht werden. Beispielsweise weist eine vollflächige Anordnung (26a) tendenziell eine größere Anzahl von Metallhügeln und höhere Hügel auf als eine Anordnung, die mithilfe von Schlitzen ,S' (26b und 26c) oder Löchern ,H' (26d) in schmale Linien aufgeteilt sind.
  • Genauer gesagt, 26a bis 26d stellen Draufsichten auf Anordnungen der MEMS-Kondensatorplatten mit vollflächigen (26a), mit Schlitzen „S” versehenen (26b und 26c) und mit Löchern „H” versehenen (26d) Anordnungen dar. Für die mit Löchern versehene (26d) Anordnung „H” könnten Rauten (abgebildet), Achtecke, Kreise, Ovale, Quadrate, plusförmige oder beliebige aus der Anordnung ausgeschnittene Formen verwendet werden, die sämtlich durch das Bezugszeichen „H” dargestellt werden. Die mit Schlitzen und Löchern versehenen Anordnungen sind jeweils so konstruiert, dass sie die Hügelbildung so weit wie möglich verringern und aufgrund der Entfernung des Metalls den effektiven Drahtwiderstand nicht deutlich erhöhen oder die Fläche der Kondensatorplatten nicht erheblich verringern. Wenn eine mit Schlitzen versehene Anordnung „S” (26b) verwendet wird, wird die Schlitzbreite üblicherweise so weit wie möglich herabgesetzt, um die Fläche der Kondensatorplatten nicht zu verringern oder den effektiven Drahtwiderstand nicht zu erhöhen. Es könnten zum Beispiel eine Schlitzbreite von 1 μm und eine Platzierung der Schlitze mit einem Rasterabstand von 6 μm verwendet werden; oder ein ähnliches Verhältnis dieser Werte (d. h. eine Schlitzbreite von 0,4 μm und ein Rasterabstand von 2,4 μm). Bei der mit Löchern versehenen Variante in
  • 26d würde das Volumen des durch die Löcher entfernten Metalls bei etwa 20% oder weniger gehalten, um den effektiven Drahtwiderstand nicht wesentlich zu erhöhen oder die Kapazität nicht zu verringern. Es könnten zum Beispiel Löcher mit einer Fläche von 1 μm2 verwendet werden, die 20% der Gesamtfläche des Drahtes einnehmen.
  • Das Volumen des Metalls, das durch Schlitzbildung oder Löcherbildung in den Drähten entfernt wird, wird auch durch die Neigung zur Hügelbildung bestimmt.
  • Hochschmelzende Metalle zum Beispiel neigen nicht zu Hügelbildung und müssen möglicherweise nicht mit Schlitzen oder Löchern versehen werden. Die Neigung zur Hügelbildung in Aluminium oder Aluminiumlegierungen steigt mit zunehmender Drahtdicke und mit abnehmender Dicke des bedeckenden hochschmelzenden Metalls (d. h. TiAl3/TiN, TiN usw.). Bei höheren Drähten, z. B. >=1 μm, kann das Volumen des Metalls, das durch Schlitzbildung oder Löcherbildung entfernt werden muss, größer sein; bei flacheren Drähten, z. B. <0,2 μm, ist das Volumen des Metalls, das durch Schlitzbildung oder Löcherbildung entfernt werden muss, möglicherweise kleiner. Der Rasterabstand wird als periodische Drahtbreite + Abstand definiert. Bei einem Rasterabstand von 5 μm und einem Abstand von 1 μm würde die Breite des Drahtes 4 μm betragen.
  • Bei Ausführungsformen würde die Drahtbreite zwischen den Schlitzen 4 μm betragen, und der Abstand vom vertikalen Ende der Drähte bis zu der Kante der Drahtform würde ebenfalls 4 μm betragen. Anordnungen, in denen der Schlitzalgorithmus verwendet wird, bei dem die Enden der Schlitze geschlossen sind, dargestellt in 26b, unterliegen aufgrund von lokalen oder sonstigen durch die Geometrie verursachten Auswirkungen einer Hügelbildung am Ende der Schlitze. Dies wird in 26e dargestellt, die eine Anordnung mit geschlossenen Schlitzen mit gleichmäßigen Abständen sowohl zwischen den Schlitzen als auch zwischen den Schlitzen und den Enden der Drahtformen A1 darstellt. Um die Neigung, an dieser Stelle Hügel auszubilden, zu verringern oder zu beseitigen, kann der Abstand zwischen dem vertikalen Ende der Schlitze und dem Ende der Drahtform auf weniger als die Breite des mit Schlitzen versehenen Drahtes verringert werden, wie in 26f dargestellt, die eine Drahtbreite A1 und Schlitzabstände zu der Drahtkante bzw. zu der Schlitzkante A2 bzw. A3 darstellt, die beide geringer als A1 sind. Dies gilt für rechtwinklige Schlitze (d. h. Schlitze, die mit einem vertikalen 90-Grad-Winkel enden) wie auch für angeschrägte Schlitze (d. h. Schlitze, die mit einem Winkel von 45 Grad oder einem anderen Winkel enden), wie in 26 dargestellt. Ein weiteres potenzielles Problem, das durch Schlitzbildung in Drähten verursacht wird, besteht in der Ausbildung eines Tripelpunktes bei der anschließenden Abscheidung von Silicium über einem Schlitz ohne obere Abdeckung. Wenn die Schlitze oder Löcher keine obere Abdeckung aufweisen, wie in 26c oder im oberen Abschnitt von 26d dargestellt, kann bei der anschließenden Abscheidung von Silicium über dem Ende des Schlitzes ohne obere Abdeckung ein Tripelpunkt ausgebildet werden, der in 26c mit „TP” gekennzeichnet ist, was zu einem Defekt in der Siliciumoberfläche führt, der sich bis zu einer nachfolgenden Verdrahtungsebene oder sonstigen Ebenen ausbreiten kann. Um diesen Defekt zu vermeiden, können die mit Schlitzen versehenen Enden wahlweise bedeckt oder geschlossen werden, wie in 26b dargestellt. Ein ähnlicher Tripelpunktdefekt kann bei einer mit einem Loch versehenen Konstruktion auftreten, der wiederum durch Schließen des Loches beseitigt werden kann. Offene und geschlossene Löcher werden in den oberen und unteren Abschnitten von 26d dargestellt.
  • Abhängig von der Strukturierung der Verdrahtung können sich während späterer Bearbeitungsschritte Hohlräume oder Nähte in dem Opfermaterial, z. B. Silicium, zwischen und über den Abständen zwischen den Drähten ausbilden, wie im Folgenden beschrieben wird. Bei einer Naht handelt es sich um einen Bereich in dem Silicium in einem Zwischenraum zwischen den darunterliegenden Drähten oder sonstiger Topographie, die als Begleiterscheinung des Siliciumabscheidungsprofils gebildet wird. Diese Nähte können Verunreinigungen wie zum Beispiel Sauerstoff enthalten und können anschließend Probleme verursachen, entweder, weil oxidiertes Silicium vorhanden ist oder weil sich die Naht aufgrund einer CMP, einer nasschemischen Ätzung, RIE oder sonstigen nachfolgenden Prozessen öffnet. Das heißt, wenn das Aspektverhältnis des Abstandes zu der Höhe der Drähte 14 hoch ist, können sich während nachfolgender Abscheidungsprozesse Hohlräume oder Nähte in oberen Schichten ausbilden. Diese Hohlräume oder Nähte können die Topographie des Materials, z. B. Silicium, beeinträchtigen, insbesondere wenn ein Unter- oder Überpolieren während eines nachfolgenden Bearbeitungsschrittes durchgeführt wird; oder wenn die Hohlräume während der Abscheidung der nachfolgenden Schicht oxidieren. Wenn alternativ ein Damaszener- oder ein umgekehrter Damaszener-Prozess für die Verdrahtungsebene 14 angewendet wird, ist die Oberfläche wesentlich ebener, und nachfolgende Schichten neigen nicht zum Ausbilden von Hohlräumen. Ein umgekehrter Damaszener-Prozess besteht darin, dass die Drähte abgeschieden und strukturiert würden, gefolgt von einem Schritt zur Abscheidung und Planarisierung eines Dielektrikums, sodass die Drahtoberflächen freigelegt würden, sich jedoch ein ebeneres Dielektrikum zwischen den Drähten befände.
  • In 3 wird eine Isolatorschicht (eine dielektrische Schicht) 16 auf den mehreren Drähten 14 und auf freigelegten Abschnitten des Substrats 10 ausgebildet. Bei Ausführungsformen handelt es sich bei der Isolatorschicht 16 um ein Oxid, das in einer Dicke von etwa 80 nm abgeschieden wird; wenngleich auch andere Abmessungen durch die vorliegende Erfindung in Betracht gezogen werden. Aus der gemeinsamen Dicke der unteren Isolatorschicht 16 des MEMS-Kondensators und der in 11 dargestellten, nachfolgenden oberen Isolatorschicht 34 des MEMS-Kondensators ergeben sich das Durchschlagsspannungs- und das zeitabhängige dielektrische Durchbruchsverhalten des MEMS-Kondensators. Bei einem Betrieb des MEMS mit 50 V muss die Durchschlagsspannung höher als 50 V sein, üblicherweise höher als 100 V, um eine große Zuverlässigkeit des MEMS-Kondensators zu gewährleisten. Eine gemeinsame Isolatordicke des MEMS-Kondensators von 160 nm ist ausreichend für einen äußerst zuverlässigen Betrieb des MEMS mit 50 V. Diese Isolatorschicht 16, die nur erforderlich ist, wenn ein MEMS-Kondensator gefertigt wird, bildet das Dielektrikum der unteren Kondensatorplatte. Die Isolatorschicht 16 dient außerdem als Barriere zwischen dem Metall in dem Draht 14, z. B. Aluminium, und dem nachfolgenden Material 18, z. B. Silicium. Das Silicium und das Aluminium reagieren und bilden so eine intermetallische Verbindung aus, die schwer zu entfernen ist und die, falls sie ausgebildet wird, die Aktivierung des MEMS-Arms blockieren kann, indem sie das vollständige Abklappen des Arms während der Betätigung blockiert. Die Ausbildung dieser intermetallischen Verbindung kann durch eine stabile Isolatorschicht 16 verhindert werden. Es ist zu beachten, dass die Isolatorschicht 16 bei Temperaturen abgeschieden werden muss, die mit einer Aluminiumverdrahtung vereinbar sind, z. B. von unter etwa 420°C und bevorzugt unter etwa 400°C, was die Verwendung von hochgradig konformen Dielektrika wie zum Beispiel durch chemische Flüssigphasenabscheidung abgeschiedenes (liquid phase chemical deposition, LPCVD-) SiO2, das bei weit höheren Temperaturen als etwa 420°C abgeschieden wird, ausschließt. Die Möglichkeiten zur Abscheidung der Isolatorschicht 16 beinhalten eine oder mehrere einer plasmaunterstützten CVD (PECVD), einer CVD bei Unterdruck (SACVD), einer CVD bei Atmosphärendruck (APCVD), einer CVD mit hochdichtem Plasma (HDPCVD), einer physikalischen Gasphasenabscheidung (PVD) oder einer Atomlagenabscheidung (ALD). Diese Schicht wird im Hinblick auf 27a bis c genauer erörtert.
  • Eine Schicht des Opferkavitätenmaterials 18 wie zum Beispiel Silicium, Wolfram, Tantal, Germanium oder eines beliebigen Materials, das anschließend selektiv entfernt werden kann, indem zum Beispiel XeF2-Gas auf die Isolatorschicht 16 oder den Draht 14, falls die Isolatorschicht 16 nicht vorhanden ist, angewendet wird, wird auf der Isolatorschicht 16 abgeschieden; oder auf der Schicht 14, falls die Isolatorschicht 16 nicht vorhanden ist. Bei Ausführungsformen wird Silicium für die Schicht 18 verwendet. Die Schicht 18 kann mithilfe einer beliebigen aus einer herkömmlichen Plasmagasphasenabscheidung (PVD), einer PECVD, einer schnellen thermischen CVD (RTCVD) oder einer LPCVD abgeschieden werden, die bei Temperaturen durchgeführt werden kann, die mit der Verdrahtung 14 vereinbar sind, z. B. < 420°C. Bei Ausführungsformen wird die Schicht 18 in einer Höhe von etwa 0,1 bis 10 Mikrometern abgeschieden, was durch die Anforderung des MEMS-Abstandes festgelegt wird, und wird mithilfe von herkömmlichen Schritten einer Lithographie und einer reaktiven Ionenätzung (RIE) strukturiert. In einem Beispiel würde eine Siliciumdicke von etwa 2,3 Mikrometern verwendet.
  • Ein verbreitetes RIE-Ätzgas für Silicium ist SF6, wobei das SF6 mit anderen Gasen wie zum Beispiel CF4, Stickstoff oder Argon verdünnt wird. Der zum Abscheiden der Siliciumschicht 18 verwendete Siliciumabscheidungsprozess kann Nähte zwischen Drähten und den Kanten von Drähten erzeugen, wie oben erörtert. Wenn diese Nähte oxidiert werden oder sonstige Verunreinigungen enthalten, sind sie während des Schritts des Ätzens der Siliciumschicht 18 oder während der abschließenden Austreibätzung der Siliciumkavität schwer zu ätzen. Um zu vermeiden, dass nach der Ätzung der Siliciumschicht 18 oxidierte Nähte auf dem Wafer zurückbleiben, kann eine Argonverdünnung kombiniert mit einer HF-Vorspannungsleistung auf den Wafer angewandt werden, um die Oberfläche gleichzeitig zu Sputtern und mit RIE zu Ätzen. Aufgrund einer schlechten Stufenabdeckung oder Konformität der Schicht 18 können sich Hohlräume 20 über den Abständen 14a zwischen den Drähten 14 ausbilden. Die Breite des Hohlraums 20, der Abstand von dem Substrat 10 und der Abstand von der Oberfläche des Siliciums 20a wird durch das Aspektverhältnis des Drahtes 14, die Konformität der Siliciumabscheidung und die Form der Isolatorschicht 16 bestimmt.
  • 27a bis 27c stellen verschiedene Formen der Isolatorschicht 16 über den Drähten 14 dar. Die in 27a dargestellten Drähte 14 sind mit einer Unterschneidung des AlCu unter der oberen TiN/TiAl3-Schicht 14' gezeichnet. Diese Unterschneidung tritt häufig während RIE-Prozessen an Metallen auf und erhöht gegebenenfalls die Schwierigkeit, eine gute Seitenwandbedeckung der Drähte 14 durch die Isolatorschicht(en) 16 zu erzielen. 27a stellt die Ausbildung der Isolatorschicht 16 mithilfe konformer Prozesse wie zum Beispiel einer LPCVD, APCVD oder SACVD dar. Diese konformen Abscheidungsprozesse stellen eine nahezu gleichmäßige Isolatordicke auf den oberen, seitlichen und unteren Flächen 16A, 16B und 16C bereit. Diese konformen Abscheidungsprozesse können, wenn sie bei Temperaturen durchgeführt werden, die mit einer Verdrahtung auf der Grundlage von Aluminium oder Kupfer vereinbar sind, z. B. bei unter 420°C, schlechte dielektrische Eigenschaften des Kondensators aufweisen, zum Beispiel einen hohen Leckstrom, Durchschlag bei niedriger Spannung oder eine schlechte TDDB-Festigkeit. Dieses Profil stellt eine Stufenausbildung 300 in dem Hohlraum 20 bereit. 27b stellt die Ausbildung der Isolatorschicht 16 mithilfe von PECVD oder PVD dar. Dieses Profil stellt eine „brotleibförmige” oder „doppelt verjüngte” Profilausbildung 305 in dem Hohlraum 20 bereit. Wenngleich diese „brotleibförmigen” Schichten nicht konform sind, können sie aufgrund ihrer Plasmaabscheidung ausgezeichnete dielektrische Eigenschaften des Kondensators aufweisen. Um den Hohlraum 20 zu verkleinern oder zu beseitigen, ist ein konisches Profil wünschenswert, wie in 27c dargestellt, das die Stufenabdeckung der Schicht 18 verbessert und den Hohlraum 20 verkleinert oder beseitigt.
  • Es können sich Ausnehmungen 19 (siehe z. B. 8 oder 9a) über den Hohlräumen oder Nähten 20 auf der Oberfläche der Schicht 18 zwischen den Drähten 14 ausbilden. Die Hohlräume 20 und Ausnehmungen 19 bilden sich aufgrund des Abstands zwischen den Drähten 14 aus, und sie können abhängig von der Höhe der Schicht 18 wie auch von dem Abstand und/oder der Höhe der Drähte 14 variieren. Diese Ausnehmungen 19 können sich während einer nachfolgenden Bearbeitung wie zum Beispiel einer chemisch-mechanischen Bearbeitung vertiefen, wie im Folgenden im Hinblick auf 8 erörtert wird. Diese Ausnehmungen 19 und Nähte können während einer nachfolgenden Bearbeitung wie zum Beispiel bei Aussetzen gegenüber feuchter Luft, einer Photolackablösung in einer Oxidationsumgebung oder einer Plasmaoxidabscheidung oxidieren, und diese oxidierten Siliciumbereiche werden während des abschließenden Siliciumaustreib- oder -entfernungsschritts nicht entfernt. Wenn dies geschieht, können diese oxidierten Siliciumrückstände, die sich unter dem MEMS-Arm befinden, den MEMS-Arm blockieren, sodass er die untere Elektrode (den unteren Draht) 14 nicht kontaktieren kann, was zu einer schlechten Betätigung führt. (Es wird z. B. auf Element 19a in 33 verwiesen.) Ein Verjüngen des Profils der Isolatorschicht 16 (27c) wie auch ein Beseitigen des Hohlraums durch Verbessern der Füllung der Zwischenräume bei der Siliciumabscheidung verringert oder beseitigt diese Auswirkung durch Beseitigen des Hohlraums und der Ausnehmung. Das Profil kann durch Abscheiden eines Oxids durch CVD mit hochdichtem Plasma als Teil der oder als gesamte Isolatorschicht 16 verjüngt werden (27c). Alternativ könnten eine Isolatorabscheidung und eine oder mehrere Rückätzungen durch Sputtern und nachfolgende Isolatorabscheidung(en) dasselbe verjüngte Profil der Isolatorschicht 16 erzeugen. Wie im Folgenden erörtert, kann die Siliciumabscheidung alternativ durch In-Situ-Sputtern der Siliciumschicht in der PVD-Silicium-Abscheidungskammer modifiziert werden, um das Siliciumprofil auf 45 Grad zu verjüngen.
  • Die Isolatorschicht 16 über dem Draht 14 dient außerdem dazu, eine Reaktion, ein Legieren oder eine Interdiffusion des Materials des Drahtes 14 und der Schicht (des Kavitätenmaterials) 18 zu blockieren. Wenn der Draht 14 beispielsweise Aluminium enthält, kann das Aluminium mit dem Silicium reagieren und ein Aluminiumsilicid bilden, das während des nachfolgenden Schritts des Austreibens oder der Entfernung der Schicht 18 (Opferschicht) schwer oder unmöglich zu entfernen ist. Diese Aluminiumsilicidbildung kann zum Beispiel in den oberen Drahtecken auftreten, da die Isolatorschicht 16 ein retrogrades Abscheidungsprofil aufweist (27b) oder eine geringe Abdeckung in der oberen Drahtecke aufweist (27c), was dazu führt, dass Aluminium der Abscheidung der Schicht 18 ausgesetzt ist. Wenngleich dieses Problem verringert oder beseitigt werden kann, indem die Dicke der Isolatorschicht erhöht wird, ist ein Erhöhen der Dicke aufgrund der damit verbundenen Verringerung der Kapazität des MEMS-Kondensators, der unter Verwendung des Drahtes 14 als untere Platte ausgebildet wird, nicht immer möglich. Zudem könnten Defekte in der Oberfläche oder den Ecken des Drahtes (nicht dargestellt) verhindern, dass die Isolatorschicht 16 das Aluminium vollständig überzieht. Diese Aluminium-Silicium-Reaktion kann zu vorstehenden, haarkristallartigen Aluminiumsilicid-Strukturelementen führen, die das Betätigen des MEMS-Arms ganz oder teilweise blockieren. Um diese Reaktion der Schichten 16 und 18 zu verhindern, kann eine konforme Barriere wie zum Beispiel ALD-Al2O3 (Aluminiumoxid), ALD-Ta2O5 (Tantalpentoxid) oder eine Kombination aus beiden abgeschieden werden. Bei einer exemplarischen Ausführungsform besteht die Schicht 16 aus 80 nm HDPCVD-Oxid gefolgt von 15 nm ALD-Aluminiumoxid. ALD-Schichten weisen äußerst geringe Abscheidungsgeschwindigkeiten auf, und wenngleich sie ausschließlich als MEMS-Kondensatordielektrikum verwendet werden könnten, kann dies aufgrund der langen Abscheidungszeiten und hohen Fertigungskosten nicht praktikabel sein. Eine ALD-Aluminiumoxidschicht weist eine Abscheidungsgeschwindigkeit von 1 nm pro Minute auf, was bedeutet, dass es 80 Minuten dauern würde, eine Schicht von 80 nm abzuscheiden. Daher ist die Verwendung einer Kombination aus schnell abscheidendem SiO2 und langsam abscheidendem Aluminiumoxid optimal. Es ist zu beachten, dass die Schicht aus ALD-Aluminiumoxid oder ähnlichem unter den 80 nm Oxid verwendet werden kann; und sie außerdem unter der oberen MEMS-Elektrode 38 verwendet werden kann, um eine Siliciumreaktion mit der oberen MEMS-Elektrode zu blockieren.
  • In 3a wird ein optionaler Bearbeitungsschritt zum Ausbilden eines dielektrischen Stiftes 16a (z. B. eines Oxidstiftes) gemäß Ausführungsformen der Erfindung dargestellt. In diesem optionalen Schritt kann der Oxidstift 16a vor der Ausbildung der Abscheidungsisolatorschicht 16 ausgebildet werden. Bei dem Oxidstift 16a kann es sich zum Beispiel um eine abgeschiedene PECVD-SiO2-Schicht handeln, die auf den Drähten 14 mithilfe herkömmlicher Lithographie- und Ätzprozesse strukturiert und geätzt wird. Bei dieser Variante könnte der Oxidstift 16a zuerst strukturiert und geätzt werden, gefolgt von einem Strukturieren und Ätzen des Drahtes 14; oder der Draht 14 könnte zuerst strukturiert und geätzt werden, gefolgt von einer Abscheidung und einem Ätzen des Oxidstiftes 16a. Durch Strukturieren und Ätzen des Oxidstiftes 16a vor dem Strukturieren und Ätzen des Drahtes 14 wird ein Vergrößern des Aspektverhältnisses 14a beim Übergang zur Abscheidung der Isolatorschicht 16 vermieden, da das Oxid zwischen den Drähten 14 während des Ätzens des Oxidstiftes 16a nicht geätzt wird. Wenn der Oxidstift 16a strukturiert und geätzt wird, nachdem die Drähte 14 strukturiert und geätzt worden sind, könnten zudem die RIE-Chemikalien auf der Grundlage von Perfluorcarbon, die zum Ätzen des Oxidstiftes 16a verwendet werden, auch die obere TiN-Schicht des Drahtes 14 ätzen, was zu einer verschlechterten Oberfläche und einer verschlechterten elektrischen Ausbeute oder Zuverlässigkeit des MEMS-Kondensators führen würde. Der Oxidstift 16a bildet, wenn er über den MEMS-Aktuatoren in Bereichen platziert wird, die von dem MEMS-Kondensator oder -Kontaktkopf entfernt sind, während des MEMS-Betriebs eine Schutzschicht aus, die verhindern kann, dass der Leiter in dem MEMS-Arm einen elektrischen Lichtbogen zu der unteren Aktuatorelektrode in Bereichen bildet, die der MEMS-Arm nicht benötigt, um einen engen Kontakt mit der unteren Elektrode herzustellen. Da in dem bevorzugten Prozess der Oxidstift vor dem Strukturieren und Ätzen des Drahtes 14 strukturiert und geätzt wird, ist es wünschenswert, dass vermieden wird, dass die Abstände 14a zwischen den Drähten 14 den Oxidstift schneiden. Nach der Ausbildung des Oxidstiftes 16a können die Isolatorschicht 16 und die Schicht 18 wie oben beschrieben ausgebildet werden.
  • Als optionale Bearbeitungsschritte kann die Schicht 18 beispielsweise mithilfe eines chemisch-mechanischen Polierens (CMP) planarisiert werden, und anschließend kann optional zusätzliches Material (Silicium) auf der polierten Schicht 18 abgeschieden werden, um eine nahtlose Siliciumschicht auf der Oberfläche der unteren Silicium-MEMS-Kavität bereitzustellen. Es ist zu beachten, dass ein herkömmliches CMP und nachfolgende Reinigungsprozesse wie zum Beispiel Bürstenreinigungen, Reinigungen mit verdünnter Flusssäure (DHF), mit gepufferter Flusssäure (BHF), Tieftemperaturreinigungen usw. nach jeglichem CMP-Schritt durchgeführt würden, um das native Oxid zu entfernen, das auf der Siliciumoberfläche ausgebildet worden ist. Unter Bezugnahme auf 4a wird zum Beispiel die Schicht 18 auf der Isolatorschicht 16 mithilfe eines herkömmlichen Abscheidungsprozesses wie zum Beispiel einer PVD abgeschieden. Wie in 4a dargestellt, können Hohlräume 20 in der Schicht 18 zwischen den Drähten 14 ausgebildet werden, wobei die Ausnehmungen 19 über den Hohlräumen 20 ausgebildet werden. Wie in 4b dargestellt, wird die Schicht 18 zum Beispiel mithilfe eines CMP-Prozesses planarisiert. In 4c wird eine zweite Schicht des Materials 22, z. B. Silicium, auf der planarisierten Schicht 18 abgeschieden. In 4d werden die Siliciumschichten 18 und 22, die nun eine einzige Schicht bilden (die im Folgenden als Schicht 18 bezeichnet wird) mithilfe herkömmlicher Schritte einer Lithographie und einer reaktiven Ionenätzung (RIE) strukturiert. In diesem Prozess der Siliciumabscheidung, des CMP und der zweiten Abscheidung werden die Ausnehmungen 19 in der Siliciumoberfläche beseitigt, die Gefahr, die Naht 20 zu oxidieren, beseitigt und die Topographie auf der Siliciumoberfläche aufgrund der Anfangstopographie des zulaufenden Drahtes 14 und des Drahtabstands 14a teilweise oder vollständig planarisiert.
  • Ein Satz beispielhafter Dicken würde einen 250 nm hohen Draht 14, einen Abstand 14a von 500 nm zwischen den Drähten 14, eine Dicke der ersten Siliciumabscheidung 18 von 2 Mikrometern, ein Entfernen von 400 nm Silicium durch CMP über den Drähten 14, um die Stufe von 250 nm über den Drähten 14 zu planarisieren, und eine anschließende Siliciumabscheidung 22 mit ausreichender Dicke aufweisen, um während der nachfolgenden umgekehrten Oxidplanarisierungsprozesse, die in 5 bis 8 dargestellt werden, teilweise auf dem Wafer zu verbleiben. Bei einer beispielhaften Ausführungsform werden 200 nm Silicium von den Bereichen über den Drähten 14 und erheblich weniger als 50 nm in den Abständen zwischen den Drähten 14 entfernt, wodurch die Bereiche über den Drähten 14 und den Abständen 14a teilweise planarisiert werden.
  • Üblicherweise wird ein Silicium-CMP durchgeführt, um Tiefgraben-DRAM-Kondensatoren nach dem Stand der Technik auszubilden. Bei diesem Typ des Silicium-CMP wird der CMP-Prozess optimiert, um die Selektivität gegenüber den Schichten des Pad-Isolators (z. B. SiO2 oder Oxid) auf der Wafer-Oberfläche so weit wie möglich zu erhöhen, d. h. die CMP-Rate des Siliciums wird so weit wie möglich erhöht, und die CMP-Rate des Oxids wird so weit wie möglich vermindert, sodass die Selektivität des Siliciums gegenüber dem Oxid 50:1 beträgt. Dieser Typ des Silicium-CMP-Prozesses ist optimal für Siliciumschichten geeignet, die mithilfe einer CVD abgeschieden worden sind, er kann jedoch Probleme bei Siliciumschichten verursachen, die mit einer PVD abgeschieden worden sind. Bei PVD-Siliciumschichten, die in herkömmlichen selektiven Silicium-CMP-Prozessen poliert werden, können Probleme durch Defekte in der PVD-Siliciumschicht auftreten, die dazu führen können, dass die lokale Polierrate abnimmt. Diese PVD-Siliciumdefekte, die aufgrund von oxidiertem Silicium, sonstigen Verunreinigungen oder des Korngefüges des Siliciums entstehen können, können dazu führen, dass bei dem selektiven Silicium-CMP-Prozess unterpolierte Punktfehlstellen auf der polierten Siliciumoberfläche zurückbleiben.
  • Um diese Punktfehlstellen während des Silicium-CMP zu vermeiden, kann ein weniger selektiver oder ein nichtselektiver Siliciumpolierprozess angewendet werden, z. B. mithilfe einer/eines SiO2-Polierchemikalie und -Prozesses anstelle einer/eines Silicium-CMP-Polierchemikalie und -Prozesses. Durch die Anwendung eines weniger selektiven Siliciumpolierprozesses werden diese nach dem Polieren vorhandenen Punktfehlstellen beseitigt. Ein Beispiel für eine selektive Siliciumpolierung ist ein Grundmedium wie zum Beispiel TMAH mit einem Siliciumdioxid-Poliermittel, das einen ausreichen hohen pH-Wert aufweist, um Silicium zu lösen, d. h. > 12, das eine Silicium:SiO2-Selektivität von 50:1 aufweist; ein Beispiel für eine nichtselektive Siliciumpolierung ist ein Grundmedium wie zum Beispiel KOH mit einem pH-Wert von < 12, der zu niedrig liegt, um das Silicium zu lösen, in dem ein Siliciumdioxid-Poliermittel verwendet wird. Dieser nichtselektive Silicium-CMP-Prozess würde Silicium:SiO2-Selektivitäten von unter 50:1 aufweisen und läge bei einer beispielhaften Ausführungsform im Bereich von 2:1 bis 1:2.
  • Um zu vermeiden, dass in den Hohlraum 20 hinein poliert wird, sollte die erste Siliciumabscheidung bevorzugt eine ausreichende Dicke aufweisen, um den Hohlraum unter der Oberfläche zu vergraben. Silicium ist gegenüber optischen Wellenlängen von Licht opak. Wenn in dem nachfolgenden Lithographieprozess, der zum Strukturieren des Siliciums angewendet wird, optische Wellenlängen verwendet werden, sollten in dem Silicium-CMP-Prozess weder Ausrichtungsstrukturen unter Verwendung der Topographie der Drahtebene; noch teilweise gefüllte Ausrichtungsstrukturen unter Verwendung der Damaszener-Ebene 12 vollständig planarisiert werden. Wenn in den nachfolgenden Lithographieprozessen Infrarotlicht oder sonstige Verfahren angewendet werden, die Strukturelemente unter dem Silicium feststellen können, sind diese Vorsichtsmaßnahmen nicht erforderlich.
  • Ein dünnes natives Oxid (z. B. SiO2) bildet sich auf jeder Siliciumoberfläche, die Luft oder Sauerstoff ausgesetzt wird, selbst bei Raumtemperatur. Wenn das Silicium während einer nachfolgenden Bearbeitung geätzt oder ausgetrieben wird, kann das Vorhandensein dieses nativen Oxids entweder die Ätzung oder Austreiben blockieren oder kann als SiO2-Schicht mit wenigen Monoschichten auf dem Wafer verbleiben. Um dies zu vermeiden, sollte entweder die Siliciumoberfläche mit Wasserstoff passiviert werden, indem das Silicium Dampf, Plasma oder flüssiger Flusssäure (HF) ausgesetzt wird, oder eine Vorreinigung beispielsweise mithilfe einer Vorreinigung durch Argon-Sputtern mit HF-Vorspannung sollte unmittelbar vor dem Abscheiden der zweiten Siliciumschicht 22 durchgeführt werden, ohne den Wafer Luft oder Sauerstoff auszusetzen.
  • Unter Bezugnahme auf 5 wird ein Isolatormaterial (z. B. ein Oxid) 24 auf der Schicht 18 abgeschieden. Bei der Oxidabscheidung kann es sich zum Beispiel um einen herkömmlichen konformen Abscheidungsprozess handeln, bei dem die Oxidschicht 24 auf eine Tiefe abgeschieden wird, die etwa der Höhe des Siliciums 18 entspricht, z. B. etwa 2,3 μm bei einer 2,3 Mikrometer dicken Schicht 18. Bei dem Abscheidungsprozess kann zum Beispiel bei 400°C ein PECVD-Oxid mithilfe von TEOS oder Silan als Siliciumquelle und Sauerstoff oder N2O als Sauerstoffquelle nach dem Stand der Technik abgeschieden werden. Wenn die Dicke der Oxidschicht 24 gezielt geringer als die Höhe der Siliciumschicht 18 ist, wird die Oberfläche der Siliciumschicht 18 in dem in 8 dargestellten nachfolgenden Oxid-CMP-Prozess überpoliert und planarisiert. Wenn die Dicke der Oxidschicht 24 gezielt größer als die Höhe der Siliciumschicht 18 ist, wird die Oberfläche der Siliciumschicht 18 in dem in 8 dargestellten nachfolgenden Oxid-CMP-Prozess umgekehrt unterpoliert, und sie bleibt unter der Oxidoberfläche vergraben. Beide Prozessvarianten können erwünscht sein, abhängig davon, wie wichtig das Minimieren des Überpolierens der Siliciumoberfläche 18 gegenüber dem Planarisieren der Oxidschicht 24 oder der Oberflächentopographie des Siliciums 18 von den Verdrahtungsebenen 14 ist. Bei einer beispielhaften Ausführungsform beträgt die Dicke der Siliciumschicht 18 etwa 2,3 Mikrometer, die Dicke der Oxidschicht 24 beträgt etwa 2,1 Mikrometer, und der in 7 dargestellte optionale Oxidrückätzungsschritt zielt auf die vollständige Entfernung des Oxids, d. h. > 2,1 Mikrometer, ab. Dies führt dazu, dass in dem nachfolgenden Oxidpolierschritt die Siliciumschicht 18 weiter planarisiert wird.
  • In 6 wird eine optionale Umkehrätzung (ein umgekehrter Damaszener-Prozess) gemäß Ausführungsformen der Erfindung durchgeführt. Genauer gesagt, ein Photolack 26 wird auf der Oxidschicht 24 abgeschieden und so strukturiert, dass er eine Öffnung 28 ausbildet, wobei die Photolackkanten 26a mit den Kanten der darunterliegenden Schicht 18 überlappen. Das heißt, der Photolack 26 maskiert die darunterliegende Schicht 18 geringfügig. Die Überlappung muss größer als 0 sein und kann zum Beispiel 3 Mikrometer betragen und wird so klein wie möglich gehalten, um die Oxidschicht 24 zu verkleinern, die verbleibt und während des nachfolgenden CMP-Prozesses planarisiert werden muss. Wenn die Überlappung negativ ist, ätzt die nachfolgende RIE-Ätzung in den unteren Abschnitt der Oxidschicht 24, was zu einem tiefen Graben angrenzend an die Siliciumschicht 18 führt, was Probleme wie zum Beispiel Metallrückstände von der anschließenden Verdrahtungsebene im Innern des tiefen Grabens verursachen könnte, was zum Kurzschluss der elektrischen Drähte auf nachfolgenden Ebenen führt und vermieden werden sollte. Wie dargestellt, handelt es sich bei der Öffnung um ein Umkehrbild der strukturierten Schicht 18.
  • Wie in 7 dargestellt, wird das Oxidmaterial 24 mithilfe von herkömmlichen RIE-Prozessen geätzt. Bei Ausführungsformen führt, wie in 7 dargestellt, der Ätzprozess zu einem „Bilderrahmen” 30, der die darunterliegende Schicht 18 umgibt. Wenn das Oxidmaterial 24 vollständig bis zu der Oberfläche der Schicht 18 weggeätzt wird, wird die Überpolierung des Oxids in von der Schicht 18 entfernten Bereichen so gering wie möglich gehalten. Dies kann erwünscht sein, um das Überpolieren der Schicht 18 so gering wie möglich zu halten, um ihre Dickentoleranz zu vermindern; und um die Gefahr zu beseitigen, dass Oxidrückstände über dem Silicium auf der MEMS-Kondensator- oder -Kontaktfläche verbleiben. Alternativ kann ein wenig Oxid über der Schicht 18 verbleiben, wie in 7 dargestellt.
  • In 8 wird das Oxidmaterial 24 planarisiert, z. B. so, dass es mit der darunterliegenden Schicht 18 planar ist (z. B. eine nahezu ebene oder planare Oberfläche aufweist). Bei Ausführungsformen wird durch diesen Prozess zudem die darunterliegende Siliciumschicht 18 planarisiert, was zweckmäßigerweise in nachfolgenden Bearbeitungsschritten zu einer planaren Kavitätenstruktur (die z. B. ebene oder planare Oberflächen aufweist) führt. Bei dem Planarisierungsprozess kann es sich zum Beispiel um einen CMP-Prozess handeln. Unerwartet, und wie unter Bezugnahme auf 25 genauer erörtert, kann die Oxid-CMP die Schwankung der darunterliegenden Schicht 18 stark verringern; zum Beispiel können durch das Polieren des Oxidmaterials 24 abhängig von dem Verdrahtungsabstand die Ausnehmungen zwischen den Drähten 14 (z. B. über dem zwischen den Drähten 14 ausgebildeten Abstand 14a) stark verkleinert werden.
  • 25 stellt verschiedene Topographiegraphen (d. h. Atomkraftmikroskopdaten) für die Tiefe von Siliciumausnehmungen im Verhältnis zu einer Oxidpolierung der Oberfläche der Schicht 18 dar, die in 8 dargestellt wird. Diese Graphen stehen mit dem Polieren der Oxidschicht 24 in Zusammenhang, die zum Beispiel in 8 dargestellt wird. In diesem Beispiel kann die Ausnehmung 19 in der Schicht 18 (siehe z. B. 3 und 8) bis zu 250 nm (0,25 μm) hoch sein, was der Dicke der Drähte 14 entspricht.
  • Die Graphen von 25 stellen ein CMP der Oxidschicht 24 über 30 Sekunden, 60 Sekunden und 90 Sekunden mit unterschiedlichen Verdrahtungsabständen 14a von 0,5 μm, 0,8 μm und 5,5 μm dar. Diese Graphen stellen die unerwartete Bedeutung des Verdrahtungsabstandes 14a der Drähte 14 dafür dar, die Schwankung der Topographie der Schicht 18 so weit wie möglich zu verringern. Beispielsweise ergeben ein Schlitz (Abstand) von 0,5 μm und ein CMP des Oxids über 30 Sekunden eine Ausnehmungstiefe von 2 nm in der Schicht 18 gegenüber 5 nm bzw. 10 nm bei einem CMP des Oxids über 60 Sekunden bzw. 90 Sekunden. Ferner ergeben ein Schlitz von 0,8 μm mit einem CMP des Oxids über 30 Sekunden eine Ausnehmungstiefe von 30 nm der Schicht 18 gegenüber 2 nm bzw. 8 nm bei einem CMP des Oxids über 60 Sekunden bzw. 90 Sekunden. Darüber hinaus ergeben ein Schlitz von 5,5 μm mit einem CMP des Oxids über 30 Sekunden eine Ausnehmungstiefe von 170 nm gegenüber 40 nm bzw. 10 nm bei einem CMP des Oxids über 60 Sekunden bzw. 90 Sekunden. Diese Ergebnisse waren nicht erwartet worden, da erwartet worden wäre, dass eine Verlängerung der CMP-Dauer des Oxids eine Optimierung, d. h. eine Verringerung der Ausnehmungstiefe, der Topographie der Schicht 18 ergäbe. Diese Ausnehmungen in der Schicht 18 werden unter dem MEMS-Arm repliziert, was zu einer Unterseitentopographie des MEMS-Arms führt. Weiterhin kann die Unterseitentopographie des MEMS-Arms, die sowohl aus abgeschiedenem Oxid als potenziell auch aus einer oxidierten Naht unter der Ausnehmung besteht, eine schlechte Haftung an dem MEMS-Arm aufweisen, was während des MEMS-Betriebs zum Abblättern führen kann. Dieses Abblättern kann aufgrund des Vorhandenseins von abblätterndem Oxid in der MEMS-Kavität unter oder über dem MEMS-Arm eine schwerwiegende Verschlechterung der Ausbeute und der Zuverlässigkeit des MEMS-Kondensators verursachen.
  • Dementsprechend beinhaltet ein Verfahren zum Verringern einer Ausnehmungstiefe oder der Schwankung der für eine MEMS-Struktur verwendeten Siliciumschicht das Festlegen eines Abstandes zwischen den auf der Siliciumschicht ausgebildeten Drähten. Das Verfahren beinhaltet des Weiteren das Ätzen einer Oxidschicht über einen vorgegebenen Zeitraum hinweg, um die Schwankung der Siliciumschicht so weit wie möglich zu verringern. Das Ätzen jedes Abstandes über einen vorgegebenen Zeitraum hinweg führt zu einer optimalen Struktur, verringert z. B. jegliche Schwankung in der Siliciumschicht. Die Ausnehmungen über der Schicht 18, die sich aufgrund der durch den Zwischenraum 14a in der Schicht 14 verursachten darunterliegenden Topographie über den Nähten oder den Hohlräumen in dem Silicium bilden, können die Quelle für Oxidrückstände unter dem MEMS-Arm nach dem Austreiben oder Freigabe sein. Beispielsweise können die Oxidschichten 24 oder 34 mithilfe eines PECVD-Prozesses, der ein oxidierendes Plasma enthält, und wahlweise bei etwa 350°C oder 400°C abgeschieden werden, was zu einer Oxidierung der Ausnehmung oder der Naht führt. Diese oxidierte Ausnehmung oder Naht CC kann, wie in 33 dargestellt, nach der Siliciumaustreibung auf der Unterseite des MEMS-Arms verbleiben, was zu einer Topographie unter dem MEMS-Arm führt, die entweder den MEMS-Arm teilweise daran hindern kann, einen Kontakt mit der unteren Kondensatorelektrode (dem Draht) 14 herzustellen, oder die während der Betätigung oder des Betriebs des MEMS-Arms auseinanderfällt oder abfällt und zu einer dielektrischen Beschädigung des MEMS-Kondensators führt. Die in 4b, 4c und 4d beschriebene optionale Ausführungsform, bei der die Schicht 18 poliert und mit einer zweiten Siliciumschicht 22 bedeckt wird, beseitigt dieses Problem.
  • Als in 9a dargestellter, optionaler Schritt kann das Oxidmaterial 24 in einer Dicke von etwa 3,3 μm abgeschieden werden, gegenüber den 2,3 μm, die in 5 dargestellt werden. Bei dieser Ausführungsform ähnelt die Tiefe der Oxidätzung derjenigen, die in 7 beschrieben wird, sie wäre jedoch etwa 1 μm tiefer und müsste die Oberfläche der darunterliegenden Siliciumschicht 18 freilegen. Die Ausnehmung 19 kann z. B. über den in der Schicht 18 dargestellten Hohlräumen 20 zwischen den Drähten 14 ausgebildet werden. Wie in 9a dargestellt, wird das dicke Oxidmaterial 24 auf den Seiten der Schicht 18 abgeschieden, strukturiert und geätzt und mithilfe eines CMP poliert. In 9b wird zum Beispiel eine Siliciumschicht 32 auf dem dicken Oxidmaterial 24 und der Schicht 18 abgeschieden. Wie zuvor erwähnt, sollte ein natives (oder jegliches) Oxid auf der Oberfläche der Schicht 18 vor der Abscheidung der nachfolgenden, in 9c dargestellten Siliciumschicht 32 vermieden werden.
  • In 9c werden die Siliciumschicht 32 (und Abschnitte des Oxidmaterials 24) mithilfe herkömmlicher Prozesse wie zum Beispiel eines CMP planarisiert, wodurch die Ausnehmungen beseitigt oder so weit wie möglich verkleinert werden können. Bei Ausführungsformen führt dieser Prozess zweckmäßigerweise in nachfolgenden Bearbeitungsschritten zu einer planaren Kavitätenstruktur (die z. B. ebene oder planare Oberflächen aufweist). Diese zusätzlichen Schritte, d. h. die Siliciumabscheidung, das CMP, die Abscheidung (4a bis 4c; 9a bis 9c) und die umgekehrte Damaszener-Oxid-CMP-Überpolierung (6 bis 8) oder die nichtumgekehrte Damaszener-Oxid-CMP-Überpolierung (5 und 8) bestimmen die Mikro- wie auch die Makrotopographie des MEMS-Arms. Die Mikrotopographie des MEMS-Arms aufgrund von Ausnehmungen über den Siliciumhohlräumen wird im Folgenden in Bezug auf 25 weiter erörtert.
  • Ein Beispiel für eine unerwünschte Makrotopographie sind gekrümmte Siliciumoberflächen 18a und 18b, die in 9d und 9e dargestellt werden. 9d stellt die Krümmung 18a der Siliciumoberfläche aufgrund einer nichtoptimierten Planarisierung dar und stellt insbesondere ein Beispiel für eine unerwünschte Makrotopographie dar. Diese konvexe 18a oder konkave 18b Krümmung der Makrotopographie in dem unteren Opferkavitätenmaterial 18 kann eine ,fixierte' Krümmung des freigegebenen MEMS-Arms und eine schlechte MEMS-Betätigung verursachen, d. h. der MEMS-Arm kann um das Opferkavitätenmaterial 18 herum gekrümmt sein, was zu einer starken Krümmung nach dem Freigeben des Arms und einer schlechten MEMS-Armbetätigung oder -Kontaktfläche führt. Die Krümmung der Siliciumoberfläche kann durch den Krümmungsradius ROC definiert werden. Ein ROC des Siliciums von weniger als 1 cm ist erwünscht, und höhere ROC-Werte als 5 cm führen zu einer Abnahme der Kapazität des MEMS-Kondensators um etwa 50% aufgrund der verringerten Kontaktfläche der MEMS-Kondensatoroberfläche und eines größeren Abstandes zwischen den beiden MEMS-Kondensatorplatten.
  • In 10a können, ausgehend von der Struktur entweder von 8 oder 9c, optionale Gräben 33 in der Siliciumschicht 18 über den Verdrahtungen 14 ausgebildet werden. Um sicherzustellen, dass das Silicium gleichmäßig geätzt wird, kann vor der Siliciumätzung ein optionaler Oxid-RIE-Prozess an den mit Photolack strukturierten Wafern durchgeführt werden. Darüber hinaus kann mit oder ohne den optionalen Oxid-RIE-Prozess eine HF-Reinigung mit Photolack auf dem Wafer durchgeführt werden, um die Siliciumoberfläche vor dem Ätzen des Siliciums mit Wasserstoff zu passivieren. Bei Ausführungsformen werden die Gräben 33 bis zu einer Tiefe von etwa 0,3 μm in der 2 Mikrometer hohen Schicht 18 (z. B. dem Opferkavitätenmaterial 18) ausgebildet; wenngleich abhängig von den Konstruktionsparametern und insbesondere von der Höhe der Schicht 18 andere Abmessungen durch die Erfindung in Betracht gezogen werden.
  • Wie bei den in 3a erörterten Oxidstiften 16a besteht der Zweck dieser Damaszener-Oxidstifte oder Gräben 33 darin, einen dielektrischen Puffer zwischen dem MEMS-Arm und der unteren Drahtebene 14 zu platzieren, um eine elektrische Lichtbogenbildung aufgrund der unmittelbaren Nähe der Drähte in dem MEMS-Arm und des Drahtes 14 während des MEMS-Betriebs zu verhindern. Eine Lichtbogenbildung könnte auftreten, wenn eine hohe Gleichspannung, d. h. 5 bis 100 V, an den MEMS-Aktuator beispielsweise in dem Draht 14 angelegt würde. Um die Gefahr einer elektrischen Lichtbogenbildung zu vermeiden, könnte die nachfolgende Metallschicht des MEMS-Arms, die in engem Kontakt mit dem Boden des Grabens 33 steht, entfernt werden, wie in 10b und 10c dargestellt. Bei einem Oxidstift 33a ist die nachfolgende Metallschicht 38 des MEMS-Arms aus der Konstruktion entfernt worden, dagegen ist bei einem Oxidstift 33b eine Metallschicht 38 in der Konstruktion belassen worden.
  • Die nachfolgende Metallschicht 38, die zum Ausbilden der unteren Elektrode des MEMS-Arms verwendet wird, kann so strukturiert werden, dass sie den Oxidstift 33 entweder bedeckt oder ihn unbedeckt lässt. Wenn er unbedeckt ist, ist die Wahrscheinlichkeit einer Lichtbogenbildung oder einer sonstigen dielektrischen Beschädigung zwischen den Aktuatorplatten verringert; wenn er bedeckt ist, d. h. wenn sich Metall hinunter in den Oxidstift 33 erstreckt, kann die Leistungsfähigkeit des Oxidstiftes, eine Lichtbogenbildung oder eine dielektrische Beschädigung am Aktuator zu verringern, vermindert sein. Wenn der Oxidstift 33 aufgrund des gewählten Bearbeitungsverfahrens nicht durch die Metallschicht 38 bedeckt ist und eine Stufe hinunter in den Stift vorhanden ist, kann eine dünne Metallzwischenlage entlang der Seitenwand des Oxidstiftes vorhanden sein. Da diese Metallzwischenlage nicht mit der Elektrode 38 in Kontakt steht, ist sie ohne Bedeutung.
  • Es kann eine Ecke am Boden des Oxidstiftes verwendet werden, die einen Winkel von nahezu 90 Grad aufweist oder abgerundet ist. Um den Boden des Stiftes abzurunden, was erwünscht ist, wenn das nachfolgende Metall 38 des MEMS-Arms über dem Stift vorhanden ist, kann die HF-Vorspannungsleistung an dem Wafer während des Siliciumätzprozesses auf der Grundlage von Argon-SF6 verringert oder beseitigt werden, und der Argonstrom kann verringert werden. Der Oxidstift 33 kann entweder vor oder nach dem umgekehrten Kavitätenplanarisierungsprozess strukturiert und geätzt werden. Wenn dies hinterher durchgeführt wird, wird seine Tiefenschwankung ausschließlich durch die Schwankung der Tiefe der Siliciumätzung gesteuert und nicht durch den Schritt der umgekehrten Kavitätenoxid-CMP-Planarisierung. Wenn dies vor dem Schritt der umgekehrten Kavitätenoxidplanarisierung und Oxidabscheidung durchgeführt wird, kommt aufgrund einer Schwankung bei der CMP-Entfernung eine Höhenschwankungskomponente hinzu, er wird jedoch mit planarisiertem Oxid gefüllt oder teilweise gefüllt, wodurch die Trennung oder der Abstand der nachfolgenden Metallebene 38 von der Aktuatormetallebene 14 erhöht wird, wenn der Oxidstift durch das Metall bedeckt wird.
  • In 11 wird eine Abscheidung eines oberen Kondensatordielektrikums oder -oxids auf der Struktur von 10a durchgeführt. Genauer gesagt, in diesem Abscheidungsschritt kann ein Oxidmaterial 34 in einer Höhe von etwa 80 nm abgeschieden werden; wenngleich andere Abmessungen durch die vorliegende Erfindung in Betracht gezogenen werden, wie zuvor erörtert. Wenn der MEMS-Arm betätigt wird, weist das MEMS-Kondensatordielektrikum die dielektrischen Schichten 16 und 34 auf, die aufgrund der Oberflächenrauheit und der Hügel der MEMS-Kondensatorelektroden durch einen kleinen Zwischenraum voneinander getrennt sind. Eine konische Durchkontaktierung 36 kann in den Oxidmaterialien 24 und 34 zu dem darunterliegenden Draht 14' ausgebildet werden. Die konische Durchkontaktierung 36 kann mithilfe herkömmlicher Lithographie-, Ätz- und Reinigungsprozesse ausgebildet werden, die Fachleuten bekannt sind. Es ist bei der konischen Durchkontaktierung darauf zu achten, dass die darunterliegende TiN-, TiAl3- oder AlCu-Oberfläche nicht oxidiert wird, was einen hohen Widerstand der Durchkontaktierung verursachen kann. Wahlweise kann die Photolackablösung nach dem RIE der Durchkontaktierung bei einer niedrigen Temperatur, d. h. bei 100°C, durchgeführt werden, um die Oxidierung so gering wie möglich zu halten. Alternativ könnte eine Damaszener-Wolframstift-Durchkontaktierung nach dem Stand der Technik gefertigt werden. Durch die Verwendung einer konischen Durchkontaktierung 36 wird das Aussetzen der Siliciumoberfläche gegenüber dem CMP verringert, was zu geringeren Dickenschwankungen des Siliciums 18 führt, ein Polieren und Beschädigen des oberen MEMS-Kondensatorisolators 34 vermeidet wie auch ein geringeres Risiko darstellt, dass eine tiefe Ausnehmung ausgebildet wird. Da die Dicke der Siliciumschicht 18 die Anzugsspannung der MEMS-Einheit festlegt, ist eine größtmögliche Verringerung ihrer Schwankung wünschenswert. Es ist zu beachten, dass die konische Durchkontaktierung 36 außerhalb des Bereichs der Siliciumkavität verwendet werden sollte, da die Oxidätzlösung, die zu ihrer Fertigung verwendet wird, durch die Siliciumschicht 18 blockiert würde, wenn sie im Inneren der Siliciumkavität platziert würde. Wenn der nachfolgende Metallabscheidungsprozess, der für den Draht 38 angewendet wird, eine schlechte Konformität oder Seitenwandabdeckung aufweist, muss das Aspektverhältnis der konischen Durchkontaktierung 36 niedrig sein, z. B. 0,5:1. Bei einem 2 Mikrometer dicken Isolator 24 könnte eine 4 Mikrometer breite konische Durchkontaktierung 36 verwendet werden. Wenn ein konformer Aluminiumprozess, d. h. ein heißer Reflow-PVD- oder -CVD-Prozess, verwendet würde, könnte alternativ ein höheres Aspektverhältnis für die konische Durchkontaktierung 36 verwendet werden.
  • In 12 wird ein Draht der Elektrode 38 über dem Oxidmaterial 34 ausgebildet und strukturiert und zudem innerhalb der Durchkontaktierung 36 abgeschieden, um einen Kontakt mit dem darunterliegenden Draht 14' herzustellen. Die Elektrode 38 kann auch in den Gräben 33 abgeschieden werden; die Elektrode wird jedoch zur Veranschaulichung nicht in dem Graben 33 von 12 dargestellt (wenngleich die Elektrode 38 in nachfolgenden Figuren in dem Graben ausgebildet dargestellt wird). Bei Ausführungsformen kann es sich bei der Elektrode 38 zum Beispiel um AlCu handeln; wenngleich auch andere Materialien durch die Erfindung in Betracht gezogen werden. Bei Ausführungsformen kann es sich bei der Elektrode 38 neben anderen Materialien um TiN, TiN oder W, Ru, Pt oder um Ir handeln. Die Dicke dieser und sonstiger Elektroden und/oder Drähte kann abhängig von den jeweiligen Konstruktionsparametern variieren. Beispielsweise könnten Ti-/AlCu-/Ti-/TiN-Schichten mit Dicken von 10 nm, 480 nm, 10 nm bzw. 32 nm verwendet werden, die nach einer Temperung bei 400°C TiAl3 unter und über dem AlCu ausbilden würden. Um jegliche Hügel so weit wie möglich zu verringern, kann bei Ausführungsformen eine optionale Ti-Schicht abgeschieden und/oder in direktem Kontakt mit Al ausgebildet werden, wie zuvor erörtert. In diesem Fall sollten die Hügel auf der unteren Fläche des Drahtes (der Elektrode 38) statt auf der oberen Fläche geringgehalten werden. Alternativ könnte die Elektrode 38 aus einem Edelmetall wie zum Beispiel Au; oder aus einem hochschmelzenden Metall wie zum Beispiel W oder Ta; oder ohne Ti-AlCu-Grenzfläche, z. B. aus Ti/TiN/AlCu/TiN ausgebildet werden.
  • In 13 wird ein Isolatormaterial 40 konform über der Elektrode 38 abgeschieden. Bei Ausführungsformen handelt es sich bei dem Isolatormaterial 40 um ein mithilfe eines beliebigen der oben erörterten Verfahren abgeschiedenes Oxid, das abhängig von den Anforderungen an die Federkonstante des Arms und das Dickenverhältnis des Oxids zum Metall in einer Höhe von etwa 0,5 bis 5 μm abgeschieden wird. Bei einer beispielhaften Ausführungsform handelt es sich bei dem Isolatormaterial um ein bei 400°C durch eine PECVD abgeschiedenes Oxid mit einer Dicke von 2 μm, und es weist eine eng tolerierte Eigenspannung und Dicke auf. Bei Ausführungsformen werden konische Durchkontaktierungen 42 in dem Isolatormaterial 40 ausgebildet, um Abschnitte der darunterliegenden Elektrode 38 in ähnlicher Weise wie bei den zuvor ausgebildeten Durchkontaktierungen 36 freizulegen. Alternativ könnten Wolframstift-Durchkontaktierungen gefertigt werden, wobei in Kauf genommen werden muss, dass sich die Dickenschwankungen der Schicht 40 aufgrund einer schwankenden Abtragung der Isolatorschicht 40 durch das CMP verschlechtert. Eine Schwankung in der Dicke der Isolatorschicht 40 oder in der Eigenspannung führt zu Schwankungen der Federkonstante und des Spannungsgefälles im gesamten MEMS-Arm, was sich nachteilig auf die Krümmung und die Biegung des Arms auswirken kann.
  • Wie in 14 dargestellt, wird eine obere Elektrode 44 über der Isolatorschicht 40 ausgebildet und strukturiert und zudem innerhalb der Durchkontaktierungen 42 abgeschieden, um einen Kontakt mit der unteren Elektrode 38 herzustellen. Bei Ausführungsformen wird die obere Elektrode 44 aus denselben Materialien wie die untere Elektrode 38 ausgebildet; bei einer beispielhaften Ausführungsform werden die oberen Elektroden 38 und 44 aus Ti/AlCu/Ti/TiN ausgebildet. Bei Wolframstift-Durchkontaktierungen lehrt der Stand der Technik, dass die oberste TiN-Schicht nach der Ätzung der Durchkontaktierungen auf den Drähten verbleiben sollte. Bei den konischen Durchkontaktierungen, die bei diesen MEMS-Strukturen verwendet werden, kann es erwünscht sein, die TiN-Schicht vor dem Abscheiden des Metalls der Elektroden 38 und 44, d. h. von Ti/AlCu/Ti/TiN, vollständig zu entfernen, indem sie entweder mithilfe einer TiN-RIE-Chemie weggeätzt oder mithilfe von Argon-Sputtern gesputtert wird, oder durch eine Kombination von beiden, um die Gefahr von Spitzenwerten beim Widerstand der Durchkontaktierungen zu beseitigen. Bei Ausführungsformen sollte das Metallvolumen der Elektroden 38 und 44 übereinstimmen oder im Wesentlichen übereinstimmen, um das Gesamtvolumen und die Spannung der Einheit ins Gleichgewicht zu bringen und folglich die Arme der MEMS-Strukturen keinen übermäßigen Spannungen auszusetzen. Das Metallvolumen wird durch die Metalldicke wie auch durch die Anordnung festgelegt. Wenn identische Anordnungen für die Elektroden 38 und 44 verwendet werden, würden sie dasselbe Volumen aufweisen, wenn ihre Dicken übereinstimmten. Wenn eine mit Schlitzen oder Löchern versehene Anordnung für die untere Elektrode 38 verwendet würde, müsste die Dicke der oberen Elektrode verringert werden, um das Metallvolumen anzugleichen. Bei Ausführungsformen kann die Dicke der unteren oder der oberen Elektrode 44 erhöht oder verringert werden, um gezielt ein Spannungsgefälle in dem Arm zu erzeugen, was dazu führen kann, dass der Arm nach der Freigabe aufwärts oder abwärts abgelenkt wird; oder um die Biegung des Arms durch Änderung der Temperatur zu ändern, wie im Folgenden erörtert wird. Die vorangegangene Erörterung unterstellt, dass die Elektroden 38 und 44 aus einer einzigen übereinstimmenden Metallschicht bestehen. Tatsächlich bestehen die Elektroden, wie oben erörtert, aus mehreren Schichten Metall, von denen jede einen anderen Wärmeausdehnungskoeffizienten (CTE) und andere sonstige mechanische Eigenschaften aufweist, und wenn die Anordnung oder die Dicke verändert werden, ist es nahezu unmöglich, ihre mechanischen Eigenschaften anzugleichen. Wenn der AlCu-Abschnitt der Elektroden 38 und 44 erheblich dicker als das hochschmelzende Metall oder sonstige Metallkomponenten ist, können der CTE und sonstige mechanische Eigenschaften durch diejenigen der AlCu-Schicht bis zur ersten Ordnung angenähert werden.
  • Wenn die Anordnung der oberen und unteren Elektroden 38 und 44 asymmetrisch oder unterschiedlich sind, könnte alternativ die Dicke der Elektrode mit einem niedrigeren Strukturfaktor (d. h. weniger Metall) erhöht werden, um das Metallvolumen auszugleichen. Ein Beispiel für eine asymmetrische obere und untere Elektrode wird in 28 dargestellt. In dieser Darstellung sind rautenförmige Formen (oder sonstige strukturierte Formen) aus der unteren MEMS-Elektrode 200 entfernt, die dazu platziert worden sind, die Wahrscheinlichkeit zu verringern, dass sich Metallhügel ausbilden. Da die Fläche der unteren MEMS-Elektrode 200 kleiner als die Fläche der oberen MEMS-Elektrode 210 ist, wäre das Volumen des Metalls in jeder Elektrode im Ungleichgewicht, wenn die Metalldicke der Elektroden 200 und 210 identisch wäre. Das Metallvolumen der oberen und unteren Elektroden auszugleichen, ist sowohl für Ausleger- als auch für Brücken-MEMS-Arme von Bedeutung, da der Wärmeausdehnungskoeffizient (CTE) des Metalls des Arms, z. B. Aluminium, erheblich höher als der CTE von SiO2 ist.
  • Bei Ausführungsformen könnten MEMS-Elektroden mit unterschiedlichen Flächen teilweise ausgeglichen werden. Wenn beispielsweise die untere MEMS-Armelektrode eine um 80% kleinere Fläche als die obere MEMS-Armelektrode aufwiese, könnte die Dicke der unteren Elektrode um 10% erhöht werden, um das Metallvolumen in den beiden Elektroden wieder teilweise ins Gleichgewicht zu bringen. Wenn das Metallvolumen in den beiden MEMS-Elektroden gezielt ins Ungleichgewicht gebracht wird, kann eine Biegung des MEMS-Arms nach Freigabe oder Austreiben verursacht werden, was dazu dient, den Arm aufwärts oder abwärts in eine erwünschte Position zu biegen; oder es kann die Biegung des MEMS-Arms bei einer Temperatur im Betrieb, z. B. –55°C bis 125°, oder in einem beliebigen normalen Bereich von Betriebstemperaturen von verkapselten Chips minimiert werden, wie im Folgenden erörtert wird. Der Betätigungsabstand der MEMS-Kavität wird vergrößert oder verkleinert, wenn sich der MEMS-Arm aufwärts oder abwärts biegt; und die Krümmung des Arms, die die Kontaktfläche verkleinern und die Kapazität verringern kann, kann sich ändern, wenn sich der MEMS-Arm bei sich ändernder Temperatur ausdehnt oder zusammenzieht. Es ist wünschenswert, die Krümmung des MEMS-Arms bei Betriebstemperaturen des Chips möglichst weit zu verringern, da die Betätigungsspannung umgekehrt proportional zu dem MEMS-Kavitätenabstand ist.
  • Wenn die Bewegung des ausgetriebene MEMS-Arms durch die Abdeckung eingeschränkt wird, entweder aufgrund der Abdeckungsniet AA oder weil die Abdeckung mit der Abdeckung BB verbunden ist (siehe 31), wird der MEMS-Arm nicht wie erwartet betätigt und ist teilweise oder vollständig funktionsunfähig. Das in 16 dargestellte regressive Abdeckungsoxidprofil weist das größte Ausmaß an Regressivität in den Ecken der Durchkontaktierungen 42 und 48 auf. Um dies zu verringern, können die Ecken der Durchkontaktierungen im Inneren der MEMS-Kavitäten 42 und 48 abgerundet oder abgeschrägt werden, wie in 32 dargestellt, was die Wahrscheinlichkeit verringert, dass das Abdeckungsoxid den MEMS-Arm festhält. 30e stellt eine nichtregressive Siliciumabscheidung mit konischen Seitenwandprofilen für die obere Siliciumkavität dar. Dieser konforme Siliciumabscheidungsprozess kann zum Beispiel erreicht werden, indem während der Siliciumabscheidung mehrere Schritte einer PVD-Siliciumabscheidung und einer Wafer-Rückätzung mit HF-Vorspannung bevorzugt in situ, d. h. in derselben Kammer, oder ex situ, d. h. zwischen einer Abscheidungs- und einer Rückätzungskammer wechselnd, durchgeführt werden, um ein Siliciumabscheidungsprofil mit einem Winkel von etwa 45 Grad zu erzielen. Nachdem der Winkel von 45 Grad erzielt worden ist, z. B. nach einer Nettoabscheidung von etwa 0,3 μm über einem 0,3 μm hohen Strukturelement (3) oder nach einer Nettoabscheidung von etwa 1 μm über einem erheblich tieferen Strukturelement (16), kann das Gleichgewicht der Abscheidung entweder aus einer normalen Siliciumschicht ohne Vorspannung oder aus einer Kombination aus dickeren Siliciumschichten ohne Vorspannung und weniger häufigen Rückätzungsschritten bestehen, die erforderlich sein können, um eine oxidierte Naht in dem Silicium aufgrund der darunterliegenden Topographie zu beseitigen. Das Ziel dieser Siliciumabscheidungs-/-rückätzungsprozesse besteht darin, sowohl eine regressive Überhangstruktur zu beseitigen als auch eine Naht in dem abgeschiedenen Silicium aufgrund der Anfangstopographie (35a) zu verringern oder zu beseitigen. (Dies steht im Vergleich zu 35b, die eine Oxidnaht an der Ecke der MEMS-Struktur darstellt.) Dieser Prozess der nichtregressiven PVD-Siliciumabscheidung kombiniert eine Abscheidung bei geringerem Kammerdruck für die Abscheidung auf dem Boden und den Seitenwänden und verwendet eine Ätzung bei höherem Kammerdruck, bei der eine HF-Vorspannung an den Wafer angelegt wird, um die Ätzung der Oberfläche und der Ecken so weit wie möglich zu verstärken. Diese Schritte einer Niederdruckabscheidung und einer Hochdruckrückätzung werden fortlaufend wiederholt, bis eine erwünschte Dicke erreicht ist. Bei einer beispielhaften Ausführungsform betragen die Dickenwerte des Niederdruckabscheidungsschrittes, z. B. bei < 6 mTorr, und des Hochdruckrückätzungsschrittes, z. B. bei > 10 mTorr, etwa 10 bis 50 nm für die Abscheidung und 5 bis 25 nm für die Ätzung, z. B. ist die Entfernung des zurückgeätzten Siliciums geringer als die abgeschiedene Dicke, und die Dicke der ersten Siliciumschicht kann, wie im Folgenden erwähnt, auf zum Beispiel 50 oder 100 nm erhöht werden, um ein Sputtern in die Ecken von Strukturelementen zu vermeiden. Zudem ermöglicht diese Abfolge eine erhöhte Schichtdichte auf der Seitenwand und den konischen Oberflächen. Die Bereiche der Oberfläche des Si wird dann so weit wie möglich verkleinert und dadurch das Ausmaß der Oberflächenoxidation verringert. Alternativ könnte ein gleichzeitiger PVD-Siliciumabscheidungs- und -rückätzungsprozess eingesetzt werden, bei dem eine Vorspannung an das Sputter-Target angelegt wird, um das Silicium zu sputtern, und eine Vorspannung an den Wafer angelegt wird, um Seitenwandwinkel von 45 Grad zu erzeugen. Dies ist entscheidend, um eine gleich bleibende Leistungsfähigkeit des Si in Bezug auf die Austreibung zu erzielen, da jegliche Oxidation die Austreibungsgeschwindigkeit der Si-Kavität verringert.
  • Der erwünschte Eckenwinkel von 45 Grad wird durch den wiederholten Rückätzungsschritt mit Argon-Sputtern erzielt, und nachdem er erzielt worden ist, könnte der Siliciumabscheidungsprozess zu einem normalen Abscheidungsprozess ohne Argon-Sputter-Schritte zurückkehren. Dieser Siliciumabscheidungsprozess bei angelegter Vorspannung könnte auch auf die untere Siliciumkavitätenschicht 18 angewendet werden, um Hohlräume und Nähte in dem Silicium zu beseitigen. Beim Sputter-Ätzen des Siliciums während des ersten Schichtabscheidungsschrittes ist darauf zu achten, ein Sputtern des Isolators oder sonstiger Materialien in den Ecken der Strukturelemente zu vermeiden. Die Ecke 405 in 30e könnte durch dieses Sputterverfahren in situ oder ex situ auf 45 Grad abgeschrägt werden, was zu einer Wiederabscheidung der Oxidschicht 46 in das Silicium führen würde, wodurch Schwierigkeiten bei einer Austreibung des Siliciums aufgrund des Vorhandenseins von SiO2 in dem Silicium auftreten würden. Um ein Sputtern der freigelegten Ecken während der ersten Siliciumabscheidung zu vermeiden, kann eine erste Siliciumschicht, z. B. 50 oder 100 nm, ohne Vorspannung abgeschieden werden.
  • Wenn der freigegebene MEMS-Arm erwärmt oder abgekühlt wird, biegt er sich aufwärts oder abwärts, da sich die Elektrode mit dem größeren Metallvolumen stärker ausdehnt oder zusammenzieht als die Elektrode mit dem geringeren Metallvolumen. 29 und Tabelle 2 stellen eine Biegung des MEMS-Brückenarms im Verhältnis zur Temperatur bei Armen, für die die in 28 dargestellte Anordnung verwendet wird, quantitativ dar. Wie oben erwähnt, biegt sich der MEMS-Arm aufgrund des unterschiedlichen CTE des Oxids und des Metalls in dem Arm. Das überwiegende Metall in dem Arm, z. B. Aluminium, weist eine Fließgrenztemperatur von 150 bis 250°C auf. Die Fließgrenztemperatur wird erreicht, wenn sich die Eigenspannung in dem Aluminium nicht mehr mit der Temperatur ändert, wie nach dem Stand der Technik bekannt ist. Bei der Fließgrenztemperatur kann die Biegung entweder verflachen oder, noch typischer, sich umkehren (29, Kurve B oder E). MEMS-Brückenarme mit ausgeglichenem Metallvolumen weisen eine minimale Biegung im Verhältnis zur Temperatur auf; Arme mit einem größeren Volumen der oberen Elektrode biegen sich mit steigender Temperatur aufwärts; Arme mit größerem Volumen der unteren Elektrode biegen sich im Verhältnis zur Temperatur abwärts. Es ist zu beachten, dass der Arm, wenn die Biegung des MEMS-Brückenarms ausreichend groß ist, durch die Abdeckung über dem MEMS-Arm oder die feste Elektrode unter dem MEMS-Arm eingeschränkt wird (29, Kurven A oder F). Eine Biegung, bei der die Gesamtbiegung so gering wie möglich gehalten wird, ist aus Gründen, die oben erörtert wurden, die wünschenswerteste Biegung des MEMS-Arms im Verhältnis zur Temperatur. Dies kann erreicht werden, indem MEMS-Armdicken so verwendet werden, dass das Biegeprofil des MEMS über den relevanten Temperaturbereich anfänglich aufwärts verläuft und anschließend abwärts verläuft, d. h. 29, Kurve C; oder umgekehrt. Um eine solche Biegekurve für den MEMS-Arm zu erzielen, kann es erforderlich sein, das Volumen der oberen und der unteren Elektrode gezielt ins Ungleichgewicht zu bringen.
  • Bei einer beispielhaften Ausführungsform beträgt das Verhältnis der Strukturfaktoren der unteren Elektrode 38 zur oberen Elektrode 44 0,8:1; das Oxid des Arms ist 2 μm dick, die untere Elektrode weist eine Gesamtdicke von 0,56 μm mit einer Dicke von nicht in Reaktion getretenem AlCu von 450 nm auf, und die untere Elektrode weist eine Gesamtdicke von 0,48 μm mit einer Dicke von nicht in Reaktion getretenem AlCu von 370 nm auf. Diese Kombination führt zu Elektroden 38 und 44 mit unausgeglichenem Volumen; d. h. das Volumenverhältnis der Elektroden 38 und 44 beträgt 0,93:1, und die minimierte Armbiegung im Verhältnis zur Temperatur ähnelt über den relevanten Temperaturbereich qualitativ der Kurve C in 29. TABELLE 2
    Kurve Dickenverhältnis untere:obere Elektrode (Anordnungsfläche der unteren Elektrode ist 20% kleiner als die der oberen Elektrode) Biegung am Fließgrenzpunkt des Metalls Bemerkung
    A 1:1,5 +3 μm Biegung aufwärts durch Abdeckung eingeschränkt
    B 1:1 +2,2 μm
    C 1:0,9 +0,8 μm
    D 1:0,8 –0,1 μm
    E 1:0,7 –1,0 μm
    F 1:0,5 –2 μm Biegung abwärts durch untere feste Elektrode eingeschränkt
  • Diese Biegung des MEMS-Arms nach der Freigabe kann zwei Probleme verursachen, wie oben erwähnt:
    Während des normalen Chip-Betriebs, z. B. zwischen etwa –55°C und 125°C, vergrößert oder verkleinert die Biegung des MEMS-Arms den Betätigungsabstand, was zu einer entsprechenden Änderung der Betätigungsspannung führt; und
    wenn der freigegebene MEMS-Arm auf hohe Temperaturen (z. B. > 150°C, z. B. 400°C) erwärmt wird, was infolge normaler Bearbeitung, nachdem das Opfermaterial ausgetrieben oder entfernt worden ist, wahrscheinlich ist, biegt sich der freigegebene MEMS-Arm aufgrund der unterschiedlichen Wärmeausdehnungen der oberen und unteren Elektroden des MEMS-Arms und des Oxids des Arms aufwärts, abwärts oder beides und wird, wenn die Biegung ausreichend groß ist, durch die Abdeckung über dem MEMS-Arm oder die feste Elektrode unter dem MEMS-Arm eingeschränkt. Das Einschränken des MEMS-Arms während des Temperns kann eine unerwünschte Krümmung ,fixieren' und zu einem MEMS-Arm führen, der gekrümmt (d. h. nicht eben) ist. Ein gekrümmter MEMS-Arm weist eine verkleinerte Kontaktfläche auf, was zu einer verringerten Kapazität führt. Wenn die Kraft, die durch den MEMS-Arm ausgeübt wird, wenn er entweder gegen die feste Elektrode unter dem Arm oder die Abdeckung über dem Arm drückt, zu groß ist, kann zudem entweder der MEMS-Arm oder die Abdeckung reißen und zu einem Totalausfall der MEMS-Einheit führen.
  • In 15 wird ein Isolatormaterial 46 auf der oberen Elektrode 44 und auf freigelegten Abschnitten des Isolatormaterials 40 abgeschieden. Bei Ausführungsformen wird das Isolatormaterial 46 in einer Dicke von etwa 80 nm abgeschieden; wenngleich auch andere Abmessungen durch die vorliegende Erfindung in Betracht gezogen werden. Um den MEMS-Arm auszugleichen, sollte das Isolatormaterial 46 über dem MEMS-Arm im Wesentlichen dieselbe Dicke wie das Isolatormaterial 34 unter dem MEMS-Arm aufweisen. Dieser Dickenausgleich der Schichten 34 und 46 sollte jegliche zusätzliche Dielektrikumabscheidung auf der Schicht 46 einbeziehen, die während des nachfolgenden Schritts der Abdichtung der Austreiböffnung durch Abscheidung eines Dielektrikums erfolgt. Eine Kavitätendurchkontaktierung 48 wird durch die Isolatormaterialien 34, 40 und 46 bis zu der darunterliegenden Schicht 18 durch Strukturieren und Ätzen durch die Isolatoren ausgebildet. Bei Ausführungsformen kann vor der nachfolgenden Siliciumabscheidung jegliches unerwünschte Oxid auf dem Silicium wie zum Beispiel natives Oxid, das durch Aussetzen des Siliciums 18 gegenüber Luft ausgebildet wird, zum Beispiel mithilfe einer HF-Säure gereinigt werden. Es ist wünschenswert, jedoch nicht erforderlich, dass der Seitenwandwinkel der Kavitätendurchkontaktierung 48 konisch ist, um die Seitenwandbedeckung der nachfolgenden Siliciumabscheidung zu verbessern und die Naht oder den Hohlraum in dem Silicium zu verringern.
  • In 16 wird eine Siliciumschicht 50 auf der Struktur von 15 abgeschieden. Bei Ausführungsformen kann die Siliciumschicht 50 in einer Dicke von etwa 4 μm abgeschieden werden; wenngleich auch andere Abmessungen durch die vorliegende Erfindung in Betracht gezogen werden. Wie in 16 dargestellt, wird die Siliciumschicht 50 so abgeschieden, dass sich die Topographie der Siliciumschicht 50 gemäß den darunterliegenden Strukturelementen ändert. Die Siliciumschicht 50 kann ein regressives Profil über den Durchkontaktierungen 42 und 48 hinterlassen. Während der nachfolgenden Oxidabscheidung kann das Oxid die regressiven Strukturen nietenartig füllen, sodass ein nietenförmiger Oxidstift über den Durchkontaktierungen 42 und 48 vorhanden ist. Dieses nietenförmige Oxidstrukturelement in der Abdeckung kann den MEMS-Arm nach der Freigabe festhalten. Um diese Fixierung des MEMS-Arms zu vermeiden, muss entweder der Prozess der Abscheidung der Siliciumschicht 50 so optimiert werden, dass diese Form vermieden wird (30e); oder es ist eine ausreichend dicke Schicht des Siliciums 50 erforderlich, um die Öffnungen der Durchkontaktierungen 42 und 48 abzuschnüren oder teilweise abzuschnüren (30d); eine Siliciumabscheidung, ein CMP und eine nachfolgende Siliciumabscheidung ähnlich wie die zuvor für die Siliciumschicht 18 erörterte oder eine Kombination der Obigen ist erforderlich. Wie in 16 dargestellt, stellt die Siliciumschicht 50 außerdem durch die Durchkontaktierung 48 einen Kontakt mit der darunterliegenden Schicht 18 her. Bei Ausführungsformen ist aufgrund der HF-Säurereinigung kein Oxid zwischen den beiden Schichten aus Silicium (z. B. Schicht 18 und Schicht 50) vorhanden. Bei optionalen Ausführungsformen weist die Siliciumschicht 50 eine anfängliche Dicke von 3 Mikrometern auf, es wird eine Dicke von 1 Mikrometer durch CMP entfernt und eine zweite Siliciumabscheidung durchgeführt, um die Dicke von 4 μm zu erreichen.
  • Bei einer in 17 dargestellten optionalen Ausführungsform kann die Siliciumschicht 50 einem optionalen Lithographie- und RIE-Prozess unter Verwendung einer umgekehrten Maske ähnlich dem oben beschriebenen unterzogen werden. Diese umgekehrte Maske würde einen Photolack über den Durchkontaktierungen 42 und 48 platzieren, sodass die Topographie, wenn das Silicium 50 mithilfe eines RIE- oder eines nasschemischen Siliciumätzprozesses und von nachfolgendem Ablösen des Photolacks und Reinigen zurückgeätzt würde, beim Übergang zu einem nachfolgenden CMP-Schritt verringert würde. Die Formen der umgekehrten Maske müssen die Öffnungen der Durchkontaktierungen 42 und 48 vollständig abdecken, sodass entlang ihrer Seitenwände keine Gräben geätzt würden, wie zuvor im Hinblick auf 6 erörtert.
  • 18a stellt das Strukturieren und Ätzen der Siliciumschicht 50 mithilfe ähnlicher Verfahren wie den zuvor im Hinblick auf 3 erörterten dar. In 18a wird die Siliciumschicht 50 einem CMP-Prozess, um die Siliciumoberfläche zu planarisieren oder teilweise zu planarisieren, und danach einer Reinigung unterzogen. Wie zuvor erwähnt, kann ein beliebiger Siliciumpolierprozess verwendet werden, und falls ein Prozess verwendet wird, der in Bezug auf SiO2 eine geringfügige oder keine Selektivität aufweist, wird die Wahrscheinlichkeit von Punktfehlstellen auf der Siliciumoberfläche beseitigt. Bei dieser Ausführungsform wird die Siliciumschicht 50 so strukturiert, dass die Siliciumschicht 50 innerhalb der zuvor ausgebildeten Durchkontaktierung 48 und den ausgebildeten Gräben 46 verbleibt. Bei Ausführungsformen kann die Siliciumschicht 50 mithilfe eines herkömmlichen CMP-Prozesses mit oder ohne Prozess zum Strukturieren und Ätzen mit einer umgekehrten Maske planarisiert werden. Entweder für das reine CMP oder für die Rückätzung mit umgekehrter Maske gefolgt von einem CMP könnte eine optionale zweite Siliciumabscheidung, der eine HF-Reinigung vorausginge, durchgeführt werden. Alternativ kann die Abscheidung der Siliciumschicht 50 so optimiert werden, dass sie die Durchkontaktierungen 42 und 48 konform ausfüllt; oder dass sie die Durchkontaktierungen 42 und 48 abschnürt, wie oben und im Folgenden erörtert wird. Dadurch wird sichergestellt, dass sich die nachfolgende Abdeckschicht, 54, nicht in ein nietenartiges Strukturelement erstreckt, das über den Durchkontaktierungen 42 und 48 ausgebildet wird, was potenziell zu Scheuern gegen einen MEMS-Arm führen kann, wie oben erörtert. Zudem führt dieser Prozess bei Ausführungsformen zweckmäßigerweise in nachfolgenden Bearbeitungsschritten zu einer planaren oder im Wesentlichen planaren Kavitätenstruktur (die z. B. ebene oder planare Oberflächen aufweist).
  • Der optionale Schritt von 17 kann die nachfolgende Ätzung/Planarisierung der Siliciumschicht 50 unterstützen. Es ist zu beachten, dass jegliches CMP oder jegliche sonstige Planarisierung der Siliciumschicht 50 nicht sämtliche Strukturelemente auf dem Wafer vollständig planarisieren darf, wenn für eine nachfolgende lithographische Ausrichtung eine optische Wellenlänge verwendet wird. Um eine vollständige Planarisierung zu vermeiden, könnten die Durchkontaktierungen 42 und 48 in Bereichen außerhalb der funktionalen integrierten Schaltung gestapelt werden, sodass das Silicium, selbst wenn es über den Durchkontaktierungen 42 und 48 planarisiert würde, nicht über den gestapelten Durchkontaktierungsstrukturen 42 und 48 planarisiert würde.
  • Wie in 19a dargestellt, kann das Oxidmaterial 52 so planarisiert werden, dass Oxid über der Siliciumschicht 50 verbleibt (19a), oder es kann planar mit der darunterliegenden Siliciumschicht 50 sein, ähnlich wie zuvor in 8 dargestellt. Unabhängig davon, ob die Oxidschicht 52 bis zurück zu der Oberfläche der Siliciumschicht 50 planarisiert wird, muss möglicherweise ein zusätzliches Dielektrikum abgeschieden werden, um die erforderliche Dicke der Oxidabdeckung über der MEMS-Kavität auszubilden, wie im Folgenden erörtert wird. Alternativ kann die Oxidschicht 52 teilweise planarisiert werden, wie in 19b dargestellt; oder unplanarisiert bleiben. Als optionaler Schritt, ganz ähnlich wie in 9a dargestellt, kann das Oxidmaterial in einer Dicke von etwa 5 μm, im Vergleich zu den 2,3 μm, abgeschieden werden, wobei zum Beispiel eine Si-Schicht auf dem dicken Oxidmaterial abgeschieden wird. Die Si-Schicht (und Abschnitte des Oxidmaterials 52) werden mithilfe von herkömmlichen Prozessen wie zum Beispiel einem CMP planarisiert. Der Prozess der Abscheidung des Oxidmaterials 52 sollte, entweder für die erste Oxidabscheidung oder für die gesamte Schicht, die Abstände der Drahtebene 44 zum Beispiel durch Abscheidung der ersten Oxidschicht mit HDPCVD-Oxid zum Füllen der Abstände, Abscheidungs-/Ätz-/Abscheidungs-Oxid oder Oxid auf der Grundlage von PECVD-TEOS ausreichend füllen, sodass Hohlräume in dem Oxid die durch CMP planarisierte Oxidoberfläche nicht schneiden. Bei diesen sämtlichen Ausführungsformen ist der in 18a dargestellte Schritt der Rückätzung mit umgekehrter Struktur optional.
  • Wenn die Siliciumschicht 50 nicht vollständig planarisiert wurde, wie in 16 dargestellt, folgt die Oberfläche der Oxidschicht 52 der Oberflächentopographie der Siliciumschicht 50, wie in 19c dargestellt. Bei der in 19c dargestellten Anfangstopographie könnte der Oxid-CMP-Schritt mit oder ohne den umgekehrten Damaszener-Rückätzschritt aufgrund des Vorhandenseins der Durchkontaktierungen 42 und 48 die Oberfläche der Oxidschicht 52 nicht vollständig planarisieren, was zu einem in 19d dargestellten Profil führen würde. Es ist zu beachten, dass über dem in 19d dargestellten Oberflächenprofil auch das in 19b dargestellte umfassende Profil angeordnet sein könnte.
  • Wenn in dem optionalen Oxidrückätzschritt bis hinunter zu der Siliciumoberfläche der Siliciumschicht 50 geätzt wurde, würde sich das Oxid über den Durchkontaktierungen 42 und 48 alternativ unter die Oberfläche der Siliciumschicht 50 erstrecken. Die Topographie über den Durchkontaktierungen 42 und 48 könnte zu Gräben in der Oberfläche des abschließend in Chips zerteilten Wafers führen, was Probleme bei der Zuverlässigkeit der Chips beispielsweise aufgrund von Wasseransammlungen in den Gräben während einer Feuchte-Druckbelastung der verkapselten Chips verursachen könnte. Um dieses Problem zu vermeiden, könnte die Oxidschicht 52 in einer solchen Dicke abgeschieden werden, dass die Öffnungen über den Durchkontaktierungen 42 und 48 abgeschnürt werden; oder die Oxidschicht 52 könnte so planarisiert werden, dass die abschließende Oberfläche ebener als in 19a ist.
  • Alternativ könnte die Maske zur Rückätzung mit umgekehrter Struktur so modifiziert werden, dass die Maskenöffnungen in Bereichen um die Durchkontaktierungen 42 und 48 entfernt werden. 19e stellt eine Draufsicht auf die Kavität 50, die Durchkontaktierung 42 und die Durchkontaktierung 48 dar. Wenn ein Rückätzprozess mit umgekehrter Struktur verwendet würde, bei dem die Durchkontaktierungen 42 und 48 blockiert wären (19f), würde das Oxid nicht um die Durchkontaktierungen 42 und 48 herum geätzt (19g), und es wäre einfacher, die Oberfläche der Oxidschicht 52 zu planarisieren oder teilweise zu planarisieren. Die optionalen Oxid-CMP-Prozesse, die verwendet werden, um die Oxidschicht 52 zu planarisieren oder teilweise zu planarisieren, können die Oberfläche zerkratzen. Ein Beispiel für einen Oberflächenkratzer RR wird in 19h dargestellt. Diese Oberflächenkratzer können als Ausgangsstellen für Rissbildung dienen, nachdem die Kavitätenopferschichten 18 und 50 des MEMS ausgetrieben oder entfernt worden sind. Um dieses Problem zu beseitigen, wird eine optionale zweite Dielektrikum- oder Oxidabscheidung durchgeführt, um die in 19h dargestellte Schicht 400 abzuscheiden.
  • In 20 wird auf der Oberfläche ein Oxidmaterial 54 dargestellt, das die Dicke der Abdeckung vor dem Austreiben des Siliciums festlegt. Das Oxidmaterial 54 kann vor der Austreibung zum Beispiel eine Dicke von etwa 3 μm aufweisen. Wenn die Oxidschicht 52 über der Siliciumschicht 50 nicht entfernt oder nicht vollständig entfernt worden wäre, würde die gesamte Oxiddicke der Schichten 52 und 54 die Dicke der Abdeckung vor der Austreibung des Siliciums festlegen. Bei Ausführungsformen wird eine Austreiböffnung 58 strukturiert und in der Oxidabdeckung geöffnet und legt so einen Abschnitt der darunterliegenden Siliciumschicht 50 frei. Es versteht sich, dass mehr als eine Austreiböffnung 58 in dem Oxidmaterial 54 ausgebildet werden kann. Die Austreiböffnung 58 kann mithilfe herkömmlicher Lithographie- und Ätzprozesse ausgebildet werden, die Fachleuten bekannt sind. Sämtliche in dieser Offenbarung erörterten strukturierten Strukturelemente werden mithilfe herkömmlicher Lithographiewerkzeuge, z. B. mit Steppern oder Proximity-Belichtern, unter Verwendung von Photomasken nach dem Stand der Technik strukturiert. Bei herkömmlicher Lithographie werden zusätzliche Strukturelemente auf den Masken eingesetzt, um die Größe von Strukturelementen, d. h. die Linienbreite, wie auch Registration oder Overlay zwischen dem Strukturelement, das gerade belichtet wird, und Strukturelementen vorheriger Ebenen auf dem Wafer zu messen. Diese zusätzlichen Strukturelemente werden üblicherweise in dem Aufteilungsbereich zwischen den aktiven Chips platziert, wenngleich sie auch innerhalb der Chips platziert werden können; oder es können aktive Chip-Strukturelemente verwendet werden. Um das gedruckte Strukturelement an die aktiven Strukturelemente innerhalb des aktiven Chips anzugleichen, ist es wichtig, jedoch nicht erforderlich, dass die Strukturelemente der vorherigen Ebene dupliziert werden. Wenn zum Beispiel für die Austreiböffnung 58 eine Struktur außerhalb des aktiven Chips zum Messen der Größe oder des Overlay der Strukturelemente verwendet wird, sollte sie über die obere Siliciumkavität 50 und optional die sonstigen Drähte innerhalb der Kavität gestapelt werden, sodass die Höhe über dem Wafer und die optischen Eigenschaften (d. h. Reflexion) des gemessenen Strukturelements dieselben wie innerhalb des aktiven Chips sind. Dies ist von besonderer Bedeutung für die Austreiböffnung 58, da sie eine relativ geringe Breite aufweist und sich die obere Kavität, abhängig von der Bearbeitung, die zum Planarisieren der oberen Kavität angewendet wird, 1 μm oder mehr über die umgebende Wafer-Oberfläche erstrecken kann, was insofern Probleme verursachen kann, als Photolackreste der Austreiböffnung 58 über die Kavität gedruckt werden, wenn die Breite des Photolacks der Austreiböffnung außerhalb der Kavität gemessen wird.
  • Die Breite und die Höhe der Austreiböffnung 58 legen die Menge des Materials fest, das nach der Austreibung des Siliciums abgeschieden werden sollte, um die Austreiböffnung abzuschnüren. Im Allgemeinen nimmt die Menge des Materials, das abgeschieden werden sollte, um die Austreiböffnung 58 abzuschnüren, mit abnehmender Breite der Austreiböffnung ab; und nimmt mit zunehmendem Aspektverhältnis der Austreiböffnung, bei dem es sich um das Verhältnis der Höhe der Austreiböffnung zur Breite handelt, zu. Bei Ausführungsformen hätte eine 3 μm dicke Abdeckung vor der Austreibung einen Durchmesser von 1 μm. Bei Ausführungsformen kann die Struktur und insbesondere die freigelegte darunterliegende Siliciumschicht 50 vor dem Austreiben des Siliciums mit einer HF-Lösung gereinigt werden. Wenn das Aspektverhältnis der Austreiböffnungen 58 zu hoch ist oder wenn zu wenige Austreiböffnungen vorhanden sind, ist es schwierig, eine Austreibung des Opferkavitätenmaterials 18 und 50 durchzuführen. Die Austreiböffnung kann rund oder nahezu rund sein, um die Menge des nachfolgenden Materials so gering wie möglich zu halten, das erforderlich ist, um sie abzuschnüren. Bei einer beispielhaften Ausführungsform ist die Austreiböffnung zu einem Achteck geformt, wodurch die Rechenanforderungen so weit wie möglich verringert wurden, wie oben erörtert.
  • Wenn die Abdeckung im Hinblick auf den MEMS-Kavitätenbereich entweder nach dem Austreiben oder während jeglicher nachfolgender Schichtabscheidung zu dünn ist, kann die Abdeckung über den entleerten oder ausgetriebenen Kavitäten aufgrund hoher Schichtspannungen oder, weil sich der MEMS-Arm während des Temperns aufwärts gegen die Abdeckung biegt, reißen oder sich ablösen. Eine Siliciumkavität von 500 μm mal 500 μm, die mit einer Oxidabdeckung von 1 μm bedeckt ist, wäre anfällig für Reißen oder Ablösen nach dem Austreiben oder nach der nachfolgenden Abscheidung von Abdichtungsschichten aufgrund der Eigenspannung des Abdeckungsoxids oder der Abdichtungsschichten; oder weil der freigegebene MEMS-Arm während des Ternperns aufwärts gegen die Abdeckung drückt. Bei einer beispielhaften Ausführungsform ist eine Oxidabdeckung von etwa 1 Mikrometer Dicke je 10.000 μm2 Kavitätenfläche erforderlich, um ein Reißen der Abdeckung nach dem Austreiben zu vermeiden.
  • In 21a werden die Siliciumschichten 50 und 18 durch die Austreiböffnung 58 ausgetrieben oder abgelöst. Bei Ausführungsformen kann das Ablösen (z. B. Ätzen) mithilfe eines XeF2-Ätzmittels durch die Austreiböffnung 58 durchgeführt werden. Die Ätzung löst sämtliches Material (Silicium) ab und bildet so eine obere Kavität oder Kammer 60a und eine untere Kavität oder Kammer 60b aus und ist selektiv gegenüber zahlreichen sonstigen Materialien, zum Beispiel SiO2. Wie in dieser Darstellung gezeigt, weisen die obere Kavität 60a und die untere Kavität 60b aufgrund der vorherigen Ätzschritte der Siliciumschichten 18, 50 planare oder nahezu planare Wände auf. Es kann eine optionale HF-Reinigung durchgeführt werden, um das native Oxid zu entfernen und die freigelegte Siliciumoberfläche vor dem Austreiben des Siliciums mit Wasserstoff zu passivieren.
  • Wie in 21b und 21c dargestellt, können die Austreiböffnungen 58 an mehreren Stellen ausgebildet werden, zu Abschnitten (freizulegenden Abschnitten) der oberen Siliciumschicht 50, der unteren Schicht 18 oder sowohl der oberen als auch der unteren Siliciumschicht 50, 18. Wie in 21b dargestellt, werden die Austreiböffnungen zum Beispiel sowohl innerhalb als auch außerhalb der Kavitätendurchkontaktierungen 48 ausgebildet. Die Austreiböffnungen 58 sollten entweder rund oder nahezu rund sein, um die Menge des Isolators so weit wie möglich zu verringern, der zu ihrer Abschnürung nach dem Austreiben benötigt wird. Achteckige Formen können anstelle von Kreisen zum Zeichnen der Austreibdurchlässe verwendet werden, um den Rechenaufwand so weit wie möglich zu verringern, der zum Verarbeiten der Konstruktionsdaten erforderlich ist, wie oben erörtert. Bei dieser Ausführungsform ist die Ätzgeschwindigkeit der Siliciumschicht 50 in dem oberen Abschnitt 59a höher als die der Siliciumschicht 18 in dem unteren Abschnitt 59b, sodass sichergestellt wird, dass der untere Abschnitt 59b keinen übermäßigen Spannungen ausgesetzt wird, wie in 21d dargestellt. (Der obere Abschnitt 59a und der untere Abschnitt 59b bilden die obere Kavität und die untere Kavität der MEMS-Struktur aus.)
  • 21d und 21e stellen ausführlichere Querschnittsansichten von 21b und 21c dar. Wie in 21d dargestellt, werden die Austreiböffnungen 58 zu Abschnitten sowohl der oberen als auch der unteren Siliciumschicht 50, 18 ausgebildet. Bei dieser Ausführungsform stützt die untere Schicht 18 sogar den oberen Abschnitt 59a, da sie mit einer langsameren Geschwindigkeit geätzt wird, wie in 21d dargestellt. In 21e können die Austreiböffnungen 58 an mehreren Stellen ausgebildet werden, jedoch vor allem zu der Schicht 18 (um sie freizulegen). Bei dieser Ausführungsform ist die Ätzgeschwindigkeit der Schicht 18 in dem unteren Abschnitt 59b höher als die der Siliciumschicht 50 in dem oberen Abschnitt 59b, was einen MEMS-Arm 60 einer möglichen zusätzlichen Spannung aussetzen kann (der MEMS-Arm 60 kann z. B. teilweise oder vollständig reißen oder ausreißen).
  • Wenn die Austreiböffnungen so angeordnet sind, dass die untere Kavität 18 schneller ausgetrieben wird als die obere Kavität 50, beispielsweise indem die Austreiböffnungen außerhalb der Durchkontaktierungen (Kavitätendurchkontaktierungen) 48 platziert werden, wie in 21c dargestellt, kann die untere Kavität vor der oberen Kavität ausgetrieben werden. Dies kann Probleme durch spannungsbedingte Rissbildung verursachen, wie in 21c dargestellt. Wenn die untere Kavitätenschicht 18 nahezu vollständig ausgetrieben ist, sich jedoch noch in der vollen Höhe der Kavität erstreckt und die obere Kavitätensiliciumschicht 50 nicht vollständig ausgetrieben ist und sich in der vollen Höhe der oberen Kavität erstreckt, kann eine Spannung aufgrund der Aufwärtsbiegung der Abdeckung und des Arms das Oxid 60 aus der unteren Kavität herausreißen, wie in 21c dargestellt. Aus diesen Gründen werden die Austreiböffnungen bevorzugt so über der oberen Kavität platziert, dass die obere Kavität vor der unteren Kavität ausgetrieben wird.
  • Eine abgeschrägte Ecke 405 der unteren Kavität A und der oberen Kavität B wird in 21f dargestellt (siehe auch z. B. 21b). Durch Abschrägen der Ecke der Kavität kann die Spannung nach dem Austreiben des Siliciums verringert werden, was die Gefahr verringert, dass die dielektrische Schicht aufgrund von Temperaturwechseln oder sonstigen Belastungen reißt. Es wird eine Abschrägung 405 mit einem Winkel von 45 Grad dargestellt; wenngleich ein beliebiger Abschrägungswinkel vorstellbar ist, zum Beispiel eine abgerundete Ecke (die ebenfalls durch das Bezugszeichen 405 dargestellt wird). Wie zuvor erwähnt, wird durch Abschrägen im Gegensatz zum Abrunden der Ecken die Berechnungskomplexität im Zusammenhang mit dem Überprüfen, dass die Anordnung nicht die Mindestvorgaben für Linien und Abstände verletzt, verringert. Die Durchkontaktierungen 42 und 48 innerhalb der Kavität können ebenfalls abgeschrägt werden, wie im Folgenden erörtert. In 21c können die Austreiböffnungen 58 an mehreren Stellen ausgebildet werden, sodass sie die Schicht 18 freilegen. Bei dieser Ausführungsform ist die Ätzgeschwindigkeit der Schicht 18 in dem unteren Abschnitt 59b höher als die der Siliciumschicht 50 in dem oberen Abschnitt 59b. Die Ecke jeglicher der Verdrahtungsebenen kann ebenfalls abgeschrägt sein, 14, 38, 44, wie in 22 dargestellt, um die Gesamtspannung zu verringern.
  • Wie in 22 dargestellt, kann die Austreiböffnung 58 mit einem Material 62 wie zum Beispiel einem Dielektrikum oder einem Metall abgedichtet werden. Wenn das Abdichtungsmaterial 62 als Schicht innerhalb der Kavität auf dem Arm abgeschieden wird, kann es potenziell die Spannung des MEMS-Arms ins Ungleichgewicht bringen und zudem die Abdeckung in Bereichen um Durchkontaktierungen mit dem Arm verbinden, wie hierin erörtert und durch 250 in 31 dargestellt. Um dieses Problem zu vermeiden, sollten bei Ausführungsformen, bei denen das Abdichtungsmaterial der Austreiböffnungen innerhalb der Kavität abgeschieden wird, die Austreiböffnungen weit genug von den Durchkontaktierungen entfernt platziert werden, z. B. weiter als 1 Mikrometer oder bei einer beispielhaften Ausführungsform weiter als 5 Mikrometer, sodass der freigegebene MEMS-Arm durch die Abdichtungsabscheidung für die Austreiböffnungen nicht mit der Abdeckung verbunden wird. Alternativ können die Austreiböffnungen in Kavitätenbereichen platziert werden, die von dem MEMS-Arm entfernt sind, sodass kein Abdichtungsmaterial für die Austreiböffnungen auf dem freigegebenen MEMS-Arm abgeschieden wird. Als Nächstes wird eine optionale Schicht 64 abgeschieden, um eine hermetische Abdichtung bereitzustellen. Bei der Schicht 64 könnte es sich zum Beispiel um eine 500 nm dicke PECVD-Siliciumnitridschicht oder um sonstige bekannte Schichten handeln, um eine hermetische Abdichtung über der Oxidschicht 62 bereitzustellen.
  • In 23a wird eine abschließende Durchkontaktierung 66 in der Struktur von 22 geöffnet. Bei Ausführungsformen legt die Durchkontaktierung 66 die darunterliegende Elektrode 44 frei. Bei Ausführungsformen wird die Durchkontaktierung 66 mithilfe herkömmlicher Lithographie- und Ätzprozesse ausgebildet. Bei weiteren Ausführungsformen kann vor dem Ausbilden der Durchkontaktierung zum Beispiel eine optionale Polyimidschicht 68 auf der Nitriddeckschicht 64 abgeschieden werden. Ein Problem beim Ausbilden dieser abschließenden Durchkontaktierung besteht aufgrund der Planarisierung der oberen Siliciumkavität in ihrer Höhe, die im Bereich von 6 bis 12 μm liegen kann. Lange RIE-Schritte an Dielektrika führen zu Problemen für RIE-Werkzeuge infolge einer Überhitzung der Kammer oder sonstiger Probleme oder einfach, weil sie Bearbeitungszeiten von nur wenigen Teilen pro Stunde aufweisen und kostspielig sind.
  • 23b und 23c stellen alternative Prozesse zum Ausbilden der Durchkontaktierung dar. Beispielsweise kann eine Teildurchkontaktierung 66a gleichzeitig mit der Austreiböffnung 58 ausgebildet werden. Nach der Ausbildung der Austreiböffnung 58 (und der nachfolgenden Reinigung der Siliciumschicht 50, 18) kann die Austreiböffnung 58 mit einem dielektrischen Material 62 und einer Nitridkappe 64 abgedichtet werden. Diese Möglichkeit, bei der die abschließende Durchkontaktierung 66 durch Verwendung zweier getrennter Strukturierungs- und Ätzschritte ausgebildet wird, verringert die gesamte Ätzdauer, die zur Fertigung der MEMS-Einheit erforderlich ist, und schrägt außerdem den Winkel der abschließenden Durchkontaktierung ab, wodurch die Pb-freie Füllung von Zwischenräumen zwischen Unebenheiten verbessert wird. Bei Ausführungsformen kann eine optionale Polyimid- oder sonstige Polymerbeschichtung wie das Material 68 nach dem Stand der Technik auf der Nitridkappe 64 abgeschieden werden. Das dielektrische Material 62, die Nitridkappe 64 und das Polyimidmaterial 68 würden auch in der Teildurchkontaktierung 66a ausgebildet. Der verbleibende Abschnitt der Durchkontaktierung 66b kann anschließend durch Ätzen durch das dielektrische Material 62, die Nitridkappe 64 und das optionale Polyimidmaterial 68 bis zu der darunterliegenden Elektrode 44 ausgebildet werden. Wie in dieser Darstellung gezeigt, weist die Teildurchkontaktierung 66a einen größeren Querschnitt als die Durchkontaktierung 66b auf. Die Durchkontaktierung 66a kann zum Beispiel einen Querschnitt von etwa 60 Mikrometern (z. B. im Durchmesser) aufweisen; die Durchkontaktierung 66b weist dagegen eine kleinere Abmessung auf, z. B. 54 Mikrometer. Zudem kann die Gesamthöhe der Durchkontaktierung (die aus den Durchkontaktierungen 66a und 66b gebildet wird) etwa 9 Mikrometer betragen. Bei Ausführungsformen ist die optionale Polyimidöffnung kleiner als die Oxidöffnung, z. B. 48 Mikrometer, um die Ecken der Oxid-/Nitridgrenzfläche an der Drahtecke zu bedecken.
  • 24a bis 24f stellen verschiedene Draufsichten auf die gemäß der Erfindung gefertigten Strukturen dar. 24a bis 24c stellen unterschiedliche Querschnittsansichten einer ersten Struktur gemäß der Erfindung dar; 24d bis 24f stellen dagegen unterschiedliche Querschnittsansichten einer zweiten Struktur gemäß der Erfindung dar. Genauer gesagt, 24a stellt eine Draufsicht auf eine Auslegerarmstruktur dar, die eine obere Kavität 200a und eine untere Kavität 200b aufweist. Eine Kavitätendurchkontaktierung 210 erstreckt sich zwischen der oberen Kavität 200a und der unteren Kavität 200b. Bei Ausführungsformen handelt es sich bei der Kavitätendurchkontaktierung 210 um eine Durchkontaktierung mit der Form eines „U” oder „||”, wenngleich auch andere Formen durch die vorliegende Erfindung in Betracht gezogen werden. Die Breite der Kavitätendurchkontaktierung 210 kann zum Beispiel etwa 0,1 bis 100 Mikrometer betragen, die Länge der Durchkontaktierung beträgt dagegen etwa 1 bis 1.000 Mikrometer. Bei einer beispielhaften Ausführungsform ist die Kavitätendurchkontaktierung 210 4 Mikrometer breit und 100 Mikrometer lang. Wie erörtert, wird eine schmale Kavitätendurchkontaktierung, z. B. mit einer Breite von 2 μm, während der oberen Siliciumkavitätenabscheidung abgeschnürt, wenn sie ausreichend dick ist, z. B. 5 μm, wodurch die Ausdehnung des Abdeckungsoxids in die Durchkontaktierung verringert wird.
  • Die oberen und unteren Kavitäten 200a und 200b können, wie zuvor hierin beschrieben, entweder dieselbe Größe oder unterschiedliche Größen aufweisen. Die CMP-Bearbeitung, die zum Ausbilden der planaren unteren Kavität, dargestellt als 200b, verwendet wird, kann zu einer Oberflächenkrümmung auf der Kante der Kavität führen. Um zu verhindern, dass diese Oberflächenkrümmung den Boden des MEMS-Arms krümmt, sollte die Kavitätendurchkontaktierung 48 so platziert werden, dass sich die Innenkante jenseits der Krümmung und über dem ebenen Abschnitt der unteren Kavität befindet.
  • 24b stellt ebenfalls die Kavitätendurchkontaktierung 210 dar, die sich zwischen der oberen Kavität 200a und der unteren Kavität 200b erstreckt. Darüber hinaus stellt 24b erste und zweite Aktuatoren 215 parallel dar. Ein Kondensatorkopf 220 wird in Beziehung zu den ersten und zweiten Aktuatoren 215 bereitgestellt, bei dem es sich um eine untere feste Kondensatorplatte gemäß Ausführungsformen der Erfindung handeln kann. Diese Drähte, d. h. 215 und 220, werden mit der Schicht 14 ausgebildet, wie in 22 dargestellt. Fachleute erkennen, dass es sich bei den ersten und zweiten Aktuatoren (Elektroden) 215 um die oben beschriebenen elektrischen Drähte handeln kann. Bei Betätigung, d. h. beim Anlegen einer ausreichenden Gleichspannung, verursachen die ersten und zweiten Aktuatoren (Elektroden) 215 eine Biegung eines MEMS-Arms.
  • 24c stellt die Kavitätendurchkontaktierung 210 dar, die sich zwischen der oberen Kavität 200a und der unteren Kavität 200b erstreckt. Darüber hinaus stellt 24c erste und zweite Aktuatoren 215a parallel dar. Ein Kondensatorarm und -kopf 220a wird in Beziehung zu den ersten und zweiten Aktuatoren 215a bereitgestellt, bei dem es sich um eine untere feste Kondensatorplatte gemäß Ausführungsformen der Erfindung handeln kann. Der Kondensatorarm und -kopf 220a erstreckt sich von der Kante der Kavität zu dem Kondensatorkopf zwischen den ersten und zweiten Aktuatoren 215a. Der MEMS-Kondensator ist dort ausgebildet, wo das Element 220 in 24b das Element 220a in 24c schneidet. Die Aktuatoren 215a und der Kondensatorarm und -kopf 220a in 24c bestehen aus den Drähten 38 und 44 in 22 und sind, wie dargestellt, durch die unten erörterten Durchkontaktierungen 228 verbunden.
  • Darüber hinaus stellt 24c elektrische Durchkontaktierungen 228 dar, die mit dem unteren und oberen Draht des Auslegerarms verbunden sind. Die elektrischen Durchkontaktierungen 228 können auch mit dem Kondensatorarm 220a verbunden sein, der sich zwischen den Aktuatoren 215a erstreckt. Diese Durchkontaktierungen werden als 42 in 22 dargestellt.
  • Oxidstifte 225 werden unter dem Arm bereitgestellt und können sich bis zu dem Kondensatorarm 220a wie auch zu den Aktuatoren 215a erstrecken. Diese Oxidstifte 225 könnten sich auch über den Aktuatoren 215 in 21b befinden. 24c stellt außerdem Oxidstifte 225 unter dem Arm dar. Bei diesen Oxidstiften handelt es sich um das Element 33 in 22. Im Betrieb verursachen die Elektroden 215a bei Betätigung eine Biegung eines MEMS-Arms. Im normalen MEMS-Betrieb wird eine Betätigungsspannung zwischen den Aktuatoren 215 und 215a angelegt. Der Aktuator 215 könnte zum Beispiel geerdet werden, und es könnten 50 V an den Aktuator 215a angelegt werden; –25 V könnten an den Aktuator 215 angelegt werden, und 25 V könnten an den Aktuator 215a angelegt werden; 50 V könnten an den Aktuator 215 angelegt werden, und der Aktuator 215a könnte geerdet werden; usw. Diese MEMS-Anordnungen weisen vier getrennte Eingänge auf: einen unteren Kondensatoreingang, einen oberen Kondensatorausgang, einen unteren Aktuator und einen oberen Aktuator. Diese vier Elektroden könnten nach dem Stand der Technik kombiniert werden. Beispielsweise könnten der obere Aktuator 215a und der Kondensator 220a aus einem einzelnen verbunden Draht bestehen; die Elektrode des unteren Aktuators 215 und des unteren Kondensators 220 könnte aus einem einzigen Draht bestehen; oder beides. Für diese einfacheren 2 oder 3 Eingabeeinheiten müssten ein Wechselspannungssignal und eine Gleichspannungsbetätigung zum Beispiel mithilfe von Spulen, die mit Masse verbunden sind, oder Gleichspannungen an den Elektroden entkoppelt werden.
  • 24d bis 24f stellen verschiedene Querschnittsansichten einer zweiten Struktur gemäß der Erfindung dar. Genauer gesagt, 24d stellt eine Draufsicht auf eine Auslegerarmstruktur dar, die eine obere Kavität 300a und eine untere Kavität 300b aufweist. Eine Kavitätendurchkontaktierung 310 erstreckt sich zwischen der oberen Kavität 300a und der unteren Kavität 300b. Bei Ausführungsformen weist die Kavitätendurchkontaktierung 310 parallele Streifen auf, wenngleich auch andere Formen durch die vorliegende Erfindung in Betracht gezogen werden. Die Breite der Kavitätendurchkontaktierung 310 kann zum Beispiel etwa 0,1 bis 100 Mikrometer betragen, die Länge der Durchkontaktierung beträgt dagegen etwa 1 bis 1.000 Mikrometer. Bei einer beispielhaften Ausführungsform ist die Durchkontaktierung 310 4 Mikrometer breit und 100 Mikrometer lang.
  • 24e stellt ebenfalls die Kavitätendurchkontaktierung 310 dar, die sich zwischen der oberen Kavität 300a und der unteren Kavität 300b erstreckt. Darüber hinaus stellt 24e erste, zweite und dritte Aktuatoren 315 dar. Bei Ausführungsformen befinden sich die ersten und zweiten Aktuatoren parallel zueinander, und bei dem dritten Aktuator handelt es sich um einen unteren Aktuator. Ein Kondensatorkopf 320 befindet sich zwischen den ersten und zweiten Aktuatoren und dem dritten (unteren) Aktuator. Bei dem Kondensatorkopf 320 kann es sich um eine untere feste Kondensatorplatte gemäß Ausführungsformen der Erfindung handeln. Diese Drähte, d. h. 315 und 320, werden mit der Schicht 14 ausgebildet, wie in 22 dargestellt. Fachleute erkennen, dass es sich bei den ersten, zweiten und dritten Aktuatoren (Elektroden) 315 um die oben beschriebenen elektrischen Drähte handeln kann. Bei Betätigung verursachen die ersten, zweiten und dritten Aktuatoren 315 eine Biegung eines MEMS-Arms.
  • 24f stellt die Kavitätendurchkontaktierung 310 dar, die sich zwischen der oberen Kavität 300a und der unteren Kavität 300b erstreckt. Darüber hinaus stellt 24f erste, zweite und dritte Aktuatoren (Elektroden) 315a dar,. Ein wird in Beziehung zu den ersten, zweiten und dritten Aktuatoren (Elektroden) 315a bereitgestellt. Der Kondensatorkopf und -arm 320a erstreckt sich zwischen den ersten und zweiten Aktuatoren 315a. Die Aktuatoren 315a und der Kondensatorarm und -kopf 320a in 24f bestehen aus den Drähten 38 und 44 in 22.
  • Darüber hinaus stellt 24f elektrische Durchkontaktierungen 328 dar, die mit dem unteren und oberen Draht des Auslegerarms verbunden sind. Die elektrischen Durchkontaktierungen 328 können auch mit dem Kondensatorarm 320a verbunden sein. Oxidstifte 325 werden unter dem Arm bereitgestellt und können sich bis zu dem Kondensatorarm 320a wie auch zu dem unteren Aktuator 315c erstrecken. Im Betrieb verursachen die ersten, zweiten und dritten Aktuatoren (Elektroden) 315 bei Betätigung eine Biegung eines MEMS-Arms. Genauer gesagt, der untere Aktuator legt die Spannung an die Aktuatoren (Elektroden) an.
  • In beiden Fällen beinhaltet der MEMS-Arm ein(en) Metall/Isolator/Metall mit einer zusätzlichen dünnen Isolatorschicht unter und über dem Stapel, wenn es sich bei der MEMS-Einheit um einen Kondensator handelt. Bei einer beispielhaften Ausführungsform würde eine Dicke des unteren und oberen Metalls von 0,5 Mikrometern und eine Isolatordicke von 2 Mikrometern mit einer 80 nm dicken Isolatorschicht über und unter dem Arm verwendet, wenn es sich bei der Einheit um einen Kondensator handelte. Darüber hinaus würden die Aktuatoren 215 (24a bis 24c) oder die Aktuatoren 315 (24d bis 24f) an Masse angeschlossen, sodass der MEMS-Arm, wenn die Betätigungsspannung an die Aktuatoren angelegt wird, betätigt und abwärts gebogen würde, wie nach dem Stand der Technik bekannt ist. Alternativ könnte die Betätigungsspannung an die Betätigungselektroden in 24c und 24f angelegt werden, und die Aktuatoren in 24b und 24c würden geerdet. Bei einer weiteren Ausführungsform würden die Aktuatoren und der Kondensator miteinander verbunden und müssten mithilfe einer Gleichspannungsmasse wie zum Beispiel einer Spule geerdet werden.
  • 30a bis 30e stellen die Oberflächentopographie des oberen Kavitätensiliciums 50 dar, nachdem ein nichtkonformer Siliciumabscheidungsschritt durchgeführt worden ist, der die Öffnungen infolge der elektrischen Durchkontaktierung 42 und der Kavitätendurchkontaktierung 48 nicht abgeschnürt hat. Eine PVD-Siliciumabscheidung ohne Vorspannung würde ein ,brotleibförmiges' Profil nach dem Stand der Technik ausbilden, wie in 30a dargestellt. 30a bis 30e stellen außerdem die Oxidstifte 16a dar. Die Siliciumschicht 50 bedeckt die Seitenwände der Durchkontaktierungen regressiv, d. h. mit einer Unterschneidung, und wenn ein Abdeckmaterial für die MEMS-Kavitäten wie zum Beispiel SiO2 abgeschieden wird, füllt das Abdeckmaterial die regressive Öffnung über den Durchkontaktierungen 42 und 48, wie zuvor erörtert. Diese regressive Abdeckungsausbildung, die nach den Schritten zur Abdeckungsausbildung, Siliciumaustreibens und Kavitätenabdichtung in 16 dargestellt wird, kann die Abdeckung nietenartig an dem Arm befestigen, wenn sich der Arm nach dem Austreiben aufwärts biegt, wobei das nietenförmige Strukturelement (250) in der Abdichtung gegen den Arm scheuert und/oder die nietenartige Abdeckungsstruktur mit dem Arm (255) verbindet (siehe z. B. 31).
  • In 31 bis 33 und 35 wird auf der Oberfläche ein Oxidmaterial 54 dargestellt, das die Dicke der Abdeckung vor dem Austreiben des Siliciums festlegt. Bei Ausführungsformen werden Austreiböffnungen 58 in der Oxidabdeckung geöffnet und legen so einen Abschnitt der darunterliegenden Siliciumschicht 50 frei. Es versteht sich, dass mehr als eine Austreiböffnung 58 in dem Oxidmaterial 54 ausgebildet werden kann. Die Austreiböffnungen 58 können mithilfe herkömmlicher Lithographie- und Ätzprozesse ausgebildet werden, die Fachleuten bekannt sind. Die Breite und die Höhe der Austreiböffnungen 58 legen die Menge des Materials fest, das nach dem Austreiben des Siliciums abgeschieden werden sollte, um die Austreiböffnung abzuschnüren, wie im Folgenden genauer erörtert wird. Die Austreiböffnungen 58 können mit einem Material 62 wie zum Beispiel einem Dielektrikum oder einem Metall abgedichtet werden, wie oben erörtert wurde.
  • 34 ist ein Ablaufplan eines Konstruktionsprozesses, der bei einer/einem Halbleiterkonstruktion, -fertigung und/oder -test verwendet wird. 34 stellt ein Blockschaubild eines beispielhaften Konstruktionsablaufs 900 dar, der zum Beispiel bei Konstruktion, Simulation, Test, Anordnung und Herstellung von Halbleiter-IC-Logik verwendet wird. Der Konstruktionsablauf 900 beinhaltet Prozesse, Maschinen und/oder Mechanismen zum Verarbeiten von Konstruktionsstrukturen oder Einheiten zum Erzeugen logisch oder auf andere Weise funktional gleichwertiger Darstellungen der oben beschriebenen und in 1 bis 33 und 35 dargestellten Konstruktionsstrukturen und/oder Einheiten. Die durch den Konstruktionsablauf 900 verarbeiteten und/oder erzeugten Konstruktionsstrukturen können auf maschinenlesbaren Übertragungs- oder Speichermedien so codiert werden, dass sie Daten und/oder Befehle beinhalten, die beim Ausführen oder anderweitigen Verarbeiten in einem Datenverarbeitungssystem eine logisch, strukturell, mechanisch oder auf andere Weise funktional gleichwertige Darstellung von Hardware-Komponenten, Schaltungen, Einheiten oder Systemen erzeugen. Maschinen umfassen jede Maschine, die in einem IC-Konstruktionsprozess wie zum Beispiel zum Konstruieren, Fertigen oder Simulieren einer Schaltung, Komponente, Einheit oder eines Systems verwendet wird, sie sind jedoch nicht darauf beschränkt. Zu Maschinen gehören beispielsweise folgende: Lithographiemaschinen, Maschinen und/oder Anlagen zum Herstellen von Masken (z. B. Elektronenstrahlschreiber), Computer oder Anlagen zum Simulieren von Konstruktionsstrukturen, jegliche im Fertigungs- oder Prüfverfahren eingesetzten Vorrichtungen oder jegliche Maschinen zum Programmieren von funktional gleichwertigen Darstellungen der Konstruktionsstrukturen auf einem beliebigen Medium (z. B. eine Maschine zum Programmieren eines programmierbaren Gate-Arrays).
  • Der Konstruktionsablauf 900 kann je nach Art der Darstellung, die konstruiert wird, variieren. Ein Konstruktionsablauf 900 zum Herstellen einer anwendungsspezifischen integrierten Schaltung (application specific IC, ASIC) kann sich zum Beispiel von einem Konstruktionsablauf 900 zum Konstruieren einer Standardkomponente oder von einem Konstruktionsablauf 900 zum Instanziieren der Konstruktion in einer programmierbaren Anordnung, zum Beispiel eines von Altera® Inc. bzw. Xilinx® Inc. angebotenen programmierbaren Gate-Arrays (PGA) oder feldprogrammierbaren Gate-Arrays (FPGA) unterscheiden.
  • 34 veranschaulicht mehrere solcher Konstruktionsstrukturen, darunter eine Eingabekonstruktionsstruktur 920, die bevorzugt durch einen Konstruktionsprozess 910 verarbeitet wird. Bei der Konstruktionsstruktur 920 kann es sich um eine durch den Konstruktionsprozess 910 erzeugte und verarbeitete Logiksimulations-Konstruktionsstruktur zum Herstellen einer logisch gleichwertigen Funktionsdarstellung einer Hardware-Einheit handeln. Die Konstruktionsstruktur 920 kann außerdem oder alternativ Daten und/oder Programmbefehle aufweisen, die bei der Verarbeitung durch den Konstruktionsprozess 910 eine Funktionsdarstellung der physischen Struktur einer Hardware-Einheit erzeugen. Ganz gleich, ob sie Merkmale einer Funktions- und/oder Strukturkonstruktion darstellt, kann die Konstruktionsstruktur 920 unter Verwendung von ECAD (electronic computer-aided design, rechnergestütztes Konstruieren elektronischer Systeme) erzeugt werden, wie sie zum Beispiel durch einen Kernentwickler/-konstrukteur umgesetzt wird. Wenn sie auf einem maschinenlesbaren Datenübertragungs-, Gate-Array- oder Speichermedium codiert ist, ist die Konstruktionsstruktur 920 durch ein oder mehrere Hardware- und/oder Software-Module in dem Konstruktionsprozess 910 zugreifbar und verarbeitbar, um ein Elektronikbauteil, eine elektronische Schaltung, einen Elektronik- oder Logikbaustein, eine Vorrichtung, eine Einheit oder ein System wie zum Beispiel die in 1 bis 33 und 35 abgebildeten zu simulieren oder auf andere Weise funktional darzustellen. Die Konstruktionsstruktur 920 kann als solche Dateien oder sonstige Datenstrukturen aufweisen, unter anderem menschen- und/oder maschinenlesbaren Quellcode, kompilierte Strukturen und computerausführbare Codestrukturen, die Schaltungen oder andere Ebenen einer Hardware-Logikkonstruktion funktional simulieren oder auf andere Weise darstellen, wenn sie durch ein Konstruktions- oder Simulations-Datenverarbeitungssystem verarbeitet werden. Derartige Datenstrukturen können Konstruktionsentitäten in einer Hardware-Beschreibungssprache (HDL) oder sonstige Datenstrukturen, die niedrigeren HDL-Konstruktionssprachen wie zum Beispiel Verilog und VHDL und/oder höheren Konstruktionssprachen wie C bzw. C++ entsprechen und/oder mit diesen kompatibel sind, beinhalten.
  • Der Konstruktionsprozess 910 verwendet und enthält bevorzugt Hardware- und/oder Software-Module zum Synthetisieren, Übersetzen oder anderweitigen Verarbeiten einer funktionalen Konstruktions-/Simulationsentsprechung der in den 1 bis 33 und 35 dargestellten Komponenten, Schaltungen, Einheiten oder logischen Strukturen zum Erzeugen einer Netzliste 980, die Konstruktionsstrukturen wie zum Beispiel die Konstruktionsstruktur 920 enthalten kann. Die Netzliste 980 kann zum Beispiel kompilierte oder auf andere Weise verarbeitete Datenstrukturen aufweisen, die eine Liste von Drähten, diskreten Bauelementen, Logikgattern, Steuerschaltungen, E/A-Einheiten, Modellen usw. darstellen, die die Verbindungen zu anderen Elementen und Schaltungen in einer IC-Konstruktion beschreibt. Die Netzliste 980 kann mithilfe eines Iterationsverfahrens synthetisiert werden, in dem die Netzliste 980 abhängig von der Konstruktionsbeschreibung und den Konstruktionsparametern für die Einheit einmal oder mehrmals resynthetisiert wird. Wie bei anderen hierin beschriebenen Typen von Konstruktionsstrukturen kann die Netzliste 980 auf einem maschinenlesbaren Datenspeichermedium aufgezeichnet oder in ein programmierbares Gate-Array programmiert werden. Bei dem Medium kann es sich um ein nichtflüchtiges Speichermedium wie zum Beispiel ein Magnetplattenlaufwerk oder ein optisches Plattenlaufwerk, ein programmierbares Gate-Array, einen Compact-Flash-Speicher oder einen anderen Flash-Speicher handeln. Zusätzlich oder alternativ kann es sich bei dem Medium um einen System- oder Cachespeicher, einen Pufferspeicher oder elektrisch oder optisch leitfähige Einheiten und Materialien, auf denen Datenpakete über das Internet übertragen und zwischengespeichert werden können, oder um eine sonstige geeignete Netzwerkeinrichtung handeln.
  • Der Konstruktionsprozess 910 kann Hardware- und Software-Module zum Verarbeiten einer Vielfalt an Typen von Eingabedatenstrukturen wie zum Beispiel die Netzliste 980 beinhalten. Solche Datenstrukturtypen können sich zum Beispiel in Bibliothekselementen 930 befinden und einen Satz gebräuchlicher Elemente, Schaltungen und Einheiten, unter anderem Modelle, Layouts und symbolische Darstellungen für eine bestimmte Fertigungstechnologie (z. B. verschiedene Technologieknoten, 32 nm, 45 nm, 90 nm usw.) beinhalten. Die Datenstrukturtypen können des Weiteren Konstruktionsbeschreibungen 940, Charakterisierungsdaten 950, Prüfdaten 960, Entwurfsregeln 970 und Testdatendateien 985 beinhalten, die Eingabetestmuster, Ausgabetestergebnisse und sonstige Testdaten beinhalten können. Der Konstruktionsprozess 910 kann des Weiteren zum Beispiel Standardprozesse der Mechanikkonstruktion wie zum Beispiel Spannungsermittlung, Thermoanalyse, Simulation mechanischer Ereignisse, Prozesssimulation für Vorgänge wie Gießen, Formen und Gesenkpressen usw. beinhalten. Ein Fachmann für Mechanikkonstruktion erkennt das Ausmaß möglicher Werkzeuge und Anwendungen für die Mechanikkonstruktion, die im Konstruktionsprozess 910 verwendet werden, ohne vom Umfang und Gedanken der Erfindung abzuweichen. Der Konstruktionsprozess 910 kann außerdem Module zum Ausführen von Standardprozessen in der Schaltungskonstruktion wie zum Beispiel Laufzeitanalyse, Überprüfung, Konstruktionsregelprüfung, Platzierungs- und Verbindungsvorgänge usw. beinhalten.
  • Der Konstruktionsprozess 910 verwendet und bezieht Werkzeuge für die Logikkonstruktion und die physische Konstruktion wie zum Beispiel HDL-Kompilierer und Simulationsmodell-Erstellungswerkzeuge zum Verarbeiten der Konstruktionsstruktur 920 zusammen mit einigen oder allen dargestellten Hilfsdatenstrukturen (ggf.) gemeinsam mit einer beliebigen zusätzlichen Mechanikkonstruktion oder Daten ein, um eine zweite Konstruktionsstruktur 990 zu erzeugen.
  • Die Konstruktionsstruktur 990 befindet sich auf einem Speichermedium oder in einem programmierbaren Gate-Array in einem Datenformat, das für den Austausch von Daten von mechanischen Einheiten und Strukturen verwendet wird (z. B. Daten, die in einem Format wie IGES, DXF, Parasolid XT, JT, DRG bzw. einem beliebigen anderen geeigneten Format zum Speichern bzw. Umrechnen derartiger Strukturen mechanischer Konstruktionen gespeichert sind). Ähnlich wie die Konstruktionsstruktur 920 umfasst die Konstruktionsstruktur 990 bevorzugt eine oder mehrere Dateien, Datenstrukturen oder sonstige computercodierte Daten oder Befehle, die sich auf Übertragungs- oder Datenspeichermedien befinden und die eine logisch oder auf andere Weise funktional gleichwertige Form einer oder mehrerer der in 1 bis 33 und 35 dargestellten Ausführungsformen der Erfindung erzeugen, wenn sie durch ein ECAD-System verarbeitet werden. Bei einer Ausführungsform kann die Konstruktionsstruktur 990 ein kompiliertes, ausführbares HDL-Simulationsmodell aufweisen, das die in 1 bis 33 und 35 dargestellten Einheiten funktional simuliert.
  • Die Konstruktionstruktur 990 kann außerdem ein Datenformat, das für den Austausch von Anordnungsdaten von integrierten Schaltungen verwendet wird, und/oder ein symbolisches Datenformat (z. B. Daten, die in GDSII (GDS2), GL1, OASIS, Map-Dateien oder jedem anderen geeigneten Format zum Speichern derartiger Konstruktionsdatenstrukturen gespeichert sind) einsetzen. Die Konstruktionsstruktur 990 kann zum Beispiel Daten wie symbolische Daten, Map-Dateien, Testdatendateien, Konstruktionsinhaltsdateien, Fertigungsdaten, Anordnungsparameter, Drähte, Metallebenen, Durchkontaktierungen, Formen, Daten zum Fertigungsfluss und beliebige sonstige Daten aufweisen, die von einem Hersteller oder einem anderen Konstrukteur/Entwickler benötigt werden, um eine Einheit oder Struktur wie oben beschrieben und in 1 bis 33 und 35 dargestellt herzustellen. Die Konstruktionsstruktur 990 kann anschließend zu einer Phase 995 übergehen, in der die Konstruktionsstruktur 990: zum Beispiel zur Übergabe der Layout-Daten (tape-out) übergeht, zur Fertigung freigegeben wird, an ein Maskenhaus übergeben wird, an ein weiteres Konstruktionshaus gesendet wird, zurück zum Kunden gesendet wird usw.
  • Das oben beschriebene Verfahren wird bei der Fertigung von integrierten Schaltungs-Chips verwendet. Die resultierenden integrierten Schaltungschips können durch den Hersteller in Form eines Roh-Wafers (das heißt, als einzelner Wafer, der mehrere gehäuselose Chips aufweist), als bloßer Chip oder in einem Gehäuse vertreiben. Im letzteren Fall wird der Chip in ein Einzel-Chip-Gehäuse (wie zum Beispiel einen Kunststoffträger mit Zuleitungen, die an einer Hauptplatine oder einem sonstigen übergeordneten Träger befestigt sind) oder in einem Mehrfach-Chip-Gehäuse angebracht (wie zum Beispiel einem Keramikträger, der entweder Oberflächenverbindungen oder vergrabene Verbindungen oder beides aufweist). In jedem Fall wird der Chip anschließend mit anderen Chips, diskreten Schaltungselementen und/oder sonstigen Signalverarbeitungseinheiten als Teil entweder (a) eines Zwischenproduktes wie zum Beispiel einer Hauptplatine oder (b) eines Endproduktes integriert. Bei dem Endprodukt kann es sich um ein beliebiges Produkt handeln, das integrierte Schaltungschips beinhaltet, von Spielzeug und sonstigen einfachen Anwendungen bis hin zu hochentwickelten Computerprodukten, die eine Anzeige, eine Tastatur oder eine sonstige Eingabeeinheit und einen Zentralprozessor aufweisen.
  • Die hierin verwendete Terminologie dient lediglich der Beschreibung bestimmter Ausführungsformen und soll die Erfindung nicht beschränken. So, wie sie hierin verwendet werden, sollen die Singularformen „ein”, „eine” und „der”, „die”, „das” auch die Pluralformen aufweisen, sofern dies aus dem Kontext nicht eindeutig anders hervorgeht. Es versteht sich darüber hinaus, dass die Begriffe „aufweist” und/oder „aufweisend”, wenn sie in dieser Beschreibung verwendet werden, das Vorhandensein von angegebenen Merkmalen, Ganzzahlen, Schritten, Vorgängen, Elementen und/oder Komponenten bezeichnen, jedoch nicht das Vorhandensein oder die Beifügung von einem/einer oder mehreren anderen Merkmalen, Ganzzahlen, Schritten, Vorgängen, Elementen, Komponenten und/oder Gruppen davon ausschließen.
  • Die entsprechenden Strukturen, Materialien, Vorgänge und Entsprechungen aller Mittel oder aus Schritt plus Funktion bestehender Elemente in den Ansprüchen sollen gegebenenfalls jede Struktur, jedes Material oder jeden Vorgang zum Durchführen der Funktion in Kombination mit sonstigen beanspruchten Elementen als ausdrücklich beansprucht beinhalten. Die Beschreibung der vorliegenden Erfindung erfolgte zum Zweck der Veranschaulichung und Beschreibung, ist jedoch nicht erschöpfend oder auf die Erfindung in der dargestellten Form beschränkt gemeint. Viele Modifizierungen und Varianten sind für Fachleute ersichtlich, ohne vom Umfang und Gedanken der Erfindung abzuweichen. Die Ausführungsform wurde ausgewählt und beschrieben, um die Grundgedanken der Erfindung und die praktische Anwendung am besten zu erläutern und um anderen Fachleuten das Verständnis der Erfindung für verschiedene Ausführungsformen mit verschiedenen, für den in Betracht gezogenen Einsatz geeigneten Modifizierungen zu ermöglichen. Wenngleich die Erfindung in Form von Ausführungsformen beschrieben worden ist, erkennen Fachleute dementsprechend, dass die Erfindung mit Modifizierungen innerhalb des Rahmens der beigefügten Ansprüche umgesetzt werden kann.

Claims (23)

  1. Verfahren zum Ausbilden eines Arms eines mikroelektromechanischen Systems (MEMS), aufweisend: Ausbilden einer unteren Elektrode auf einer Opferschicht; Ausbilden einer Isolatorschicht auf der unteren Elektrode; und Ausbilden einer oberen Elektrode über dem Isolatormaterial auf der Oberseite der unteren Elektrode, wobei die obere Elektrode zumindest teilweise mit der unteren Elektrode in Kontakt steht, wobei das Ausbilden der unteren Elektrode und der oberen Elektrode ein Anpassen eines Metallvolumens der unteren Elektrode und der oberen Elektrode aufweist, um eine Biegung des Arms zu modifizieren.
  2. Verfahren nach Anspruch 1, wobei die untere Elektrode und die obere Elektrode aus demselben Material ausgebildet werden.
  3. Verfahren nach Anspruch 2, wobei die untere Elektrode und die obere Elektrode aus Ti/AlCu/Ti/TiN bestehen.
  4. Verfahren nach Anspruch 3, wobei ein Wärmeausdehnungskoeffizient (CTE) der unteren Elektrode und der oberen Elektrode durch das AlCu angenähert wird.
  5. Verfahren nach Anspruch 1, wobei das Metallvolumen durch eine Dicke von Aluminium und Kupfer und eine Anordnung der unteren Elektrode und der oberen Elektrode festgelegt wird.
  6. Verfahren nach Anspruch 5, wobei das Ausbilden der unteren Elektrode und der oberen Elektrode ein Ausbilden gleicher Anordnungen für die untere Elektrode und die obere Elektrode mit denselben Dicken aufweist.
  7. Verfahren nach Anspruch 1, wobei entweder die untere Elektrode oder die obere Elektrode als mit Schlitzen versehene oder mit Löchern versehene Anordnung ausgebildet wird und die obere Elektrode bzw. die untere Elektrode im Hinblick auf eine Dicke der mit Schlitzen versehenen oder mit Löchern versehenen Anordnung in einer Dicke vermindert wird, um das Metallvolumen anzugleichen.
  8. Verfahren nach Anspruch 1, das des Weiteren ein Anpassen einer Dicke der unteren Elektrode aufweist, um ein Spannungsgefälle anzupassen, wodurch die untere Elektrode veranlasst wird, nach einer Freigabe aufwärts oder abwärts abgelenkt zu werden, oder um eine Biegung zu ändern, die durch Ändern einer Temperatur verursacht wird.
  9. Verfahren nach Anspruch 1, wobei die untere Elektrode und die obere Elektrode asymmetrisch oder unterschiedlich sind und eine Dicke entweder der unteren Elektrode oder der oberen Elektrode mit einem niedrigeren Strukturfaktor erhöht wird, um das Metallvolumen auszugleichen.
  10. Verfahren nach Anspruch 1, wobei zumindest entweder die untere Elektrode oder die obere Elektrode mit strukturierten Formen ausgebildet wird, um eine Wahrscheinlichkeit einer Ausbildung von Metallhügeln zu vermindern.
  11. Verfahren nach Anspruch 1, wobei die untere Elektrode eine um einen Prozentsatz geringere Fläche als die obere Elektrode aufweist und die Dicke der unteren Elektrode um einen Prozentsatz erhöht wird, um das Metallvolumen auszugleichen.
  12. Verfahren nach Anspruch 1, wobei ein Strukturfaktorverhältnis der unteren Elektrode zu der oberen Elektrode 0,8:1 aufweist und ein Volumenverhältnis der unteren Elektrode zu der oberen Elektrode 0,93:1 aufweist.
  13. Verfahren zum Ausbilden eines Schalters, das ein Ausbilden eines beweglichen Arms aufweist, der zumindest eine Isolatorschicht auf einer Leiterschicht aufweist, sodass ein Volumen des Leiters angepasst wird, um Biegeeigenschaften des Arms zu modifizieren.
  14. Verfahren nach Anspruch 13, wobei der bewegliche Arm aus einem oder mehreren Metallschichten ausgebildet wird, die ein oberes Metall und ein unteres Metall mit einer Oxidschicht dazwischen aufweisen.
  15. Verfahren nach Anspruch 14, das des Weiteren ein Variieren eines Metallvolumens des oberen Metalls und des unteren Metalls durch Ändern einer Dicke von zumindest einer der Metallschichten aufweist.
  16. Verfahren nach Anspruch 15, wobei das Variieren ein Ändern einer Anordnung von zumindest einer der Metallschichten aufweist.
  17. Verfahren nach Anspruch 13, wobei das Modifizieren von Biegeeigenschaften des Arms über einen Temperaturbereich von etwa –55°C bis 125°C bereitgestellt wird.
  18. Verfahren, aufweisend: Ausbilden einer unteren Elektrode; und Ausbilden einer oberen Elektrode auf der Oberseite der unteren Elektrode, wobei das Ausbilden der unteren Elektrode und der oberen Elektrode ein Ausgleichen eines Metallvolumens der unteren Elektrode im Hinblick auf die obere Elektrode aufweist.
  19. Verfahren nach Anspruch 18, wobei: die untere Elektrode und die obere Elektrode aus demselben Material ausgebildet werden; und das Metallvolumen durch eine Metalldicke und eine Anordnung der unteren Elektrode und der oberen Elektrode festgelegt wird.
  20. Verfahren nach Anspruch 18, wobei entweder die untere Elektrode oder die obere Elektrode eine mit Schlitzen versehene oder mit Löchern versehene Anordnung aufweist und die obere Elektrode bzw. die untere Elektrode im Hinblick auf die mit Schlitzen versehene oder mit Löchern versehene Anordnung in einer Dicke vermindert wird, um das Metallvolumen anzugleichen.
  21. Verfahren nach Anspruch 18, das des Weiteren ein Anpassen einer Dicke der unteren Elektrode aufweist, um ein Spannungsgefälle anzupassen, wodurch die untere Elektrode veranlasst wird, nach einer Freigabe aufwärts oder abwärts abgelenkt zu werden, oder um eine Biegung zu ändern, die durch Ändern einer Temperatur verursacht wird.
  22. Verfahren nach Anspruch 18, wobei die untere Elektrode und die obere Elektrode asymmetrisch oder unterschiedlich sind und eine Dicke entweder der unteren Elektrode oder der oberen Elektrode mit einem niedrigeren Strukturfaktor erhöht wird, um das Metallvolumen auszugleichen.
  23. Verfahren nach Anspruch 18, das des Weiteren ein Ausbilden einer dielektrischen Schicht zumindest entweder über einer festen Verdrahtung oder unter der unteren Elektrode aufweist, um einen Kondensator auszubilden.
DE112011102124.0T 2010-06-25 2011-06-15 Mikroelektromechanisches System Active DE112011102124B4 (de)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US35862110P 2010-06-25 2010-06-25
US61/358,621 2010-06-25
US12/973,235 US8709264B2 (en) 2010-06-25 2010-12-20 Planar cavity MEMS and related structures, methods of manufacture and design structures
US12/973,235 2010-12-20
PCT/EP2011/059880 WO2011160985A2 (en) 2010-06-25 2011-06-15 Micro-electro-mechanical system

Publications (3)

Publication Number Publication Date
DE112011102124T5 true DE112011102124T5 (de) 2013-05-02
DE112011102124T9 DE112011102124T9 (de) 2015-10-08
DE112011102124B4 DE112011102124B4 (de) 2015-11-26

Family

ID=44627207

Family Applications (5)

Application Number Title Priority Date Filing Date
DE112011102134.8T Active DE112011102134B4 (de) 2010-06-25 2011-06-08 Verfahren zur Herstellung von MEMS-Strukturen mit planarem Hohlraum und verwandter Strukturen
DE112011102135.6T Active DE112011102135B4 (de) 2010-06-25 2011-06-08 MEMS-Strukturen mit planarem Hohlraum und verwandte Strukturen, Herstellungsverfahren und Design-Strukturen
DE112011102130.5T Active DE112011102130B4 (de) 2010-06-25 2011-06-08 Herstellungsverfahren für MEMS-Strukturen mit planarem Hohlraum und verwandte Strukturen
DE112011102136.4T Active DE112011102136B4 (de) 2010-06-25 2011-06-15 Verfahren zum Ausbilden eines mikroelektromechanischen Systems
DE112011102124.0T Active DE112011102124B4 (de) 2010-06-25 2011-06-15 Mikroelektromechanisches System

Family Applications Before (4)

Application Number Title Priority Date Filing Date
DE112011102134.8T Active DE112011102134B4 (de) 2010-06-25 2011-06-08 Verfahren zur Herstellung von MEMS-Strukturen mit planarem Hohlraum und verwandter Strukturen
DE112011102135.6T Active DE112011102135B4 (de) 2010-06-25 2011-06-08 MEMS-Strukturen mit planarem Hohlraum und verwandte Strukturen, Herstellungsverfahren und Design-Strukturen
DE112011102130.5T Active DE112011102130B4 (de) 2010-06-25 2011-06-08 Herstellungsverfahren für MEMS-Strukturen mit planarem Hohlraum und verwandte Strukturen
DE112011102136.4T Active DE112011102136B4 (de) 2010-06-25 2011-06-15 Verfahren zum Ausbilden eines mikroelektromechanischen Systems

Country Status (8)

Country Link
US (45) US8685778B2 (de)
KR (3) KR101800914B1 (de)
CN (8) CN102906010B (de)
CA (2) CA2787161A1 (de)
DE (5) DE112011102134B4 (de)
GB (6) GB2494360B (de)
TW (3) TWI538873B (de)
WO (5) WO2011162953A2 (de)

Families Citing this family (117)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8981876B2 (en) 2004-11-15 2015-03-17 Avago Technologies General Ip (Singapore) Pte. Ltd. Piezoelectric resonator structures and electrical filters having frame elements
WO2010100622A1 (en) * 2009-03-04 2010-09-10 Nxp B.V. Mems devices
US8796904B2 (en) 2011-10-31 2014-08-05 Avago Technologies General Ip (Singapore) Pte. Ltd. Bulk acoustic resonator comprising piezoelectric layer and inverse piezoelectric layer
US9243316B2 (en) 2010-01-22 2016-01-26 Avago Technologies General Ip (Singapore) Pte. Ltd. Method of fabricating piezoelectric material with selected c-axis orientation
WO2011114628A1 (ja) * 2010-03-18 2011-09-22 パナソニック株式会社 Mems素子、およびmems素子の製造方法
KR101158200B1 (ko) * 2010-06-04 2012-06-19 삼성전기주식회사 광학식 손 떨림 보정장치 및 이의 제조 방법
CN102275857B (zh) * 2010-06-11 2014-04-16 上海丽恒光微电子科技有限公司 微机电装置及其制造方法
US8685778B2 (en) 2010-06-25 2014-04-01 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
EP2460762B1 (de) * 2010-12-06 2014-10-08 Nxp B.V. MEMS-Vorrichtung mit verringerter Haftung und Herstellungsverfahren
FR2970119B1 (fr) * 2010-12-30 2013-12-13 St Microelectronics Crolles 2 Sas Puce de circuits integres et procede de fabrication.
FR2970118B1 (fr) 2010-12-30 2013-12-13 St Microelectronics Crolles 2 Puce de circuits integres et procede de fabrication.
US8962443B2 (en) * 2011-01-31 2015-02-24 Avago Technologies General Ip (Singapore) Pte. Ltd. Semiconductor device having an airbridge and method of fabricating the same
US9401692B2 (en) 2012-10-29 2016-07-26 Avago Technologies General Ip (Singapore) Pte. Ltd. Acoustic resonator having collar structure
US9490771B2 (en) 2012-10-29 2016-11-08 Avago Technologies General Ip (Singapore) Pte. Ltd. Acoustic resonator comprising collar and frame
US9490418B2 (en) 2011-03-29 2016-11-08 Avago Technologies General Ip (Singapore) Pte. Ltd. Acoustic resonator comprising collar and acoustic reflector with temperature compensating layer
US8841733B2 (en) * 2011-05-17 2014-09-23 United Microelectronics Corp. Semiconductor device and method of fabricating the same
US9120667B2 (en) 2011-06-20 2015-09-01 International Business Machines Corporation Micro-electro-mechanical system (MEMS) and related actuator bumps, methods of manufacture and design structures
US8643140B2 (en) * 2011-07-11 2014-02-04 United Microelectronics Corp. Suspended beam for use in MEMS device
US8551859B2 (en) * 2011-11-10 2013-10-08 International Business Machines Corporation Biosensors integrated with a microfluidic structure
US9105751B2 (en) 2011-11-11 2015-08-11 International Business Machines Corporation Integrated semiconductor devices with single crystalline beam, methods of manufacture and design structure
US8629036B2 (en) 2011-11-11 2014-01-14 International Business Machines Corporation Integrated semiconductor devices with amorphous silicon beam, methods of manufacture and design structure
US8546240B2 (en) 2011-11-11 2013-10-01 International Business Machines Corporation Methods of manufacturing integrated semiconductor devices with single crystalline beam
US8673670B2 (en) * 2011-12-15 2014-03-18 International Business Machines Corporation Micro-electro-mechanical system (MEMS) structures and design structures
US8592876B2 (en) * 2012-01-03 2013-11-26 International Business Machines Corporation Micro-electro-mechanical system (MEMS) capacitive OHMIC switch and design structures
US9093264B2 (en) * 2012-04-20 2015-07-28 Applied Materials, Inc. Methods and apparatus for forming silicon passivation layers on germanium or III-V semiconductor devices
US9166271B2 (en) * 2012-06-01 2015-10-20 Purdue Research Foundation Tunable cavity resonator including a plurality of MEMS beams
US9452924B2 (en) 2012-06-15 2016-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS devices and fabrication methods thereof
US9450109B2 (en) 2012-06-15 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS devices and fabrication methods thereof
US9200973B2 (en) 2012-06-28 2015-12-01 Intel Corporation Semiconductor package with air pressure sensor
US9102517B2 (en) 2012-08-22 2015-08-11 International Business Machines Corporation Semiconductor structures provided within a cavity and related design structures
JP2014057125A (ja) * 2012-09-11 2014-03-27 Seiko Epson Corp 電子装置およびその製造方法、並びに発振器
US9385684B2 (en) 2012-10-23 2016-07-05 Avago Technologies General Ip (Singapore) Pte. Ltd. Acoustic resonator having guard ring
JP6247495B2 (ja) * 2012-11-26 2017-12-13 キヤノン株式会社 半導体装置、及びその製造方法
US9013012B2 (en) * 2013-03-05 2015-04-21 Stmicroelectronics Pte. Ltd. Self-sealing membrane for MEMS devices
US9573806B2 (en) * 2013-03-11 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS device structure with a capping structure
US9102519B2 (en) * 2013-03-14 2015-08-11 Infineon Technologies Ag Semiconductor devices and methods of forming thereof
US9969613B2 (en) 2013-04-12 2018-05-15 International Business Machines Corporation Method for forming micro-electro-mechanical system (MEMS) beam structure
US9059679B2 (en) * 2013-04-23 2015-06-16 International Business Machines Corporation Tunable interconnect structures, and integrated circuit containing the same
US9419181B2 (en) * 2013-05-13 2016-08-16 Infineon Technologies Dresden Gmbh Electrode, an electronic device, and a method for manufacturing an optoelectronic device
JP6400693B2 (ja) 2013-06-27 2018-10-03 ソイテックSoitec 犠牲材料で充填されたキャビティを含む半導体構造を作製する方法
US8936960B1 (en) * 2013-07-02 2015-01-20 United Microelectronics Corp. Method for fabricating an integrated device
WO2015003264A1 (en) 2013-07-08 2015-01-15 Motion Engine Inc. Mems device and method of manufacturing
US10273147B2 (en) 2013-07-08 2019-04-30 Motion Engine Inc. MEMS components and method of wafer-level manufacturing thereof
FR3008690B1 (fr) * 2013-07-22 2016-12-23 Commissariat Energie Atomique Dispositif comportant un canal fluidique muni d'au moins un systeme micro ou nanoelectronique et procede de realisation d'un tel dispositif
ITTO20130651A1 (it) 2013-07-31 2015-02-01 St Microelectronics Srl Procedimento di fabbricazione di un dispositivo incapsulato, in particolare un sensore micro-elettro-meccanico incapsulato, dotato di una struttura accessibile, quale un microfono mems e dispositivo incapsulato cosi' ottenuto
WO2015013828A1 (en) 2013-08-02 2015-02-05 Motion Engine Inc. Mems motion sensor and method of manufacturing
WO2015047346A1 (en) * 2013-09-27 2015-04-02 Intel Corporation An improved arrangement of through-hole structures of a semiconductor package
CN103678804A (zh) * 2013-12-11 2014-03-26 上海工程技术大学 一种mems开关仿真分析方法
WO2015103688A1 (en) 2014-01-09 2015-07-16 Motion Engine Inc. Integrated mems system
US9385068B2 (en) * 2014-03-05 2016-07-05 Northrop Grumman Systems Corporation Stacked interconnect structure and method of making the same
WO2015154173A1 (en) 2014-04-10 2015-10-15 Motion Engine Inc. Mems pressure sensor
US9583294B2 (en) * 2014-04-25 2017-02-28 Analog Devices Global MEMS swtich with internal conductive path
US9748048B2 (en) 2014-04-25 2017-08-29 Analog Devices Global MEMS switch
US9384318B2 (en) * 2014-04-28 2016-07-05 Globalfoundries Inc. Mask error compensation by optical modeling calibration
US11674803B2 (en) 2014-06-02 2023-06-13 Motion Engine, Inc. Multi-mass MEMS motion sensor
WO2015199721A1 (en) * 2014-06-27 2015-12-30 Intel Corporation Magnetic nanomechanical devices for stiction compensation
US9224858B1 (en) 2014-07-29 2015-12-29 Globalfoundries Inc. Lateral double-diffused metal oxide semiconductor field effect transistor (LDMOSFET) with a below source isolation region and a method of forming the LDMOSFET
US9446947B2 (en) * 2014-08-25 2016-09-20 Texas Instruments Incorporated Use of metal native oxide to control stress gradient and bending moment of a released MEMS structure
CN105439080B (zh) * 2014-08-28 2017-09-22 中芯国际集成电路制造(上海)有限公司 微机电系统器件及其形成方法
JP6336865B2 (ja) * 2014-09-09 2018-06-06 日立オートモティブシステムズ株式会社 物理量センサ
US10140407B2 (en) 2014-11-26 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method, device and computer program product for integrated circuit layout generation
CA3004760A1 (en) 2014-12-09 2016-06-16 Motion Engine Inc. 3d mems magnetometer and associated methods
WO2016112463A1 (en) 2015-01-15 2016-07-21 Motion Engine Inc. 3d mems device with hermetic cavity
CN107430963B (zh) * 2015-02-05 2019-12-13 卡文迪什动力有限公司 利用锚固件中的mim的dvc
US10103070B2 (en) * 2015-03-27 2018-10-16 Globalfoundries Inc. Dynamic integrated circuit fabrication methods
US20160289062A1 (en) * 2015-03-31 2016-10-06 Qualcomm Technologies International, Ltd. Mems packaging
CN107709227A (zh) * 2015-04-21 2018-02-16 加泰罗尼亚理工大学 包括具有通过使用修改的通孔改善质量和可靠性的多层微机械结构的集成电路及其获得方法
JP6569850B2 (ja) * 2015-06-09 2019-09-04 株式会社村田製作所 Mems製造方法
DE102015212669B4 (de) * 2015-07-07 2018-05-03 Infineon Technologies Ag Kapazitive mikroelektromechanische Vorrichtung und Verfahren zum Ausbilden einer kapazitiven mikroelektromechanischen Vorrichtung
US9809450B2 (en) * 2015-08-27 2017-11-07 Invensense, Inc. CMOS-MEMS integration using metal silicide formation
KR20230020008A (ko) * 2015-08-28 2023-02-09 쇼와덴코머티리얼즈가부시끼가이샤 반도체 장치 및 그 제조 방법
US9617142B1 (en) * 2015-09-30 2017-04-11 Mems Drive, Inc. MEMS grid for manipulating structural parameters of MEMS devices
US9878899B2 (en) 2015-10-02 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for reducing in-process and in-use stiction for MEMS devices
US10338754B2 (en) * 2015-12-18 2019-07-02 Synaptics Incorporated Edge-effect mitigation for capacitive sensors
CN106904565B (zh) * 2015-12-22 2019-06-28 中芯国际集成电路制造(上海)有限公司 一种mems器件及其制备方法、电子装置
US10784832B2 (en) * 2015-12-28 2020-09-22 Ningbo Semiconductor International Corporation Film bulk acoustic resonator and method of fabrication same
US9741817B2 (en) * 2016-01-21 2017-08-22 Tower Semiconductor Ltd. Method for manufacturing a trench metal insulator metal capacitor
CN108604517B (zh) 2016-02-04 2020-10-16 亚德诺半导体无限责任公司 有源开口mems开关装置
US9643838B1 (en) * 2016-02-05 2017-05-09 Taiwan Semiconductor Manufacturing Company Ltd Semiconductor device and package and manufacturing method thereof
US10770646B2 (en) * 2016-03-01 2020-09-08 Qualcomm Incorporated Manufacturing method for flexible PMUT array
KR20170133750A (ko) * 2016-05-26 2017-12-06 삼성전자주식회사 집적 회로의 설계를 위한 컴퓨터 구현 방법
JP6555238B2 (ja) * 2016-08-08 2019-08-07 株式会社デンソー 力学量センサおよびその製造方法
WO2018030045A1 (ja) * 2016-08-08 2018-02-15 株式会社デンソー 力学量センサおよびその製造方法
CN106298371A (zh) * 2016-08-30 2017-01-04 北京航天微电科技有限公司 一种基于表面半导体工艺的射频微机电开关及其制备方法
CN106298372A (zh) * 2016-09-07 2017-01-04 中国科学院微电子研究所 一种微纳机电开关及其制造方法
JP2018046230A (ja) * 2016-09-16 2018-03-22 イビデン株式会社 プリント配線板
JP2018046231A (ja) * 2016-09-16 2018-03-22 イビデン株式会社 プリント配線板
CN107039298B (zh) * 2016-11-04 2019-12-24 厦门市三安光电科技有限公司 微元件的转移装置、转移方法、制造方法、装置和电子设备
US10322928B2 (en) 2016-11-29 2019-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-layer sealing film for high seal yield
DE102017104109A1 (de) * 2017-02-28 2018-08-30 Maschinenfabrik Reinhausen Gmbh Verfahren und Vorrichtung zur Überwachung von Kondensatordurchführungen für ein Wechselstromnetz
US10784833B2 (en) 2017-04-04 2020-09-22 Vanguard International Semiconductor Singapore Pte. Ltd. Lamb acoustic wave resonator and filter with self-aligned cavity via
US10403674B2 (en) * 2017-07-12 2019-09-03 Meridian Innovation Pte Ltd Scalable thermoelectric-based infrared detector
FR3070096B1 (fr) * 2017-08-08 2021-09-17 Commissariat Energie Atomique Procede de fabrication d'un dispositif de detection a deux substrats et un tel dispositif de detection
CN107423522A (zh) * 2017-08-14 2017-12-01 上海工程技术大学 一种高效仿真分析电磁开关性能的方法
DE102017120290B3 (de) 2017-09-04 2018-11-08 Infineon Technologies Ag Verfahren zum Prozessieren einer Schichtstruktur
CN109586680B (zh) * 2017-09-29 2021-09-03 安华高科技股份有限公司 用于声谐振器结构的经锚定聚合物封装
US10650978B2 (en) * 2017-12-15 2020-05-12 Micron Technology, Inc. Methods of incorporating leaker devices into capacitor configurations to reduce cell disturb
JP6923010B2 (ja) 2018-02-09 2021-08-18 株式会社村田製作所 Memsデバイス
CN111819303B (zh) * 2018-02-19 2023-12-08 应用材料公司 使用溅射蚀刻以中止厚膜中结晶发生的pvd二氧化钛形成
US10964613B2 (en) 2018-04-09 2021-03-30 Invensense, Inc. Environmentally protected sensing device
US11027967B2 (en) * 2018-04-09 2021-06-08 Invensense, Inc. Deformable membrane and a compensating structure thereof
US10759658B2 (en) 2018-12-10 2020-09-01 Texas Instruments Incorporated Hermetic vertical shear weld wafer bonding
CN111386000B (zh) * 2018-12-28 2021-08-31 财团法人工业技术研究院 微机电加热装置
CN110171799B (zh) * 2019-05-29 2024-04-09 苏州知芯传感技术有限公司 一种mems开关及其制作方法
CN110255489B (zh) * 2019-05-31 2022-07-22 武汉敏声新技术有限公司 一种三维单片集成传感器系统
CN110991069B (zh) * 2019-12-12 2023-09-12 神华北电胜利能源有限公司 基于湿基氧的锅炉效率计算方法、存储介质及电子设备
US11939212B2 (en) 2019-12-23 2024-03-26 Industrial Technology Research Institute MEMS device, manufacturing method of the same, and integrated MEMS module using the same
US11365117B2 (en) 2019-12-23 2022-06-21 Industrial Technology Research Institute MEMS device and manufacturing method of the same
CN111463530B (zh) * 2020-04-10 2022-04-05 昆山鸿永微波科技有限公司 一种带宽可调谐硅基滤波芯片
CN111591953B (zh) * 2020-05-07 2022-08-05 南京航空航天大学 针状微电极及其制备方法
CN111517275B (zh) * 2020-05-09 2023-06-02 中北大学 一种实用化射频mems开关双层牺牲层的制备方法
CN111668372B (zh) * 2020-06-18 2023-05-30 中国科学院微电子研究所 一种HfO2基铁电电容器及其制备方法和HfO2基铁电存储器
CN111787474A (zh) * 2020-07-10 2020-10-16 瑞声科技(南京)有限公司 Mems声传感器
CN112374456B (zh) * 2020-11-12 2024-01-23 上海华虹宏力半导体制造有限公司 Mems器件的制造方法
CN113472308B (zh) * 2021-04-29 2022-11-22 广州乐仪投资有限公司 谐振器及其形成方法、电子设备
CN112977870B (zh) * 2021-05-20 2021-09-03 成都飞机工业(集团)有限责任公司 一种飞机部件装配闭角区铆接斜铆卡设计方法
CN116127887B (zh) * 2023-04-17 2023-07-07 东南大学 一种基于系统识别法的mems器件宏模型建模方法

Family Cites Families (157)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3004053A (en) 1958-03-28 1961-10-10 Director Of The Agency Of Ind Preparation of organosilanes employing alkyl aluminum halides
US3956903A (en) 1975-04-25 1976-05-18 Weil-Mclain Co., Inc. System for recovering and condensing vapors
CA2072199C (en) 1991-06-24 1997-11-11 Fumihiro Kasano Electrostatic relay
JP3402642B2 (ja) 1993-01-26 2003-05-06 松下電工株式会社 静電駆動型リレー
US5324683A (en) 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
US5619061A (en) 1993-07-27 1997-04-08 Texas Instruments Incorporated Micromechanical microwave switching
US7550794B2 (en) * 2002-09-20 2009-06-23 Idc, Llc Micromechanical systems device comprising a displaceable electrode and a charge-trapping layer
US5665657A (en) * 1995-09-18 1997-09-09 Taiwan Semiconductor Manufacturing Company, Ltd Spin-on-glass partial etchback planarization process
US5693568A (en) 1995-12-14 1997-12-02 Advanced Micro Devices, Inc. Reverse damascene via structures
US5795829A (en) 1996-06-03 1998-08-18 Advanced Micro Devices, Inc. Method of high density plasma metal etching
US6116863A (en) 1997-05-30 2000-09-12 University Of Cincinnati Electromagnetically driven microactuated device and method of making the same
US6143638A (en) * 1997-12-31 2000-11-07 Intel Corporation Passivation structure and its method of fabrication
US6018184A (en) * 1998-01-22 2000-01-25 Micron Technology, Inc. Semiconductor structure useful in a self-aligned contact having multiple insulation layers of non-uniform thickness
GB9819817D0 (en) 1998-09-12 1998-11-04 Secr Defence Improvements relating to micro-machining
US6160230A (en) 1999-03-01 2000-12-12 Raytheon Company Method and apparatus for an improved single pole double throw micro-electrical mechanical switch
JP4787412B2 (ja) 1999-03-30 2011-10-05 シチズンホールディングス株式会社 薄膜基板の形成方法およびその方法によって形成された薄膜基板
JP3387478B2 (ja) 1999-06-30 2003-03-17 セイコーエプソン株式会社 半導体装置およびその製造方法
US6359374B1 (en) 1999-11-23 2002-03-19 Mcnc Miniature electrical relays using a piezoelectric thin film as an actuating element
US6445106B1 (en) 2000-02-18 2002-09-03 Intel Corporation Micro-electromechanical structure resonator, method of making, and method of using
US7008812B1 (en) 2000-05-30 2006-03-07 Ic Mechanics, Inc. Manufacture of MEMS structures in sealed cavity using dry-release MEMS device encapsulation
AU2001270026A1 (en) 2000-06-21 2002-01-02 Input/Output, Inc. Accelerometer with folded beams
US6352917B1 (en) 2000-06-21 2002-03-05 Chartered Semiconductor Manufacturing Ltd. Reversed damascene process for multiple level metal interconnects
US6473361B1 (en) * 2000-11-10 2002-10-29 Xerox Corporation Electromechanical memory cell
US20020096421A1 (en) 2000-11-29 2002-07-25 Cohn Michael B. MEMS device with integral packaging
US20020086456A1 (en) * 2000-12-19 2002-07-04 Cunningham Shawn Jay Bulk micromachining process for fabricating an optical MEMS device with integrated optical aperture
KR100382732B1 (ko) * 2001-01-10 2003-05-09 삼성전자주식회사 반도체 소자의 실린더형 커패시터 제조 방법
US6898740B2 (en) 2001-01-25 2005-05-24 Hewlett-Packard Development Company, L.P. Computer system having configurable core logic chipset for connection to a fault-tolerant accelerated graphics port bus and peripheral component interconnect bus
ATE493368T1 (de) 2001-03-29 2011-01-15 Toyota Chuo Kenkyusho Kk Ein verfahren zum erzeugen einer hohlen struktur aus einer silizium-struktur
US6902947B2 (en) 2001-05-07 2005-06-07 Applied Materials, Inc. Integrated method for release and passivation of MEMS structures
US6808276B2 (en) 2001-05-08 2004-10-26 Axsun Technologies, Inc. Suspended high reflectivity coating on release structure and fabrication process therefor
US6780759B2 (en) * 2001-05-09 2004-08-24 Silicon Genesis Corporation Method for multi-frequency bonding
US6573822B2 (en) 2001-06-18 2003-06-03 Intel Corporation Tunable inductor using microelectromechanical switches
US6649852B2 (en) 2001-08-14 2003-11-18 Motorola, Inc. Micro-electro mechanical system
US6808954B2 (en) 2001-09-07 2004-10-26 Intel Corporation Vacuum-cavity MEMS resonator
US6639488B2 (en) 2001-09-07 2003-10-28 Ibm Corporation MEMS RF switch with low actuation voltage
US7033910B2 (en) * 2001-09-12 2006-04-25 Reveo, Inc. Method of fabricating multi layer MEMS and microfluidic devices
US6930364B2 (en) * 2001-09-13 2005-08-16 Silicon Light Machines Corporation Microelectronic mechanical system and methods
US6989603B2 (en) 2001-10-02 2006-01-24 Guobiao Zhang nF-Opening Aiv Structures
US6635506B2 (en) 2001-11-07 2003-10-21 International Business Machines Corporation Method of fabricating micro-electromechanical switches on CMOS compatible substrates
EP1717195B1 (de) 2001-11-09 2011-09-14 WiSpry, Inc. MEMS-Schalter mit dreischichtigem Biegebalken und diesbezügliche Verfahren
US7943412B2 (en) 2001-12-10 2011-05-17 International Business Machines Corporation Low temperature Bi-CMOS compatible process for MEMS RF resonators and filters
US6943105B2 (en) * 2002-01-18 2005-09-13 International Business Machines Corporation Soft metal conductor and method of making
JP3558066B2 (ja) * 2002-02-19 2004-08-25 ソニー株式会社 Mems素子とその製造方法、光変調素子、glvデバイスとその製造方法、及びレーザディスプレイ
US6701779B2 (en) 2002-03-21 2004-03-09 International Business Machines Corporation Perpendicular torsion micro-electromechanical switch
US6852926B2 (en) 2002-03-26 2005-02-08 Intel Corporation Packaging microelectromechanical structures
CN1229860C (zh) * 2002-04-30 2005-11-30 中芯国际集成电路制造(上海)有限公司 中空沟槽隔离物及其制造方法
JP3778128B2 (ja) 2002-05-14 2006-05-24 株式会社デンソー メンブレンを有する半導体装置の製造方法
US6902656B2 (en) 2002-05-24 2005-06-07 Dalsa Semiconductor Inc. Fabrication of microstructures with vacuum-sealed cavity
US7363099B2 (en) 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
AU2003243546A1 (en) 2002-06-13 2003-12-31 Wispry, Inc. Micro-electro-mechanical system (mems) variable capacitor apparatuses and related methods
US6686820B1 (en) 2002-07-11 2004-02-03 Intel Corporation Microelectromechanical (MEMS) switching apparatus
US7064637B2 (en) 2002-07-18 2006-06-20 Wispry, Inc. Recessed electrode for electrostatically actuated structures
US7429495B2 (en) 2002-08-07 2008-09-30 Chang-Feng Wan System and method of fabricating micro cavities
EP1398811B1 (de) 2002-09-16 2011-08-10 Imec Geschaltete Kapazität
US6789029B2 (en) 2002-10-18 2004-09-07 Motorola, Inc. Method and apparatus for signal extraction in an electronic sensor
US7019434B2 (en) 2002-11-08 2006-03-28 Iris Ao, Inc. Deformable mirror method and apparatus including bimorph flexures and integrated drive
US7180145B2 (en) 2002-12-13 2007-02-20 Wispry, Inc. Micro-electro-mechanical system (MEMS) variable capacitor apparatuses, systems and related methods
KR100497610B1 (ko) * 2003-02-14 2005-07-01 삼성전자주식회사 반도체 장치의 절연막 형성방법
US6928879B2 (en) 2003-02-26 2005-08-16 Robert Bosch Gmbh Episeal pressure sensor and method for making an episeal pressure sensor
US6798029B2 (en) 2003-05-09 2004-09-28 International Business Machines Corporation Method of fabricating micro-electromechanical switches on CMOS compatible substrates
US20040235297A1 (en) 2003-05-23 2004-11-25 Bih-Tiao Lin Reverse electroplating for damascene conductive region formation
US7075160B2 (en) 2003-06-04 2006-07-11 Robert Bosch Gmbh Microelectromechanical systems and devices having thin film encapsulated mechanical structures
US7215229B2 (en) 2003-09-17 2007-05-08 Schneider Electric Industries Sas Laminated relays with multiple flexible contacts
US7131105B2 (en) 2003-09-19 2006-10-31 Coventor, Inc. System and method for automatic mesh generation from a system-level MEMS design
JP4864307B2 (ja) 2003-09-30 2012-02-01 アイメック エアーギャップを選択的に形成する方法及び当該方法により得られる装置
JP4561072B2 (ja) * 2003-09-30 2010-10-13 株式会社日立製作所 Memsスイッチを有する半導体装置
DE10352001A1 (de) 2003-11-07 2005-06-09 Robert Bosch Gmbh Mikromechanisches Bauelement mit einer Membran und Verfahren zur Herstellung eines solchen Bauelements
US7585744B2 (en) 2003-12-08 2009-09-08 Freescale Semiconductor, Inc. Method of forming a seal for a semiconductor device
US7352266B2 (en) 2004-02-20 2008-04-01 Wireless Mems, Inc. Head electrode region for a reliable metal-to-metal contact micro-relay MEMS switch
JP4377740B2 (ja) 2004-04-28 2009-12-02 株式会社東芝 圧電駆動型mems素子およびこの圧電駆動型mems素子を有する移動体通信機
US7381583B1 (en) 2004-05-24 2008-06-03 The United States Of America As Represented By The Secretary Of The Air Force MEMS RF switch integrated process
KR100541657B1 (ko) * 2004-06-29 2006-01-11 삼성전자주식회사 멀티 게이트 트랜지스터의 제조방법 및 이에 의해 제조된멀티 게이트 트랜지스터
JP4037394B2 (ja) 2004-09-16 2008-01-23 株式会社東芝 マイクロメカニカルデバイス
US20060067650A1 (en) * 2004-09-27 2006-03-30 Clarence Chui Method of making a reflective display device using thin film transistor production techniques
FR2875948B1 (fr) 2004-09-28 2006-12-08 Commissariat Energie Atomique Composant d'encapsulation de micro-systeme electromecaniques integres et procede de realisation du composant
US8203402B2 (en) * 2004-10-27 2012-06-19 Epcos Ag Electronic device
US7344907B2 (en) 2004-11-19 2008-03-18 International Business Machines Corporation Apparatus and methods for encapsulating microelectromechanical (MEM) devices on a wafer scale
EP2445107B1 (de) 2004-12-09 2019-02-20 Wispry, Inc. Pol-nullstellen-elemente und zugehörige Systeme und Verfahren
DE102004061796A1 (de) * 2004-12-22 2006-07-13 Robert Bosch Gmbh Mikromechanisches kapazitives Sensorelement
US7348870B2 (en) 2005-01-05 2008-03-25 International Business Machines Corporation Structure and method of fabricating a hinge type MEMS switch
JP4724488B2 (ja) 2005-02-25 2011-07-13 日立オートモティブシステムズ株式会社 集積化マイクロエレクトロメカニカルシステム
WO2006123900A1 (en) 2005-05-18 2006-11-23 Samsung Electronics Co., Ltd. Terminal having display button and method of displaying using the display button
US8053850B2 (en) * 2005-06-30 2011-11-08 Semiconductor Energy Laboratory Co., Ltd. Minute structure, micromachine, organic transistor, electric appliance, and manufacturing method thereof
US7417287B2 (en) 2005-07-01 2008-08-26 System General Corp. Electrostatic discharge device having controllable trigger voltage
US8071486B2 (en) 2005-07-18 2011-12-06 Teledyne Dalsa Semiconductor Inc. Method for removing residues formed during the manufacture of MEMS devices
US7294552B2 (en) 2005-08-29 2007-11-13 Delphi Technologies, Inc. Electrical contact for a MEMS device and method of making
US7394332B2 (en) 2005-09-01 2008-07-01 International Business Machines Corporation Micro-cavity MEMS device and method of fabricating same
JP4699172B2 (ja) 2005-10-25 2011-06-08 ルネサスエレクトロニクス株式会社 半導体装置
US7877866B1 (en) * 2005-10-26 2011-02-01 Second Sight Medical Products, Inc. Flexible circuit electrode array and method of manufacturing the same
US8043950B2 (en) 2005-10-26 2011-10-25 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
GB0522471D0 (en) 2005-11-03 2005-12-14 Cavendish Kinetics Ltd Memory element fabricated using atomic layer deposition
JP2009516388A (ja) * 2005-11-18 2009-04-16 レプリソールス テクノロジーズ アーベー 多層構造の形成方法
KR101092536B1 (ko) 2005-11-30 2011-12-13 삼성전자주식회사 압전형 rf 멤스 소자 및 그 제조방법
JP2007157511A (ja) 2005-12-06 2007-06-21 Hitachi Ltd マイクロエレクトロメカニカルシステムを用いたスイッチ
DE102005059905A1 (de) * 2005-12-15 2007-06-28 Robert Bosch Gmbh Mikromechanisches Bauelement und Herstellungsverfahren
US7838321B2 (en) 2005-12-20 2010-11-23 Xerox Corporation Multiple stage MEMS release for isolation of similar materials
US7602068B2 (en) 2006-01-19 2009-10-13 International Machines Corporation Dual-damascene process to fabricate thick wire structure
JP2007210083A (ja) 2006-02-13 2007-08-23 Hitachi Ltd Mems素子及びその製造方法
JP2007216368A (ja) * 2006-02-20 2007-08-30 Sony Corp 電気機械素子、電子回路装置、およびこれらの製造方法
EP2002511A4 (de) 2006-03-08 2012-02-29 Wispry Inc Einstellbare impedanzabgleichsnetze und einstellbare diplexer-abgleichssysteme
FR2898597B1 (fr) * 2006-03-16 2008-09-19 Commissariat Energie Atomique Encapsulation dans une cavite hermetique d'un compose microelectronique, notamment d'un mems
GB0605576D0 (en) * 2006-03-20 2006-04-26 Oligon Ltd MEMS device
DE602007007198D1 (de) * 2006-03-30 2010-07-29 Sonion Mems As Akustischer einchip-mems-wandler und herstellungsverfahren
JP4979283B2 (ja) 2006-06-29 2012-07-18 株式会社日立製作所 半導体装置の製造方法および半導体装置
JP4866683B2 (ja) 2006-08-25 2012-02-01 富士通セミコンダクター株式会社 半導体デバイスの製造方法、データ作成装置、データ作成方法、およびプログラム
JP4737140B2 (ja) 2006-10-20 2011-07-27 セイコーエプソン株式会社 Memsデバイスおよびその製造方法
JP2008114354A (ja) * 2006-11-08 2008-05-22 Seiko Epson Corp 電子装置及びその製造方法
TWI324890B (en) * 2006-12-18 2010-05-11 Advanced Semiconductor Eng Micro electro-mechanical system device and manufacturing method thereof
US20080160749A1 (en) * 2006-12-27 2008-07-03 Texas Instruments Incorporated Semiconductor device and method of forming thereof
JP5123532B2 (ja) 2007-01-30 2013-01-23 太陽誘電株式会社 マイクロカンチレバー
US7732299B2 (en) * 2007-02-12 2010-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Process for wafer bonding
WO2008103632A2 (en) * 2007-02-20 2008-08-28 Qualcomm Mems Technologies, Inc. Equipment and methods for etching of mems
CN101261963B (zh) * 2007-03-08 2010-12-29 诚佑科技股份有限公司 微型电子元件及其制造方法
US7923790B1 (en) 2007-03-09 2011-04-12 Silicon Laboratories Inc. Planar microshells for vacuum encapsulated devices and damascene method of manufacture
US7544531B1 (en) 2007-03-13 2009-06-09 Sitime Inc. Ground strap for suppressing stiction during MEMS fabrication
JP2008221398A (ja) 2007-03-13 2008-09-25 Oki Electric Ind Co Ltd 微小電気機械システムおよび微小電気機械システムの製造方法
CN101267689A (zh) * 2007-03-14 2008-09-17 佳乐电子股份有限公司 电容式微型麦克风的麦克风芯片
JP4370339B2 (ja) 2007-03-23 2009-11-25 Okiセミコンダクタ株式会社 Mems振動子の製造方法及びmems振動子
US20090013449A1 (en) * 2007-07-10 2009-01-15 Colleen Kahn Pacifier securing device
JP4607153B2 (ja) 2007-07-12 2011-01-05 株式会社日立製作所 微小電気機械システム素子の製造方法
CN101849289B (zh) 2007-07-23 2014-02-26 维斯普瑞公司 制备三层梁的方法和设备
JP5412031B2 (ja) 2007-07-24 2014-02-12 ローム株式会社 Memsセンサ
JP5474805B2 (ja) 2007-10-15 2014-04-16 エプコス アクチエンゲゼルシャフト 基板上にmems素子を製造する方法
TW200938479A (en) * 2007-10-22 2009-09-16 Toshiba Kk Micromachine device and method of manufacturing the same
CN101417785A (zh) 2007-10-24 2009-04-29 佳世达科技股份有限公司 晶圆级感测元件的封装结构及其制造方法
CN101434376B (zh) * 2007-11-16 2011-10-19 微智半导体股份有限公司 悬浮微机电结构制造方法
US7880246B2 (en) 2007-11-29 2011-02-01 Stichting Imec Nederland Microstructure with enlarged mass and electrode area for kinetic to electrical energy conversion
US7999335B2 (en) 2007-12-05 2011-08-16 Semiconductor Energy Laboratory Co., Ltd. Micromachine and method for manufacturing the same
JP4562762B2 (ja) 2007-12-06 2010-10-13 Okiセミコンダクタ株式会社 静電容量型センサ及びその製造方法
US7692519B2 (en) 2007-12-21 2010-04-06 General Electric Company MEMS switch with improved standoff voltage control
JP5193639B2 (ja) * 2008-03-19 2013-05-08 株式会社東芝 マイクロマシン装置及びマイクロマシン装置の製造方法
EP2107038B1 (de) * 2008-03-31 2012-05-16 Imec Elektrostatisch betätigbare MEMS-Vorrichtung mit verringerter Substrataufladung
US8310053B2 (en) 2008-04-23 2012-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a device with a cavity
WO2009133506A2 (en) * 2008-04-29 2009-11-05 Nxp B.V. Mems device and manufacturing method
US7993950B2 (en) 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
FR2932923B1 (fr) 2008-06-23 2011-03-25 Commissariat Energie Atomique Substrat heterogene comportant une couche sacrificielle et son procede de realisation.
US8148790B2 (en) * 2008-07-08 2012-04-03 Wispry, Inc. Thin-film lid MEMS devices and methods
JP2010021187A (ja) * 2008-07-08 2010-01-28 Nec Electronics Corp 半導体集積回路の設計方法、設計プログラム、及び半導体集積回路の製造方法
DE102008040851A1 (de) 2008-07-30 2010-02-04 Robert Bosch Gmbh Verfahren zum Verkappen eines MEMS-Wafers sowie MEMS-Wafer
US20100065930A1 (en) 2008-09-18 2010-03-18 Rohm Co., Ltd. Method of etching sacrificial layer, method of manufacturing MEMS device, MEMS device and MEMS sensor
JP2010155306A (ja) 2008-12-26 2010-07-15 Panasonic Corp Memsデバイス及びその製造方法
WO2010083520A1 (en) 2009-01-19 2010-07-22 Wispry, Inc. Reduced voltage mems electrostatic actuation methods
US8957485B2 (en) * 2009-01-21 2015-02-17 Cavendish Kinetics, Ltd. Fabrication of MEMS based cantilever switches by employing a split layer cantilever deposition scheme
KR101156275B1 (ko) 2009-02-06 2012-06-13 주식회사 엘지화학 터치스크린 및 이의 제조방법
ES2342872B1 (es) 2009-05-20 2011-05-30 Baolab Microsystems S.L. Chip que comprende un mems dispuesto en un circuito integrado y procedimiento de fabricacion correspondiente.
JP2010280035A (ja) * 2009-06-04 2010-12-16 Toshiba Corp Memsデバイスとその製造方法
JPWO2011016555A1 (ja) * 2009-08-07 2013-01-17 日本電気株式会社 半導体装置とその製造方法
US8569091B2 (en) 2009-08-27 2013-10-29 International Business Machines Corporation Integrated circuit switches, design structure and methods of fabricating the same
US8779886B2 (en) 2009-11-30 2014-07-15 General Electric Company Switch structures
US8685778B2 (en) 2010-06-25 2014-04-01 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
US8432240B2 (en) 2010-07-16 2013-04-30 Telepath Networks, Inc. Miniature magnetic switch structures
CN102348155B (zh) 2010-07-30 2014-02-05 上海丽恒光微电子科技有限公司 微机电麦克风及其制造方法
US8957747B2 (en) 2010-10-27 2015-02-17 Telepath Networks, Inc. Multi integrated switching device structures
US20120133006A1 (en) 2010-11-29 2012-05-31 International Business Machines Corporation Oxide mems beam
US8138008B1 (en) 2010-11-29 2012-03-20 International Business Machines Corporation Forming an oxide MEMS beam
JP2014200857A (ja) * 2013-04-01 2014-10-27 株式会社東芝 Mems装置及びその製造方法
US10414046B1 (en) 2016-11-07 2019-09-17 X Development Llc Modular robot design

Also Published As

Publication number Publication date
TW201213225A (en) 2012-04-01
GB201300265D0 (en) 2013-02-20
CN102906010B (zh) 2015-12-02
US20190152767A1 (en) 2019-05-23
CN102906011A (zh) 2013-01-30
TW201221465A (en) 2012-06-01
KR20130039733A (ko) 2013-04-22
US20160355392A1 (en) 2016-12-08
US11104572B2 (en) 2021-08-31
US20180072568A1 (en) 2018-03-15
US8685778B2 (en) 2014-04-01
GB2494600A (en) 2013-03-13
US9926191B2 (en) 2018-03-27
CA2787161A1 (en) 2011-12-29
US9041128B2 (en) 2015-05-26
CN102906008B (zh) 2015-11-25
US20180319652A1 (en) 2018-11-08
US9764944B2 (en) 2017-09-19
CN102906009A (zh) 2013-01-30
DE112011102130B4 (de) 2019-03-14
US20130234265A1 (en) 2013-09-12
US20110316101A1 (en) 2011-12-29
US10093537B2 (en) 2018-10-09
US20170121170A1 (en) 2017-05-04
WO2011162953A3 (en) 2012-04-19
DE112011102124B4 (de) 2015-11-26
WO2011162953A2 (en) 2011-12-29
US20160325982A1 (en) 2016-11-10
US10414646B2 (en) 2019-09-17
GB2494360A (en) 2013-03-06
US10640364B2 (en) 2020-05-05
US20110316097A1 (en) 2011-12-29
US10618802B2 (en) 2020-04-14
DE112011102135B4 (de) 2017-12-28
US20190315619A1 (en) 2019-10-17
US20190169017A1 (en) 2019-06-06
WO2011162950A3 (en) 2012-05-24
US20130221454A1 (en) 2013-08-29
US20160244321A1 (en) 2016-08-25
DE112011102130T5 (de) 2013-03-28
GB201300085D0 (en) 2013-02-20
US10618803B2 (en) 2020-04-14
US20140166463A1 (en) 2014-06-19
US9493341B2 (en) 2016-11-15
US20200017355A1 (en) 2020-01-16
US20200017356A1 (en) 2020-01-16
US20160325986A1 (en) 2016-11-10
US8709264B2 (en) 2014-04-29
US20160325983A1 (en) 2016-11-10
US20180072566A1 (en) 2018-03-15
GB201300091D0 (en) 2013-02-20
US10011477B2 (en) 2018-07-03
US9890039B2 (en) 2018-02-13
US10640365B2 (en) 2020-05-05
US20170158490A1 (en) 2017-06-08
US20150041932A1 (en) 2015-02-12
DE112011102136T5 (de) 2013-04-04
US9828243B2 (en) 2017-11-28
TWI537204B (zh) 2016-06-11
CN102906871A (zh) 2013-01-30
WO2011162949A3 (en) 2012-07-19
WO2011160985A3 (en) 2012-03-01
US20160055282A1 (en) 2016-02-25
GB2494824B (en) 2015-01-14
GB201300040D0 (en) 2013-02-20
US9637373B2 (en) 2017-05-02
US10246319B2 (en) 2019-04-02
US20160060099A1 (en) 2016-03-03
US10011480B2 (en) 2018-07-03
CN102906009B (zh) 2016-01-06
CN102295263A (zh) 2011-12-28
US20170022048A1 (en) 2017-01-26
DE112011102124T9 (de) 2015-10-08
US10766765B2 (en) 2020-09-08
CA2787130A1 (en) 2011-12-29
US20200024127A1 (en) 2020-01-23
US11174160B2 (en) 2021-11-16
US20180244514A1 (en) 2018-08-30
US20160099124A1 (en) 2016-04-07
US20110316099A1 (en) 2011-12-29
WO2011160986A1 (en) 2011-12-29
CN102295264B (zh) 2014-08-20
GB2494359A (en) 2013-03-06
US20190248644A1 (en) 2019-08-15
GB201418872D0 (en) 2014-12-03
GB2494600B (en) 2015-02-25
US10906803B2 (en) 2021-02-02
CN102295263B (zh) 2015-06-10
US20180072567A1 (en) 2018-03-15
CN102906008A (zh) 2013-01-30
GB2494355B (en) 2015-01-28
US9406472B2 (en) 2016-08-02
US10308501B2 (en) 2019-06-04
US20180009658A1 (en) 2018-01-11
US10081540B2 (en) 2018-09-25
KR20130118228A (ko) 2013-10-29
US9330856B2 (en) 2016-05-03
US20160083245A1 (en) 2016-03-24
DE112011102134T5 (de) 2013-04-04
US9862598B2 (en) 2018-01-09
US9624099B2 (en) 2017-04-18
CN102295264A (zh) 2011-12-28
US8956903B2 (en) 2015-02-17
CN102295265A (zh) 2011-12-28
US20110316098A1 (en) 2011-12-29
US20180346318A1 (en) 2018-12-06
US20110318861A1 (en) 2011-12-29
TWI549899B (zh) 2016-09-21
GB2494355A (en) 2013-03-06
GB2494359B (en) 2015-01-14
DE112011102135T5 (de) 2013-05-02
CN102906871B (zh) 2015-11-25
GB2494360B (en) 2013-09-18
US10584026B2 (en) 2020-03-10
US20180057357A1 (en) 2018-03-01
US11021364B2 (en) 2021-06-01
US8458888B2 (en) 2013-06-11
US10315913B2 (en) 2019-06-11
WO2011162949A2 (en) 2011-12-29
US20160096721A1 (en) 2016-04-07
CN102295265B (zh) 2014-12-17
US20160060107A1 (en) 2016-03-03
US11111139B2 (en) 2021-09-07
US8921144B2 (en) 2014-12-30
US9932225B2 (en) 2018-04-03
CN102906010A (zh) 2013-01-30
GB2494824A (en) 2013-03-20
DE112011102134B4 (de) 2017-02-02
US8865497B2 (en) 2014-10-21
US9493343B2 (en) 2016-11-15
GB201300041D0 (en) 2013-02-20
US11111138B2 (en) 2021-09-07
TWI538873B (zh) 2016-06-21
US10173889B2 (en) 2019-01-08
CA2787130C (en) 2018-07-24
US20110314669A1 (en) 2011-12-29
CN102906011B (zh) 2016-02-10
US10214416B2 (en) 2019-02-26
US20110315527A1 (en) 2011-12-29
US20190233277A1 (en) 2019-08-01
KR20130020685A (ko) 2013-02-27
WO2011160985A2 (en) 2011-12-29
US9352954B2 (en) 2016-05-31
US20110315528A1 (en) 2011-12-29
US9815690B2 (en) 2017-11-14
US20110315526A1 (en) 2011-12-29
DE112011102136B4 (de) 2019-03-14
US10005661B2 (en) 2018-06-26
WO2011162950A2 (en) 2011-12-29
KR101800914B1 (ko) 2017-11-23
US20180257931A1 (en) 2018-09-13
TW201219293A (en) 2012-05-16
US8722445B2 (en) 2014-05-13
US20180050903A1 (en) 2018-02-22

Similar Documents

Publication Publication Date Title
DE112011102124B4 (de) Mikroelektromechanisches System
DE112012001816B4 (de) Mikro-elektromechanisches System (MEMS) und zugehörige Aktuator-Höcker, Herstellungsverfahren und Entwurfsstrukturen

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R082 Change of representative

Representative=s name: SPIES & BEHRNDT PATENTANWAELTE PARTG MBB, DE

Representative=s name: LIFETECH IP SPIES & BEHRNDT PATENTANWAELTE PAR, DE

Representative=s name: LIFETECH IP SPIES DANNER & PARTNER PATENTANWAE, DE

R082 Change of representative

Representative=s name: SPIES & BEHRNDT PATENTANWAELTE PARTG MBB, DE

Representative=s name: LIFETECH IP SPIES & BEHRNDT PATENTANWAELTE PAR, DE

Representative=s name: LIFETECH IP SPIES DANNER & PARTNER PATENTANWAE, DE

R016 Response to examination communication
R018 Grant decision by examination section/examining division
R082 Change of representative

Representative=s name: SPIES & BEHRNDT PATENTANWAELTE PARTG MBB, DE

Representative=s name: LIFETECH IP SPIES & BEHRNDT PATENTANWAELTE PAR, DE

R020 Patent grant now final
R082 Change of representative

Representative=s name: SPIES & BEHRNDT PATENTANWAELTE PARTG MBB, DE