TW201213225A - Planar cavity MEMS and related structures, methods of manufacture and design structures - Google Patents
Planar cavity MEMS and related structures, methods of manufacture and design structures Download PDFInfo
- Publication number
- TW201213225A TW201213225A TW100122300A TW100122300A TW201213225A TW 201213225 A TW201213225 A TW 201213225A TW 100122300 A TW100122300 A TW 100122300A TW 100122300 A TW100122300 A TW 100122300A TW 201213225 A TW201213225 A TW 201213225A
- Authority
- TW
- Taiwan
- Prior art keywords
- layer
- mems
- oxide
- layout
- discrete lines
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 135
- 238000013461 design Methods 0.000 title description 73
- 238000004519 manufacturing process Methods 0.000 title description 22
- 230000008021 deposition Effects 0.000 claims abstract description 73
- 239000000758 substrate Substances 0.000 claims abstract description 18
- 229910052751 metal Inorganic materials 0.000 claims description 70
- 239000002184 metal Substances 0.000 claims description 70
- 229910016570 AlCu Inorganic materials 0.000 claims description 29
- 229910052718 tin Inorganic materials 0.000 claims description 29
- 238000005530 etching Methods 0.000 claims description 26
- 229910052782 aluminium Inorganic materials 0.000 claims description 22
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims description 22
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 claims description 21
- 238000000059 patterning Methods 0.000 claims description 18
- 229910052715 tantalum Inorganic materials 0.000 claims description 18
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 claims description 17
- 230000015572 biosynthetic process Effects 0.000 claims description 16
- 230000002829 reductive effect Effects 0.000 claims description 16
- 229910010039 TiAl3 Inorganic materials 0.000 claims description 13
- 238000000137 annealing Methods 0.000 claims description 10
- 239000003870 refractory metal Substances 0.000 claims description 9
- 229910000838 Al alloy Inorganic materials 0.000 claims description 5
- 229910045601 alloy Inorganic materials 0.000 claims description 4
- 239000000956 alloy Substances 0.000 claims description 4
- 238000011960 computer-aided design Methods 0.000 claims description 4
- 239000007787 solid Substances 0.000 claims description 4
- 150000002739 metals Chemical class 0.000 claims description 2
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 abstract 1
- 229910052710 silicon Inorganic materials 0.000 abstract 1
- 239000010703 silicon Substances 0.000 abstract 1
- 239000010410 layer Substances 0.000 description 289
- 239000011797 cavity material Substances 0.000 description 135
- 239000000463 material Substances 0.000 description 87
- 238000000151 deposition Methods 0.000 description 78
- 230000008569 process Effects 0.000 description 76
- 239000003990 capacitor Substances 0.000 description 63
- 239000012212 insulator Substances 0.000 description 58
- 235000012431 wafers Nutrition 0.000 description 34
- 238000012545 processing Methods 0.000 description 26
- 230000002441 reversible effect Effects 0.000 description 22
- 238000001020 plasma etching Methods 0.000 description 19
- 238000013022 venting Methods 0.000 description 19
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 18
- 238000005137 deposition process Methods 0.000 description 18
- 229910052707 ruthenium Inorganic materials 0.000 description 18
- 238000012938 design process Methods 0.000 description 17
- 229910052732 germanium Inorganic materials 0.000 description 17
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 17
- 238000005452 bending Methods 0.000 description 15
- 238000001459 lithography Methods 0.000 description 14
- 238000000227 grinding Methods 0.000 description 13
- 238000012876 topography Methods 0.000 description 13
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 12
- 238000004140 cleaning Methods 0.000 description 12
- 238000010586 diagram Methods 0.000 description 12
- 238000012360 testing method Methods 0.000 description 12
- 230000007547 defect Effects 0.000 description 11
- 230000003647 oxidation Effects 0.000 description 10
- 238000007254 oxidation reaction Methods 0.000 description 10
- 239000004575 stone Substances 0.000 description 10
- 238000000231 atomic layer deposition Methods 0.000 description 9
- 238000004544 sputter deposition Methods 0.000 description 9
- 150000004767 nitrides Chemical class 0.000 description 8
- 238000005498 polishing Methods 0.000 description 8
- 230000009467 reduction Effects 0.000 description 8
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 7
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 7
- 229910052709 silver Inorganic materials 0.000 description 7
- 239000004332 silver Substances 0.000 description 7
- 239000000126 substance Substances 0.000 description 7
- 230000003746 surface roughness Effects 0.000 description 7
- 229910052786 argon Inorganic materials 0.000 description 6
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 6
- 230000015556 catabolic process Effects 0.000 description 6
- 239000001301 oxygen Substances 0.000 description 6
- 229910052760 oxygen Inorganic materials 0.000 description 6
- 239000000725 suspension Substances 0.000 description 6
- 230000004888 barrier function Effects 0.000 description 5
- 238000005240 physical vapour deposition Methods 0.000 description 5
- 239000004065 semiconductor Substances 0.000 description 5
- 238000004088 simulation Methods 0.000 description 5
- 238000003860 storage Methods 0.000 description 5
- 229910052721 tungsten Inorganic materials 0.000 description 5
- 239000011800 void material Substances 0.000 description 5
- 239000003989 dielectric material Substances 0.000 description 4
- 239000007789 gas Substances 0.000 description 4
- 238000011065 in-situ storage Methods 0.000 description 4
- 229920002120 photoresistant polymer Polymers 0.000 description 4
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 4
- 229920000642 polymer Polymers 0.000 description 4
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 4
- 239000010937 tungsten Substances 0.000 description 4
- 239000004642 Polyimide Substances 0.000 description 3
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 3
- 238000001505 atmospheric-pressure chemical vapour deposition Methods 0.000 description 3
- 230000008859 change Effects 0.000 description 3
- 238000006243 chemical reaction Methods 0.000 description 3
- 239000010949 copper Substances 0.000 description 3
- 238000013500 data storage Methods 0.000 description 3
- 210000003298 dental enamel Anatomy 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 238000005516 engineering process Methods 0.000 description 3
- 230000006870 function Effects 0.000 description 3
- 239000012535 impurity Substances 0.000 description 3
- 238000009413 insulation Methods 0.000 description 3
- 229910000765 intermetallic Inorganic materials 0.000 description 3
- 229920001721 polyimide Polymers 0.000 description 3
- 238000001179 sorption measurement Methods 0.000 description 3
- 125000006850 spacer group Chemical group 0.000 description 3
- XSOKHXFFCGXDJZ-UHFFFAOYSA-N telluride(2-) Chemical compound [Te-2] XSOKHXFFCGXDJZ-UHFFFAOYSA-N 0.000 description 3
- 230000036962 time dependent Effects 0.000 description 3
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- KAKZBPTYRLMSJV-UHFFFAOYSA-N Butadiene Chemical compound C=CC=C KAKZBPTYRLMSJV-UHFFFAOYSA-N 0.000 description 2
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 2
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 2
- RAHZWNYVWXNFOC-UHFFFAOYSA-N Sulphur dioxide Chemical compound O=S=O RAHZWNYVWXNFOC-UHFFFAOYSA-N 0.000 description 2
- 238000009825 accumulation Methods 0.000 description 2
- 239000002253 acid Substances 0.000 description 2
- 238000004458 analytical method Methods 0.000 description 2
- 238000004630 atomic force microscopy Methods 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 229910052802 copper Inorganic materials 0.000 description 2
- 238000005336 cracking Methods 0.000 description 2
- 230000007423 decrease Effects 0.000 description 2
- 230000003247 decreasing effect Effects 0.000 description 2
- 230000000994 depressogenic effect Effects 0.000 description 2
- 230000005611 electricity Effects 0.000 description 2
- 238000011049 filling Methods 0.000 description 2
- 239000012467 final product Substances 0.000 description 2
- 239000001307 helium Substances 0.000 description 2
- 229910052734 helium Inorganic materials 0.000 description 2
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 2
- 239000001257 hydrogen Substances 0.000 description 2
- 229910052739 hydrogen Inorganic materials 0.000 description 2
- 238000003384 imaging method Methods 0.000 description 2
- 238000003780 insertion Methods 0.000 description 2
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 2
- 238000013507 mapping Methods 0.000 description 2
- 230000000873 masking effect Effects 0.000 description 2
- 238000005259 measurement Methods 0.000 description 2
- 239000008267 milk Substances 0.000 description 2
- 210000004080 milk Anatomy 0.000 description 2
- 235000013336 milk Nutrition 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 230000003287 optical effect Effects 0.000 description 2
- 230000001590 oxidative effect Effects 0.000 description 2
- 230000036961 partial effect Effects 0.000 description 2
- 239000000047 product Substances 0.000 description 2
- 238000001289 rapid thermal chemical vapour deposition Methods 0.000 description 2
- 238000007789 sealing Methods 0.000 description 2
- 239000003566 sealing material Substances 0.000 description 2
- 239000002356 single layer Substances 0.000 description 2
- 238000012546 transfer Methods 0.000 description 2
- 238000012795 verification Methods 0.000 description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 2
- IGELFKKMDLGCJO-UHFFFAOYSA-N xenon difluoride Chemical compound F[Xe]F IGELFKKMDLGCJO-UHFFFAOYSA-N 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- 206010036790 Productive cough Diseases 0.000 description 1
- 229910001347 Stellite Inorganic materials 0.000 description 1
- 229910010038 TiAl Inorganic materials 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- 238000005275 alloying Methods 0.000 description 1
- 230000003321 amplification Effects 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 229910000417 bismuth pentoxide Inorganic materials 0.000 description 1
- 210000004556 brain Anatomy 0.000 description 1
- 235000008429 bread Nutrition 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 238000004422 calculation algorithm Methods 0.000 description 1
- 238000004364 calculation method Methods 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 229910000420 cerium oxide Inorganic materials 0.000 description 1
- 238000012512 characterization method Methods 0.000 description 1
- AHICWQREWHDHHF-UHFFFAOYSA-N chromium;cobalt;iron;manganese;methane;molybdenum;nickel;silicon;tungsten Chemical compound C.[Si].[Cr].[Mn].[Fe].[Co].[Ni].[Mo].[W] AHICWQREWHDHHF-UHFFFAOYSA-N 0.000 description 1
- 210000000078 claw Anatomy 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 230000001351 cycling effect Effects 0.000 description 1
- 230000032798 delamination Effects 0.000 description 1
- 230000001419 dependent effect Effects 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 239000010432 diamond Substances 0.000 description 1
- 239000012895 dilution Substances 0.000 description 1
- 238000010790 dilution Methods 0.000 description 1
- 238000011143 downstream manufacturing Methods 0.000 description 1
- 239000002355 dual-layer Substances 0.000 description 1
- 238000010894 electron beam technology Methods 0.000 description 1
- 229910052737 gold Inorganic materials 0.000 description 1
- 239000010931 gold Substances 0.000 description 1
- 230000037431 insertion Effects 0.000 description 1
- 239000011229 interlayer Substances 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 229910052741 iridium Inorganic materials 0.000 description 1
- GKOZUEZYRPOHIO-UHFFFAOYSA-N iridium atom Chemical compound [Ir] GKOZUEZYRPOHIO-UHFFFAOYSA-N 0.000 description 1
- 238000012804 iterative process Methods 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 239000007791 liquid phase Substances 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- QLOAVXSYZAJECW-UHFFFAOYSA-N methane;molecular fluorine Chemical compound C.FF QLOAVXSYZAJECW-UHFFFAOYSA-N 0.000 description 1
- 230000000877 morphologic effect Effects 0.000 description 1
- 239000004570 mortar (masonry) Substances 0.000 description 1
- 238000000465 moulding Methods 0.000 description 1
- 229910052758 niobium Inorganic materials 0.000 description 1
- 239000010955 niobium Substances 0.000 description 1
- GUCVJGMIXFAOAE-UHFFFAOYSA-N niobium atom Chemical compound [Nb] GUCVJGMIXFAOAE-UHFFFAOYSA-N 0.000 description 1
- 229910000484 niobium oxide Inorganic materials 0.000 description 1
- URLJKFSTXLNXLG-UHFFFAOYSA-N niobium(5+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Nb+5].[Nb+5] URLJKFSTXLNXLG-UHFFFAOYSA-N 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 230000006911 nucleation Effects 0.000 description 1
- 238000010899 nucleation Methods 0.000 description 1
- 238000003199 nucleic acid amplification method Methods 0.000 description 1
- 238000013021 overheating Methods 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- BMMGVYCKOGBVEV-UHFFFAOYSA-N oxo(oxoceriooxy)cerium Chemical compound [Ce]=O.O=[Ce]=O BMMGVYCKOGBVEV-UHFFFAOYSA-N 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 239000004033 plastic Substances 0.000 description 1
- 238000007517 polishing process Methods 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 230000002265 prevention Effects 0.000 description 1
- 238000007639 printing Methods 0.000 description 1
- 230000000644 propagated effect Effects 0.000 description 1
- 239000011241 protective layer Substances 0.000 description 1
- 229910001404 rare earth metal oxide Inorganic materials 0.000 description 1
- 230000001373 regressive effect Effects 0.000 description 1
- 238000009877 rendering Methods 0.000 description 1
- 230000035939 shock Effects 0.000 description 1
- 239000000243 solution Substances 0.000 description 1
- 238000004901 spalling Methods 0.000 description 1
- 210000003802 sputum Anatomy 0.000 description 1
- 208000024794 sputum Diseases 0.000 description 1
- 235000010269 sulphur dioxide Nutrition 0.000 description 1
- 239000004291 sulphur dioxide Substances 0.000 description 1
- 238000010301 surface-oxidation reaction Methods 0.000 description 1
- 230000002194 synthesizing effect Effects 0.000 description 1
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 1
- 238000002076 thermal analysis method Methods 0.000 description 1
- 238000010200 validation analysis Methods 0.000 description 1
- 238000007740 vapor deposition Methods 0.000 description 1
- 229910052727 yttrium Inorganic materials 0.000 description 1
- VWQVUPCCIRVNHF-UHFFFAOYSA-N yttrium atom Chemical compound [Y] VWQVUPCCIRVNHF-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B81—MICROSTRUCTURAL TECHNOLOGY
- B81C—PROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
- B81C1/00—Manufacture or treatment of devices or systems in or on a substrate
- B81C1/00642—Manufacture or treatment of devices or systems in or on a substrate for improving the physical properties of a device
- B81C1/0065—Mechanical properties
- B81C1/00666—Treatments for controlling internal stress or strain in MEMS structures
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B81—MICROSTRUCTURAL TECHNOLOGY
- B81B—MICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
- B81B3/00—Devices comprising flexible or deformable elements, e.g. comprising elastic tongues or membranes
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B81—MICROSTRUCTURAL TECHNOLOGY
- B81B—MICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
- B81B3/00—Devices comprising flexible or deformable elements, e.g. comprising elastic tongues or membranes
- B81B3/0018—Structures acting upon the moving or flexible element for transforming energy into mechanical movement or vice versa, i.e. actuators, sensors, generators
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B81—MICROSTRUCTURAL TECHNOLOGY
- B81C—PROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
- B81C1/00—Manufacture or treatment of devices or systems in or on a substrate
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B81—MICROSTRUCTURAL TECHNOLOGY
- B81C—PROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
- B81C1/00—Manufacture or treatment of devices or systems in or on a substrate
- B81C1/00015—Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
- B81C1/00134—Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems comprising flexible or deformable structures
- B81C1/0015—Cantilevers
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B81—MICROSTRUCTURAL TECHNOLOGY
- B81C—PROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
- B81C1/00—Manufacture or treatment of devices or systems in or on a substrate
- B81C1/00349—Creating layers of material on a substrate
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B81—MICROSTRUCTURAL TECHNOLOGY
- B81C—PROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
- B81C1/00—Manufacture or treatment of devices or systems in or on a substrate
- B81C1/00349—Creating layers of material on a substrate
- B81C1/00365—Creating layers of material on a substrate having low tensile stress between layers
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B81—MICROSTRUCTURAL TECHNOLOGY
- B81C—PROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
- B81C1/00—Manufacture or treatment of devices or systems in or on a substrate
- B81C1/00436—Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
- B81C1/00444—Surface micromachining, i.e. structuring layers on the substrate
- B81C1/00468—Releasing structures
- B81C1/00476—Releasing structures removing a sacrificial layer
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B81—MICROSTRUCTURAL TECHNOLOGY
- B81C—PROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
- B81C1/00—Manufacture or treatment of devices or systems in or on a substrate
- B81C1/00436—Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
- B81C1/00555—Achieving a desired geometry, i.e. controlling etch rates, anisotropy or selectivity
- B81C1/00619—Forming high aspect ratio structures having deep steep walls
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B81—MICROSTRUCTURAL TECHNOLOGY
- B81C—PROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
- B81C1/00—Manufacture or treatment of devices or systems in or on a substrate
- B81C1/00436—Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
- B81C1/00555—Achieving a desired geometry, i.e. controlling etch rates, anisotropy or selectivity
- B81C1/00626—Processes for achieving a desired geometry not provided for in groups B81C1/00563 - B81C1/00619
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F30/00—Computer-aided design [CAD]
- G06F30/30—Circuit design
- G06F30/39—Circuit design at the physical level
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01H—ELECTRIC SWITCHES; RELAYS; SELECTORS; EMERGENCY PROTECTIVE DEVICES
- H01H1/00—Contacts
- H01H1/0036—Switches making use of microelectromechanical systems [MEMS]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01H—ELECTRIC SWITCHES; RELAYS; SELECTORS; EMERGENCY PROTECTIVE DEVICES
- H01H57/00—Electrostrictive relays; Piezoelectric relays
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01H—ELECTRIC SWITCHES; RELAYS; SELECTORS; EMERGENCY PROTECTIVE DEVICES
- H01H59/00—Electrostatic relays; Electro-adhesion relays
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01H—ELECTRIC SWITCHES; RELAYS; SELECTORS; EMERGENCY PROTECTIVE DEVICES
- H01H59/00—Electrostatic relays; Electro-adhesion relays
- H01H59/0009—Electrostatic relays; Electro-adhesion relays making use of micromechanics
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N—ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N30/00—Piezoelectric or electrostrictive devices
- H10N30/30—Piezoelectric or electrostrictive devices with mechanical input and electrical output, e.g. functioning as generators or sensors
- H10N30/304—Beam type
- H10N30/306—Cantilevers
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B81—MICROSTRUCTURAL TECHNOLOGY
- B81B—MICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
- B81B2201/00—Specific applications of microelectromechanical systems
- B81B2201/01—Switches
- B81B2201/012—Switches characterised by the shape
- B81B2201/014—Switches characterised by the shape having a cantilever fixed on one side connected to one or more dimples
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B81—MICROSTRUCTURAL TECHNOLOGY
- B81B—MICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
- B81B2203/00—Basic microelectromechanical structures
- B81B2203/01—Suspended structures, i.e. structures allowing a movement
- B81B2203/0118—Cantilevers
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B81—MICROSTRUCTURAL TECHNOLOGY
- B81B—MICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
- B81B2203/00—Basic microelectromechanical structures
- B81B2203/04—Electrodes
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B81—MICROSTRUCTURAL TECHNOLOGY
- B81C—PROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
- B81C2201/00—Manufacture or treatment of microstructural devices or systems
- B81C2201/01—Manufacture or treatment of microstructural devices or systems in or on a substrate
- B81C2201/0101—Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
- B81C2201/0102—Surface micromachining
- B81C2201/0105—Sacrificial layer
- B81C2201/0109—Sacrificial layers not provided for in B81C2201/0107 - B81C2201/0108
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B81—MICROSTRUCTURAL TECHNOLOGY
- B81C—PROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
- B81C2201/00—Manufacture or treatment of microstructural devices or systems
- B81C2201/01—Manufacture or treatment of microstructural devices or systems in or on a substrate
- B81C2201/0101—Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
- B81C2201/0128—Processes for removing material
- B81C2201/013—Etching
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B81—MICROSTRUCTURAL TECHNOLOGY
- B81C—PROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
- B81C2201/00—Manufacture or treatment of microstructural devices or systems
- B81C2201/01—Manufacture or treatment of microstructural devices or systems in or on a substrate
- B81C2201/0161—Controlling physical properties of the material
- B81C2201/0163—Controlling internal stress of deposited layers
- B81C2201/0167—Controlling internal stress of deposited layers by adding further layers of materials having complementary strains, i.e. compressive or tensile strain
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B81—MICROSTRUCTURAL TECHNOLOGY
- B81C—PROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
- B81C2201/00—Manufacture or treatment of microstructural devices or systems
- B81C2201/01—Manufacture or treatment of microstructural devices or systems in or on a substrate
- B81C2201/0161—Controlling physical properties of the material
- B81C2201/0163—Controlling internal stress of deposited layers
- B81C2201/017—Methods for controlling internal stress of deposited layers not provided for in B81C2201/0164 - B81C2201/0169
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B81—MICROSTRUCTURAL TECHNOLOGY
- B81C—PROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
- B81C2203/00—Forming microstructural systems
- B81C2203/01—Packaging MEMS
- B81C2203/0136—Growing or depositing of a covering layer
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B81—MICROSTRUCTURAL TECHNOLOGY
- B81C—PROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
- B81C2203/00—Forming microstructural systems
- B81C2203/01—Packaging MEMS
- B81C2203/0172—Seals
-
- G—PHYSICS
- G06—COMPUTING; CALCULATING OR COUNTING
- G06F—ELECTRIC DIGITAL DATA PROCESSING
- G06F2115/00—Details relating to the type of the circuit
- G06F2115/04—Micro electro-mechanical systems [MEMS]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01H—ELECTRIC SWITCHES; RELAYS; SELECTORS; EMERGENCY PROTECTIVE DEVICES
- H01H57/00—Electrostrictive relays; Piezoelectric relays
- H01H2057/006—Micromechanical piezoelectric relay
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S438/00—Semiconductor device manufacturing: process
- Y10S438/937—Hillock prevention
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T29/00—Metal working
- Y10T29/42—Piezoelectric device making
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T29/00—Metal working
- Y10T29/43—Electric condenser making
- Y10T29/435—Solid dielectric type
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T29/00—Metal working
- Y10T29/49—Method of mechanical manufacture
- Y10T29/49002—Electrical device making
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T29/00—Metal working
- Y10T29/49—Method of mechanical manufacture
- Y10T29/49002—Electrical device making
- Y10T29/49105—Switch making
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T29/00—Metal working
- Y10T29/49—Method of mechanical manufacture
- Y10T29/49002—Electrical device making
- Y10T29/49117—Conductor or circuit manufacturing
- Y10T29/49121—Beam lead frame or beam lead device
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T29/00—Metal working
- Y10T29/49—Method of mechanical manufacture
- Y10T29/49002—Electrical device making
- Y10T29/49117—Conductor or circuit manufacturing
- Y10T29/49124—On flat or curved insulated base, e.g., printed circuit, etc.
- Y10T29/49126—Assembling bases
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T29/00—Metal working
- Y10T29/49—Method of mechanical manufacture
- Y10T29/49002—Electrical device making
- Y10T29/49117—Conductor or circuit manufacturing
- Y10T29/49124—On flat or curved insulated base, e.g., printed circuit, etc.
- Y10T29/4913—Assembling to base an electrical component, e.g., capacitor, etc.
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T29/00—Metal working
- Y10T29/49—Method of mechanical manufacture
- Y10T29/49002—Electrical device making
- Y10T29/49117—Conductor or circuit manufacturing
- Y10T29/49124—On flat or curved insulated base, e.g., printed circuit, etc.
- Y10T29/49155—Manufacturing circuit on or in base
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T29/00—Metal working
- Y10T29/53—Means to assemble or disassemble
- Y10T29/5313—Means to assemble electrical device
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Manufacturing & Machinery (AREA)
- Physics & Mathematics (AREA)
- Geometry (AREA)
- Computer Hardware Design (AREA)
- Electromagnetism (AREA)
- Theoretical Computer Science (AREA)
- Mechanical Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- Evolutionary Computation (AREA)
- General Engineering & Computer Science (AREA)
- General Physics & Mathematics (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Micromachines (AREA)
- Architecture (AREA)
Abstract
Description
201213225 六、發明說明: 相關申請案之交又引用 本申請案主張申請於2010年6月25曰之臨時申請案 第61/3 58,621號之優先權,該臨時申請案之内容以引用 之方式全部併入本文。 【發明所屬之技術領域】 本發明係關於半導體結構及製造方法,且更特定言 之’本發明係關於平坦空腔的微機電系統 (Micro-Electro-Mechanical System; MEMS)結構、製造與 設計結構之方法。 【先前技術】 積體電路中使用之積體電路開關可由固態結構(例 如,電晶體)或被動線(MEMS)形成。因為MEMS開關 幾乎理想的絕緣性及MEMS開關在1〇 GHz及更高頻率 下之低插入損耗(亦即,電阻),所以通常使用mems 開關。該t乎理想的絕緣性為㈣電應用之關鍵要求, 在該等無線電應用中,MEMS %關用於功率放大器 (power amplifier; ΡΑ)之模式切換。mems開關可用於各 種應用中,主要為類比及混合訊號應用一個此實例為 行動電話晶片’該等行動電話晶片含有為各個廣播模式 調為之功率放大$ (PA)及電路。晶片上之積體開關將使 PA連接至適當的電路,使得不需要每一模式一個μ。 視特疋應用及工程準則而定,mems結構可具有許多 201213225 不同形式。舉例而言,MEMS可由懸臂梁結構之形式實 現在懸#結構中,藉由施加致動電麼將懸臂(一個末 端固定的懸掛電極)拉向固定電極。藉由靜電力將懸掛 電極拉至固疋電極所需要之電愿稱為吸附電麼(pun七 V〇Uage),該吸附電虔取決於若干參數,該若干參數包括 懸掛電極之長度、懸掛電極與固定電極之間的空間或間 隙及懸掛電極之彈篑常數,懸掛電極之該㈣常數為材 料及該等材料之厚度的函數。或者,则奶梁可為橋式 結構’其中兩個末端均為固定的。 可使用一些不同工具以一些方式來製造MEMS。大體 而言’ ’使用方法^具來形成具有微米級尺寸之 小結構’其中開關尺寸近似為5微米厚、⑽微米寬及 200微米長。此外’已自積體電路加吨她d以㈣…叫 技術採用了用以製造MEMS之方法(亦即,技術)中之 許多方法。舉例而言’幾乎所有MEMS係構建在晶圓上, 且幾乎所有MEMS係實現於在晶圓之頂部上藉由光微影 製程經圖案化之材料之薄膜中。特定而言,μ·之製 造使用三個基本構建區塊:⑴在基板上沈積材料之薄 膜,(Π)藉由光微影成像在膜之頂部塗覆圖案化遮罩,及 (111)選擇性地將薄膜蝕刻至遮罩。 舉例而言,在MEMS懸臂式開關中,通常使用一系列 習知光微影製程、㈣製程及沈積製程來製造固定電極 及懸掛電極。在—個實例中,在形成懸掛電極之後,在 MEMS結構之下沈積—層犧牲材料(例如,由Whem, 201213225201213225 VI. INSTRUCTIONS: The application of the relevant application also cites the priority of the application for the provisional application No. 61/3 58,621 of June 25, 2010. The contents of the provisional application are all cited by reference. Incorporated herein. TECHNICAL FIELD OF THE INVENTION The present invention relates to semiconductor structures and methods of fabrication, and more particularly to the present invention, which relates to a Micro-Electro-Mechanical System (MEMS) structure, fabrication and design structure for a flat cavity. The method. [Prior Art] The integrated circuit switch used in the integrated circuit can be formed by a solid structure (e.g., a transistor) or a passive line (MEMS). The mems switch is typically used because of the almost ideal insulation of MEMS switches and the low insertion loss (ie, resistance) of MEMS switches at 1 GHz and higher. This ideal insulation is a key requirement for (iv) electrical applications where MEMS % is used for mode switching of power amplifiers. The mems switch can be used in a variety of applications, primarily for analog and mixed-signal applications. One such example is a mobile phone chip. These mobile phone chips contain power amplification $ (PA) and circuitry for each broadcast mode. The integrated switch on the wafer will connect the PA to the appropriate circuitry so that one μ per mode is not required. Depending on the application and engineering guidelines, the mems structure can have many different forms of 201213225. For example, the MEMS can be implemented in the form of a cantilever beam structure by pulling the cantilever (an end-fixed suspension electrode) toward the fixed electrode by applying an actuation force. The electricity required to pull the suspension electrode to the solid electrode by electrostatic force is called the adsorption power (pun seven V〇Uage), and the adsorption electrode depends on several parameters including the length of the suspension electrode and the suspension electrode. The space or gap between the fixed electrode and the elastic constant of the suspended electrode, the (four) constant of the suspended electrode is a function of the material and the thickness of the material. Alternatively, the milk beam can be a bridge structure where both ends are fixed. MEMS can be fabricated in some ways using a number of different tools. In general, the method is used to form a small structure having a micron size 'where the switch size is approximately 5 microns thick, (10) microns wide and 200 microns long. In addition, the 'self-integrated circuit plus ton of her d to (four)... called technology uses many of the methods used to fabricate MEMS (ie, technology). For example, almost all MEMS systems are built on wafers, and almost all MEMS systems are implemented in a film on the top of the wafer that is patterned by photolithographic processes. In particular, the fabrication of μ· uses three basic building blocks: (1) a film of deposited material on the substrate, (Π) a patterned mask on top of the film by photolithographic imaging, and (111) selection The film is etched to the mask. For example, in MEMS cantilever switches, a series of conventional photolithography processes, (4) processes, and deposition processes are commonly used to fabricate fixed and suspended electrodes. In one example, after the suspension electrode is formed, a layer of sacrificial material is deposited under the MEMS structure (eg, by Whem, 201213225)
Inc.製造之旋塗聚合物pMGI)以形成空腔,且在mems 結構之上沈積一層犧牲材料以形成空腔。在mems之上 的空腔係用以支撐蓋(例如,siN圓頂)之形成,以密 封MEMS結構。然而,此舉引起若干缺點。舉例而言,The spin-on polymer pMGI manufactured by Inc.) forms a cavity and deposits a layer of sacrificial material over the MEMS structure to form a cavity. A cavity above the mems is used to support the formation of a cover (e.g., a siN dome) to seal the MEMS structure. However, this has caused several drawbacks. For example,
眾所周知,使用諸如PMGI之旋塗聚合物形成之MEMS 空腔為不平坦的。然而,不平坦的MEMS空腔帶來包括 (例如)焦可變性之微景多深度及由介電質破裂造成之It is well known that MEMS cavities formed using spin-on polymers such as PMGI are not flat. However, uneven MEMS cavities bring multiple depths including, for example, focal variability and are caused by dielectric breakdown.
封裝可靠性之問題。另外,使用旋塗聚合物形成之MEMS 空腔需要以低溫處理’以避免回焊或損壞聚合物;且聚 σ物可將有機(亦即’含碳的)殘留物留在開孔後空腔 中。 因此,此項技術中需要克服上文描述之缺點及限制。 【發明内容】 在本發明之第一態樣中,—種形成至少一個微機電系 統(MEMS)之方法包含以下步驟:在基板上形成下配線 層。該方法進—步包含以下步驟:自該下配線層形成複 數個離散線。該方法進-步包含以下步驟:在該複數個 離散線上方形成電極梁。該等形成該電極梁及該複數個 離散線之步驟中之至少-個步驟形成有佈局,該佈局在 後續矽沈積中最小化突起及三相點。 在本發明之又一離樣中,— ^银〒 種形成至少一個微機電系 統(MEMS)之方法包含以下步驟:在基板上形成下配線 層。該方法進一步包含以下步驟:圖案化該下配線層, 201213225 以形成複數個離散線。該方法進一步包含以下步驟:在 該下配線層上方形成MEMS梁。該等形成該等MEMS 梁及該複數個離散線之步驟中之至少一個步驟形成有佈 局,該佈局在後續;ε夕沈積中最小化突起及三相點。 在本發明之又一態樣中,一種結構包含複數個離散 線’該複數個離散線在一基板上,複數個離散通孔由在 下方及上方帶有Ti之AlCu形成’以形成TiA13。該結 構進一步包含至少一個MEMS梁’該至少一個MEMS 梁係形成於空腔中並放置於該複數個離散線上方。該複 數個離散線及該至少一個MEMS梁中之至少一個形成有 一帶孔或帶槽佈局。 在本發明之又一態樣中’本發明提供一種用於設計、 製造或測試積體電路之設計結構,該設計結構係有形地 實施於機器可讀取儲存媒體中。該設計結構包含本發明 之結構。在進一步實施例中,編碼於機器可讀取資料儲 存媒體上之硬體描述語言(harcjware description language; HDL)設計結構包含元件,當在電腦輔助設計系統中處理The issue of package reliability. In addition, MEMS cavities formed using spin-on polymers need to be treated at low temperatures to avoid reflow or damage to the polymer; and poly-sigma can leave organic (ie, 'carbon-containing) residues in the open cavity in. Therefore, there is a need in the art to overcome the disadvantages and limitations described above. SUMMARY OF THE INVENTION In a first aspect of the invention, a method of forming at least one microelectromechanical system (MEMS) includes the steps of forming a lower wiring layer on a substrate. The method further comprises the step of forming a plurality of discrete lines from the lower wiring layer. The method further comprises the step of forming an electrode beam over the plurality of discrete lines. At least one of the steps of forming the electrode beam and the plurality of discrete lines is formed with a layout that minimizes protrusions and triple points in subsequent tantalum deposition. In still another departure of the present invention, the method of forming at least one microelectromechanical system (MEMS) comprises the steps of forming a lower wiring layer on a substrate. The method further includes the step of patterning the lower wiring layer, 201213225 to form a plurality of discrete lines. The method further includes the step of forming a MEMS beam over the lower wiring layer. At least one of the steps of forming the MEMS beam and the plurality of discrete lines forms a layout that minimizes the protrusions and triple points in subsequent depositions. In still another aspect of the invention, a structure includes a plurality of discrete lines 'the plurality of discrete lines on a substrate, and the plurality of discrete vias are formed by AlCu with Ti under and above to form TiA13. The structure further includes at least one MEMS beam. The at least one MEMS beam is formed in the cavity and placed over the plurality of discrete lines. At least one of the plurality of discrete lines and the at least one MEMS beam is formed with a perforated or slotted layout. In yet another aspect of the invention, the present invention provides a design structure for designing, manufacturing or testing an integrated circuit that is tangibly embodied in a machine readable storage medium. The design structure comprises the structure of the present invention. In a further embodiment, a hardware description language (HDL) design structure encoded on a machine readable data storage medium contains components that are processed in a computer aided design system.
。等元件時產生MEMS之機器可執行表示法,該MEMS 包3本發明之結構。在進一步實施例中,提供一種電腦 ^助设計系統中之方法,以產生MEMS之功能設計模 型。該方法包含以下步驟:產生MEMS之結構元件之功 能表示法。 在特定態樣中’用於產生MEMS之功能設計模型之電. The device executable representation of the MEMS is generated when the components are equal, and the MEMS package 3 is the structure of the present invention. In a further embodiment, a method in a computer aided design system is provided to produce a functional design model of a MEMS. The method comprises the steps of generating a functional representation of the structural elements of the MEMS. In a specific aspect, the electrical function used to generate the MEMS functional design model
腦輔助設計系絲ψ夕t、土 & A ,,死中之方法包含以下步驟:產生帶有帶孔 201213225 或帶槽佈局之基板上之複數個離散線之功能表示法。複 數個離散通孔由在下方及上方帶有丁丨之A1Cu形成,以 形成TiAl3。該方法進一步包含以下步驟:產生帶有帶孔 或帶槽佈局之至少一個MEMS梁之功能表示法,該至少 一個MEMS梁係形成於一空腔中並放置於該複數個離散 線上方。 【實施方式】 本發明係關於半導體結構及製造方法,且更特定言 之,本發明係關於平坦空腔的(例如,平的或平坦的表 面)微機電系統(MEMS)結構、製造與設計結構之方法。 有利地,形成該等結構之該等方法減少MEMS結構上之 總應力,且該等方法減少MEMS裝置之材料可變性。在 實施例中,該等平坦(例如,平的或平坦的表面)memsThe brain-assisted design system is the following method: a functional representation of a plurality of discrete lines on a substrate with a perforated 201213225 or grooved layout. A plurality of discrete vias are formed by A1Cu with butadiene below and above to form TiAl3. The method further includes the step of generating a functional representation of at least one MEMS beam with a perforated or slotted layout formed in a cavity and placed over the plurality of discrete lines. [Embodiment] The present invention relates to semiconductor structures and methods of fabrication, and more particularly to a microcavity (MEMS) structure, fabrication and design structure for a flat cavity (eg, a flat or flat surface). The method. Advantageously, the methods of forming the structures reduce the total stress on the MEMS structure, and the methods reduce the material variability of the MEMS device. In an embodiment, the flat (e.g., flat or flat surface) mems
裝置之結構與形成方法使用犧牲層來形成鄰接於MEMS 梁之工腔在進一步實施例中,使用反向鑲嵌製程以形 成平坦(例如,平的或平坦的表面)結構來形成雙層 MEMS空腔。本發明之MEMS結構在其他裝置中可以用 乍例如單線或雙線梁接觸開關、雙線梁電容器開關或單 雙線梁氣隙電感器。 第1圖圖示根據本發明之態樣之開始結構與相關處理 ,在接下來的若干組段落中揭示之結構為MEMS電 Μ開關’然而該等方法與結構亦適用於其他MEMS開 關(諸如歐姆接觸_)、MEMS加速度計諸如此類,該 201213225 等歐姆接觸開關開關將不使用mems 結構包括(例如)基板1G。在實施例中,基板電 1〇質= 裝置之任何層。在實施例中可為 m-,.. ^吵日日圓,該矽晶 圓塗佈有二氧化石夕成|九習此口 t ”熟^此項技術者已知的其他絕緣體 ^在基板1〇内提供互連件12。互連㈣可為(例 )鎢或銅短柱,該鎢或銅短柱形成於以習知方式形成 之通孔中。舉例而言,可使用熟習此項技術者已二的用 於形成短柱之任何習知微影、㈣及沈積製程(諸如, 鑲嵌)來形成互連件12。互連件12可接觸其他配線層、 互補金氧半導體(c〇mplementary 敗也The structure and formation method of the device uses a sacrificial layer to form a working cavity adjacent to the MEMS beam. In a further embodiment, a reverse damascene process is used to form a flat (eg, flat or flat surface) structure to form a dual layer MEMS cavity . The MEMS structure of the present invention can be used in other devices such as single or double wire beam contact switches, double wire beam capacitor switches or single double wire beam air gap inductors. Figure 1 illustrates the starting structure and associated processing in accordance with aspects of the present invention. The structures disclosed in the next few paragraphs are MEMS electrical switches. However, the methods and structures are also applicable to other MEMS switches (such as ohms). Contact_), MEMS accelerometer, and the like, the 201213225 or other ohmic contact switch will not use a mems structure including, for example, substrate 1G. In an embodiment, the substrate is electrically enamel = any layer of the device. In the embodiment, it may be m-, .. ^ noisy yen, the ruthenium wafer is coated with sulphur dioxide Xicheng | 九习本口t ” cooked ^ other insulators known to the skilled person ^ on the substrate 1 An interconnect 12 is provided within the crucible. The interconnect (4) may be, for example, a tungsten or copper stub formed in a via formed in a conventional manner. For example, familiar techniques may be used. Any of the conventional lithography used to form the stub, (4), and a deposition process (such as damascene) to form the interconnect 12. The interconnect 12 can contact other wiring layers, complementary MOS (c〇mplementary) Lose
Semic〇nductor; CM〇s)電晶體或如此項技術中已知的其 他主動元件、被動元件等。 在第2圖中,使用習知沈積及圖案化製程在基板1〇 上形成配線層以形成多個線丨4。舉例而言,可在基板上 將配線層沈積至約〇.〇5微米至4微米之深度;然而本發 明亦涵蓋其他尺寸。在實施例中,配線層14係沈積至 0.25微米之深度。此後’圖案化此配線層以形成線(下 電極)14,該些線1 4具有介於線之間的配線間隔(間隙) 14a。在實施例中’由線14之高度對於配線間隔14a之 比率來決定配線間隔縱橫比(aspect ratio),如參閱第25 圖更詳細地論述’該配線間隔縱橫比可影響材料可變性 (例如,形貌)。舉例而言,1:2〇之低縱橫比可由具有 1〇〇〇 nm間隔14a之50 nm高的線14形成;而1:1之高 縱橫比可由具有500nm間隔之500nm高的線形成。此 201213225 文將論述的,犧牲膜1 8(第 的配線間隔縱橫比。 等縱橫比值僅供參考,且如本 3圖)之保形性決定需要如何 線14中之至少一個線與互連件12接觸(直接電性接 觸)。在實施例中’線14可由鋁或諸如Μ。、則或 船Si之銘合金形成;然、而本發明亦涵蓋其他配線材 料。舉例而言,在其他的配線材料中,線14亦可為耐火 金屬,諸如,Ti、TiN、TiN、Ta、TaN&W4AiCu。 在實施例中,、線Μ可摻雜有Si(例如,ι%),以防止金 屬(例如,A1)與上空腔層材料(例如,矽)反應。在 實施例中,、線之铭部分可摻雜有Cu(例如,〇5%),以 增加線之電子遷移電阻。在實施财,線可由純耐火金 屬(諸如TiN、W、Ta等)形成。 線14之表面形態係由原子表面粗糙度及金屬突起 (metal hillock)之存在來決定。金屬突起為金屬中之凸 塊,通常為約1〇11111至1000 nm寬及1〇1^至1〇〇〇nm 高。對於下方及上方包覆於TiN中之鋁配線(例如,下 方包覆有10/20 nm Ti/TiN且上方包覆有3〇 nm 丁⑼之 200 nmAlCU)而言,典型金屬突起可為5〇nm寬及1〇〇 nm南。對於線14塗佈有介電質且線14用作較低電容器 極板之MEMS電容器而言,因為由MEMS梁形成之上電 谷器極板無法緊密接觸由線14形成之下電容器極板,突 起之存在或高值的原子表面粗糙度減小電容密度。 "T使用原子力顯微鏡(atomic force microscope; AFM) 或光學剖線儀(optical profiler)及用於量測及量化突起 10 201213225 之寬度及高度之現存若干已知方法來量測表面粗糙度。 在實施例中’藉由使用AFM量測通常在1平方微米與 10,000平方微米之間的線區域之最小高度至最大高度來 里化大起’且藉由計算有或沒有突起之區域中的均方根 (root mean square; RMS)粗糙度來量化表面粗糙度。在一 個實施例中’表面粗糙度為沒有可見突起之2 μιη2區域 之RMS粗糙度。 表1概括使用AFM量測之各種線材料之金屬突起及表 面粗糙度資料。均方根(RMS)粗糙度係在近似2 μιη2區 域中沒有可見金屬突起之區域中量測的。最大峰對谷突 起值係在近似10,000 μΐη2區域中量測的。純耐火金屬線 選項具有最低粗糙度及突起但是具有最高電阻。帶有 AlCu之線具有比起純耐火金屬線低得多的電阻但是高 得多的粗糙度及突起。在圖案化之前或之後,在Aicu 下方及上方添加充分的Ti且以350°C至450°C使晶圓退 火充分的時間以形成TiAh石夕化物(亦即,以4〇〇°c退火 1小時)’顯著地減小突起最小高度至最大高度,同時因 減少的鋁量而稍微增加RMS表面粗糙度。在示例性實施 例中,在圖案化之後退火線i 4,且蝕刻線丨4以減少Ti Al3 引起的金屬蝕刻問題。較薄的Ti (例如,在A1Cu下方 及上方為5 nm)對突起之減少具有最小影響或無影響; 而10nm與i5nm2Ti顯著地減少突起且1〇11111與15nm 之Ti為等效的。當Ti與鋁反應形成TiAh時,鋁(例如, AlCu)厚度以近似3:1方式減少,亦即,對於每丨〇 nm 11 201213225 之Ti而言,消耗30 nm之紹,以形成TiAl3 ;且為了 是在線中留下一些未反應的AlCu,在Ti厚度包含aicu 下方及上方之層的情況下,此Ti:AlCu厚度比率需要小 於1:3。此狀況意謂,對於慮及Ti及AlCu沈積態厚度 可變性之最佳突起減少及線電阻而言,沈積態Ti厚度範 圍應大於沈積態AlCu厚度之5%且小於沈積態AlCu厚 度之25%。 表1 製程(對於 每個層而 言,TiN=32 nm ) AlCu Ta/TiN 或 Ta 厚度 (nm) 上 Ti 厚度及 下 Ti 厚度 RMS 粗糙度 (nm) 最大峰 -谷突 起(nm) 電 阻 (Ω/SQ) TiN/AlCu/T iN 200 ΝΑ 4.6 148 0.18 Ti/AlCu/Ti/ TiN 200 5 6.8 119 0.24 Ti/AlCu/Ti/ TiN 200 10 6.4 43 0.32 Ti/AlCu/Ti/ TiN 200 15 6.2 46 0.42 TiN 32 ΝΑ 2.3 27 100 12 201213225Semic〇nductor; CM〇s) transistors or other active components, passive components, etc. known in the art. In Fig. 2, a wiring layer is formed on the substrate 1A using a conventional deposition and patterning process to form a plurality of turns 4. For example, the wiring layer can be deposited on the substrate to a depth of from about 5 microns to about 4 microns; however, other dimensions are also encompassed by the present invention. In an embodiment, the wiring layer 14 is deposited to a depth of 0.25 microns. Thereafter, the wiring layer is patterned to form lines (lower electrodes) 14, which have wiring intervals (gap) 14a between the lines. In the embodiment, the wiring aspect ratio is determined by the ratio of the height of the line 14 to the wiring interval 14a, as discussed in more detail with reference to FIG. 25, which can affect the material variability (for example, Morphology). For example, a low aspect ratio of 1:2 可由 can be formed by a line 14 having a height of 50 nm having a 1 〇〇〇 nm interval 14a; and a high aspect ratio of 1:1 can be formed by a line having a height of 500 nm having a spacing of 500 nm. This 201213225 will discuss the sacrificial film 18 (the first aspect ratio of the wiring interval. The equal aspect ratio is for reference only, and as shown in Figure 3) the conformality determines how at least one of the wires and interconnects is required. 12 contact (direct electrical contact). In an embodiment the 'line 14 may be aluminum or such as tantalum. , or the formation of the ship Si Ming alloy; however, the invention also covers other wiring materials. For example, in other wiring materials, the wire 14 may also be a refractory metal such as Ti, TiN, TiN, Ta, TaN & W4AiCu. In an embodiment, the turns may be doped with Si (e.g., i%) to prevent metal (e.g., A1) from reacting with the upper cavity material (e.g., helium). In an embodiment, the portion of the line may be doped with Cu (e.g., 〇 5%) to increase the electron transport resistance of the line. In practice, the wire may be formed from pure refractory metals such as TiN, W, Ta, and the like. The surface morphology of line 14 is determined by the atomic surface roughness and the presence of metal hillocks. The metal bumps are bumps in the metal, typically about 1 〇 11111 to 1000 nm wide and 1 〇 1 ^ to 1 〇〇〇 nm high. Typical aluminum protrusions can be 5〇 for aluminum wirings that are coated in TiN below and above (for example, 200 nm AlCU coated with 10/20 nm Ti/TiN and covered with 3〇nm (9)) Nm width and 1〇〇nm south. For a MEMS capacitor in which the wire 14 is coated with a dielectric and the wire 14 is used as a lower capacitor plate, since the gate electrode plate formed by the MEMS beam cannot be in close contact with the capacitor plate formed by the wire 14, The presence of protrusions or high value atomic surface roughness reduces the capacitance density. "T uses an atomic force microscope (AFM) or an optical profiler and several existing known methods for measuring and quantizing the width and height of the protrusions 10 201213225 to measure surface roughness. In the embodiment, 'by using AFM to measure the minimum height to the maximum height of the line region between 1 square micrometer and 10,000 square micrometers, and to calculate the maximum" by calculating the area with or without protrusions The root mean square (RMS) roughness is used to quantify the surface roughness. In one embodiment, the surface roughness is the RMS roughness of the 2 μιη 2 region without visible protrusions. Table 1 summarizes the metal protrusion and surface roughness data for various wire materials measured using AFM. The root mean square (RMS) roughness was measured in a region of approximately 2 μηη2 with no visible metal protrusions. The maximum peak-to-valley value is measured in the approximate 10,000 μΐη2 region. The pure refractory wire option has the lowest roughness and protrusion but the highest resistance. The wire with AlCu has a much lower resistance than the pure refractory wire but a much higher roughness and protrusion. Before or after patterning, sufficient Ti is added under and above Aicu and the wafer is annealed at 350 ° C to 450 ° C for a sufficient time to form TiAh lithiate (ie, annealed at 4 ° C) Hour) 'significantly reduces the minimum height of the protrusion to the maximum height while slightly increasing the RMS surface roughness due to the reduced amount of aluminum. In an exemplary embodiment, line i4 is annealed after patterning and line 4 is etched to reduce metal etching problems caused by TiAl3. Thinner Ti (e.g., 5 nm below and above A1Cu) has minimal or no effect on the reduction of protrusions; while 10 nm and i5 nm2Ti significantly reduce protrusions and 1〇11111 is equivalent to 15 nm of Ti. When Ti reacts with aluminum to form TiAh, the thickness of aluminum (for example, AlCu) decreases in an approximately 3:1 manner, that is, for every Ti of nm 11 201213225, 30 nm is consumed to form TiAl3; In order to leave some unreacted AlCu in the line, the Ti:AlCu thickness ratio needs to be less than 1:3 in the case where the Ti thickness includes a layer below and above the aicu. This condition means that the thickness of the deposited Ti should be greater than 5% of the thickness of the as-deposited AlCu and less than 25% of the thickness of the as-deposited AlCu for the optimum protrusion reduction and line resistance considering the thickness variability of the Ti and AlCu deposit states. . Table 1 Process (TiN=32 nm for each layer) AlCu Ta/TiN or Ta Thickness (nm) Ti thickness and lower Ti thickness RMS Roughness (nm) Maximum peak-valley protrusion (nm) Resistance (Ω /SQ) TiN/AlCu/T iN 200 ΝΑ 4.6 148 0.18 Ti/AlCu/Ti/ TiN 200 5 6.8 119 0.24 Ti/AlCu/Ti/ TiN 200 10 6.4 43 0.32 Ti/AlCu/Ti/ TiN 200 15 6.2 46 0.42 TiN 32 ΝΑ 2.3 27 100 12 201213225
金屬犬起形成亦可由後之佑片3丨加匕""" 1 j田踝之佈局引起。舉, 使用槽,s·(第26h _ s @ v ° 比起 ®及第26C圖)或孔Ή,(第26d圖) 來刀解為窄線之佈局,整 目古給‘ A整塊佈局(第…圖)將傾向於 〃有較大數量之金屬突起及較高突起。 更特义而言’帛26a圖-第26d圖圖示具有整塊(第 W圖)、帶槽τ(第⑽圖及第%圖)及帶孔”h"(第 26d圖)佈局之MEMS電容器極板之俯視圖佈局。帶孔 (第26d圖)佈局” H"可使用菱形(所示的)、八邊形、 圓形、橢圓形、正方形、加號形或所有由元件符號"H" 表示之自佈局切割之任何形狀。帶槽及帶孔佈局兩者係 設計以最小化突起形成且不因移除金屬而顯著地增加有 效線電阻或減小電容器極板區域。若使用帶槽佈局"s" (第26b圖)’則通常最小化槽寬,以便並不減小電容器 極板區域或增加有效線電阻。舉例而言,可使用i 之槽寬且置放在6 μηι間距上之槽;或此等值之類似比 率(亦即,0.4 μιη槽寬及2.4 μπ1間距)。對於第26d圖 中之帶孔版本而言,由孔移除之金屬量將保持在約2〇% 或更少’以便實質上不增加有效線電阻或減少電容。舉 例而言’可使用佔總線區域20%之1 μιη2區域孔。 藉由開槽或打孔線所移除之金屬量亦由形成突起之傾 向來決定。舉例而言’耐火金屬對形成突起不敏感,且 可能不需要開槽或打孔該等耐火金屬。當線厚度增加且 13 201213225 覆蓋耐火金屬(亦即,TiAl3/TiN、TiN等)厚度減小時, 在鋁或鋁合金中形成突起之傾向增加。對於較高的線(例 如’ ^ 1 μπι)而言’需要藉由開槽或打孔移除之金屬量 可較尚;其中對於較矮的線(例如,< 0.2 μηι )而言, 需要藉由開槽或打孔移除之金屬量可較低。間距係定義 為重複的線寬+間隔。對於具有! μιη間隔之5 μηι間距 而言’線寬將為4 μηι。 對於實施例而言,槽之間的線寬將為4μιη,且自線之 豎直末端至線形狀之邊緣的間隔將亦為4 。使用槽之 末端為閉合狀態(第26b圖中所示)之槽演算法之佈局, 因增加的局部區域或其他幾何形狀引起之效應,而在槽 之末端遭受突起形成。此狀況圖示於第圖中,第26e 圖圖示具有介於槽之間及槽與線形狀之末端之間兩者的 等間隔A1 t閉合槽佈局。為減少或消除在此位置中形 成突起之傾向,可將槽之豎直末端與線形狀之末端之間 的間隔減小至小於帶槽線寬’如第26f圖中所示,第26f 圖圖不線寬A1及至線邊緣或槽邊緣之槽間隔A2及 A3 ’ A2及A3均小於八卜此狀況適用於正交槽(亦即, 槽以垂直的90度角度之方式終止)及成角度槽(亦即, 槽以45度或另一角度終止),如帛26圖中所示。由開槽 線引起之另-潛在問題在於,在後續矽沈積中於未加蓋 槽上形成三相點。當槽或孔沒有加蓋(如第—圖中所 不或第26d圖之上部分所示)時’後續矽沈積可在未加 蓋槽之末端上形成三相點(在第26c圖中標記為,ΤΡ。, 14 201213225 從而產生妙表面中之缺陷’該缺陷可傳播至後續配線層 或其他層。為避免此缺陷,如第26b圖中所示,可(視 需要)加蓋於或閉合帶槽末端。類似三相點缺陷可發生 在帶孔設計時,再者,此狀況可隨著閉合該孔而消除。 開放孔及閉合孔係圖示於第26d圖之上部分及下部分 中。 視配線之圖案化而定,在如下文描述之稍後處理步驟 期間,空隙或接縫可形成於線之間的間隔之間及之上的 犧牲材料(例如,矽)中。接縫為下層線或其他形貌之 間的間隙中之⑦中的區域’該其他形貌係、產生作為石夕沈 積外型之副產物。此等接缝可含有雜質(諸如,氧氣” 且該等接縫可因氧化矽之存在或由化學機械研磨 (chemical mechanical polishing; CMP)、濕式化學法、反 應性離子蝕刻(Reactive i〇n etch; RIE)或其他下游製程 造成之接縫裂開而引起後續問題。亦即,若間隔對於線 Μ之高度之縱橫比為高,則在後續沈積製程_空隙或 接縫可形纽上層巾。此等线或接縫可料材料(例 如’矽)之形貌’尤其若在後續處理步驟期間存在研磨 不足或過度研磨時;或若在後續膜之沈積期間空隙氧化 時。或者’若將鑲後製程或反向鑲嵌製程使用於配線層 14,則表面將為實質上平坦的’且後續層將不會對形成 空隙敏感。在線將被沈積並被圖案化的情況下,反向鑲 丧製程為繼之以介電質沈積及平坦化步驟之製程,使得 曝露線表面.,但是在線之間存在平坦介電質。 15 201213225 在第3圖中’絕緣體層(介電質層)16係形成於多個 線14及基板10之曝露部分上。在實施例中,絕緣體層 1 ό為沈積至約80 nm之氧化物;然而本發明亦涵蓋其他 尺寸。第11圖中所示的下MEMS電容器絕緣體層丨6及 後續上MEMS電容器絕緣體層34之組合厚度決定 MEMS電容器之崩潰電壓及時間相依介電崩潰(Ume dependent dielectric breakdown)性質。對於 50 V 下之 MEMS操作而言,崩潰電壓需要為大於5〇v (通常大於 loo V),以確保高MEMS電容器可靠性。對於5〇 v 操作而言,160nm之組合MEMS電容器絕緣體厚度足以 非常可靠。若MEMS電容器正被製造,則才需要絕緣體 層16,絕緣體層〗6將形成下電容器極板介電質。絕緣 體層16亦充當線14中之金屬(例如,鋁)與後續材料 1 8 (亦即,矽)之間的障壁。矽與鋁將反應以形成金屬 間化合物,該金屬間化合物難以移除,且若形成該金屬 間化合物,則可藉由阻擋梁在致動期間免於完全塌陷來 阻擋MEMS梁啟動。可由堅固的絕緣體層丨6防止此金 屬間化合物之形成。應注意,需要以與鋁配線相容之溫 度(例如’在約42(TC下且較佳地在約4〇〇<t下)來沈積 、、邑緣體層1 6 ’此舉防止使用諸如液相化學沈積(liquid phase chemicai deposition; lpcvd) Si〇2 之高度保形介The formation of the metal dog can also be caused by the layout of the 3rd 丨 匕""" Lift, use the groove, s·(26h _ s @ v ° is compared to ® and 26C) or hole Ή, (Fig. 26d) to solve the problem as a narrow line layout, and the whole item is given to the 'A whole block layout. (Fig.) will tend to have a larger number of metal protrusions and higher protrusions. More specifically, '帛26a图-第26d' shows a MEMS with a monolithic (W-th image), grooved τ ((10) and %th) and a holed "h" (Fig. 26d) layout Top view layout of capacitor plates. Layout with holes (Fig. 26d) H" can use diamonds (shown), octagons, circles, ovals, squares, plus signs or all symbolic symbols "H" ; Represents any shape from the layout cut. Both slotted and perforated layouts are designed to minimize protrusion formation and do not significantly increase the effective line resistance or reduce the capacitor plate area by removing the metal. If a slotted layout "s" (Fig. 26b) is used, the slot width is typically minimized so as not to reduce the capacitor plate area or increase the effective line resistance. For example, a groove having a groove width of i and placed at a pitch of 6 μηι can be used; or a similar ratio of the values (i.e., a groove width of 0.4 μm and a pitch of 2.4 μπ1). For the apertured version of Figure 26d, the amount of metal removed by the aperture will remain at about 2% or less' to substantially not increase the effective line resistance or reduce the capacitance. For example, a 1 μm 2 area hole occupying 20% of the bus area can be used. The amount of metal removed by the grooving or perforation line is also determined by the tendency to form the protrusions. For example, refractory metals are insensitive to the formation of protrusions and may not require grooving or perforating the refractory metal. As the thickness of the wire increases and the thickness of the refractory metal (i.e., TiAl3/TiN, TiN, etc.) is reduced, the tendency to form protrusions in the aluminum or aluminum alloy increases. For higher lines (eg '^ 1 μπι), the amount of metal that needs to be removed by grooving or perforation is preferred; for shorter lines (eg < 0.2 μηι), The amount of metal removed by grooving or perforation can be lower. The spacing is defined as the repeating line width + spacing. For having! The spacing of 5 μηι intervals of μιη is 'the line width will be 4 μηι. For the embodiment, the line width between the grooves will be 4 μm, and the spacing from the vertical end of the line to the edge of the line shape will also be 4 . The layout of the slot algorithm using the end of the slot in the closed state (shown in Figure 26b) is subject to protrusions at the end of the slot due to the effect caused by the increased local area or other geometry. This situation is illustrated in the figure, and Figure 26e illustrates an equally spaced A1 t closed slot layout with both between the slots and between the ends of the slots and the line shape. To reduce or eliminate the tendency to form protrusions in this position, the spacing between the vertical end of the groove and the end of the line shape can be reduced to less than the grooved line width as shown in Figure 26f, Figure 26f The line width A1 and the groove spacing A2 and A3 'A2 and A3 of the edge of the line or the edge of the groove are less than eight. This condition applies to the orthogonal groove (that is, the groove terminates at a vertical angle of 90 degrees) and the angled groove (That is, the slot terminates at 45 degrees or another angle, as shown in Figure 26. Another potential problem caused by the slotted line is the formation of triple points on the uncovered slots in subsequent tantalum deposits. When the groove or hole is not capped (as shown in the figure above or in the upper part of Figure 26d), the subsequent 矽 deposition can form a triple point on the end of the uncapped groove (marked in Figure 26c) ΤΡ., 14 201213225 thus producing defects in the wonderful surface 'The defect can be propagated to the subsequent wiring layer or other layers. To avoid this defect, as shown in Figure 26b, it can be covered or closed (as needed) The end of the slot. Similar to the three-point point defect can occur in the design of the hole, and this condition can be eliminated as the hole is closed. The open hole and the closed hole are shown in the upper part and the lower part of the figure 26d. Depending on the patterning of the wiring, voids or seams may be formed in the sacrificial material (eg, 矽) between and above the spaces between the lines during a later processing step as described below. The area in 7 of the gap between the underlying line or other topography's other morphologies, produced as by-products of the Shi's depositional appearance. These joints may contain impurities (such as oxygen) and these The seam may be due to the presence of cerium oxide or by chemical mechanical grinding (c Hemical mechanical polishing; CMP), wet chemical chemistry, reactive ion etching (RIE) or other downstream processes caused by cracking of the joints, causing subsequent problems. If the aspect ratio is high, then in the subsequent deposition process _ voids or seams can be formed on the lining. These lines or seams can be made of material (such as '矽) morphology, especially if there is insufficient grinding during the subsequent processing steps Or when overgrinding; or if the voids are oxidized during deposition of the subsequent film. Or 'If the post-insertion process or reverse damascene process is used for the wiring layer 14, the surface will be substantially flat' and the subsequent layers will not Sensitive to the formation of voids. In the case where the wire will be deposited and patterned, the reverse inlay process is followed by a process of dielectric deposition and planarization steps to expose the surface of the wire, but there is a flat interface between the wires. 15 201213225 In Fig. 3, an 'insulator layer (dielectric layer) 16 is formed on the exposed portions of the plurality of lines 14 and the substrate 10. In the embodiment, the insulator layer 1 is deposited to about 80. The oxide of nm; however, the present invention also covers other dimensions. The combined thickness of the lower MEMS capacitor insulator layer 6 and the subsequent upper MEMS capacitor insulator layer 34 shown in Figure 11 determines the breakdown voltage and time dependent dielectric collapse of the MEMS capacitor. (Ume dependent dielectric breakdown) property. For MEMS operation at 50 V, the breakdown voltage needs to be greater than 5 〇v (usually greater than loo V) to ensure high MEMS capacitor reliability. For 5 〇v operation, 160 nm The combined MEMS capacitor insulator thickness is sufficiently reliable. If the MEMS capacitor is being fabricated, the insulator layer 16 is required and the insulator layer 6 will form the lower capacitor plate dielectric. The insulator layer 16 also acts as a barrier between the metal (e.g., aluminum) in the wire 14 and the subsequent material 18 (i.e., 矽). The ruthenium and aluminum will react to form an intermetallic compound that is difficult to remove, and if the intermetallic compound is formed, the MEMS beam can be prevented from being activated by the barrier beam from being completely collapsed during actuation. The formation of this intermetallic compound can be prevented by a strong insulator layer 丨6. It should be noted that it is desirable to deposit at a temperature compatible with the aluminum wiring (e.g., at about 42 (at TC and preferably at about 4 Torr < t), to prevent the use of such a layer. Liquid phase chemicai deposition (lpcvd) Si〇2 height conformal
電質’該商度保形介電質係以遠高於約42〇〇c之溫度沈 積的。用於絕緣體層16之沈積選擇包括以下當中之一或 夕個方法.電毁增強化學氣相沈積(plasma_enhance(J 16 201213225 chemical vapor deposition; PECVD)、次常壓化學氣相沈 積 (sub-atmospheric chemical vapor deposition; SACVD)、常壓化學氣相沈積(atmospheric pressure chemical vapor deposition; APCVD)、高密度電漿化學氣 相沈積(high density plasma chemical vapor deposition; HDPCVD)、物理氣相沈積(physical vapor deposition; PVD)或原子層沈積(atomic layer deposition; ALD)。將參 閱第27a圖-第27c圖更詳細地論述此層。 在絕緣體層16上沈積一層犧牲空腔材料is;或若不 存在絕緣體層1 6,則在層1 4上沈積該層犧牲空腔材料 18。該犧牲空腔材料諸如石夕、鎢、组、錯或隨後可使用 例如XeF2氣體選擇性地移除至絕緣體層1 6或若沒有絕 緣體層16則移除至線14之任何材料❶在實施例中,將 石夕用於層1 8。可使用以與配線1 4相容之溫度(例如, 420 C )操作之任何習知電衆氣相沈積(piasma vapor depcmuon; pVD)、PECVD、快速升溫化學氣相沈積(rapid thermal chemical vapor deposition; RTCVD)或 LPCVD 來 沈積層18。在實施例中,將層18沈積至約〇」微米至 1〇微米之向度,該高度由MEMS間隙要求來決定,且使 用S知微影及反應性離子蝕刻(RIE)步驟來圖案化該 層。一個實例將使用約2.3微米之矽厚度。 用於矽之常見的RIE蝕刻氣體為SF6,其中SF6為使 用諸如CF4、氮氣或氬氣之其他氣體所稀釋的。如上文 所述用以沈㈣層1 8切沈積製程可在線之間及線之 17 201213225 邊緣處產生接縫。若此等接縫氧化或在該等接縫中具有 其他雜質,則該等接縫在矽層1 8蝕刻步驟期間或在最終 矽空腔開孔蝕刻期間是難以蝕刻的。為避免在矽層Η 钮J之後於B曰圓上留下氡化接縫,可使用氬氣稀釋與射 頻(radio freqUency; RF)偏壓功率之組合’該射頻偏壓功 率施加至晶圓以同時濺射並RIE蝕刻表面。空隙可 因層1 8之不良階梯覆蓋率或保形性而形成於線14之間 的間隔l4a上方。空隙2〇之寬度、與基板1〇之間隔及 與石夕20a之表面之間隔係由線14之縱橫比、矽沈積之保 形性及絕緣體層16之形狀所決定。 第27a圖-第27c圖圖示線14上方之若干絕緣體層16 形狀。第27a圖中所示之線14係繪製為在上TiN/TiAl3 層14下方具有AlCu之底切。此底切經常發生在金屬RIE 處理期間,且若存在該底切,則該底切增加獲得一或多 個絕緣體層16之良好線14側壁覆蓋率之困難。第27a 圖圖示使用保形製程(例如,LPCVD、APCVD或SACVD ) 之絕緣體層16之形成。此等保形沈積製程在頂表面 16 A、側表面16B及底表面16C上提供幾乎均勻的絕緣 體厚度。當以與基於鋁或銅之配線相容之溫度操作此等 保形沈積製程時(例如,420°C下),該等保形沈積製程 可具有不良電容器介電性質,例如’高漏電流、低電壓 朋 >貝’或不良時間相依介電崩潰(time dependentThe electrical conformal dielectric system is deposited at temperatures well above about 42 〇〇c. The deposition selection for the insulator layer 16 includes one of the following or a method of the present invention. Plasma_enhance (J 16 201213225 chemical vapor deposition; PECVD), sub-atmospheric chemical (sub-atmospheric chemical) SACVD), atmospheric pressure chemical vapor deposition (APCVD), high density plasma chemical vapor deposition (HDPCVD), physical vapor deposition (physical vapor deposition; PVD) or atomic layer deposition (ALD). This layer will be discussed in more detail with reference to Figures 27a - 27c. A layer of sacrificial cavity material is deposited on insulator layer 16; or if insulator layer 1 is absent 6, depositing the layer of sacrificial cavity material 18 on layer 14. The sacrificial cavity material, such as stellite, tungsten, group, errone or subsequently can be selectively removed to the insulator layer 16 using, for example, XeF2 gas or Any material that is removed to the wire 14 without the insulator layer 16 is used in the embodiment, and is used for the layer 18. The temperature can be used to be compatible with the wiring 14. (eg, 420 C) any conventional vapor deposition (pVD), PECVD, rapid thermal chemical vapor deposition (RTCVD) or LPCVD to deposit layer 18. In one example, layer 18 is deposited to a dimension of about 微米"micron to 1 〇 micron, which is determined by MEMS gap requirements, and the layer is patterned using S-lithography and reactive ion etching (RIE) steps. An example would use a thickness of about 2.3 microns. The common RIE etch gas for germanium is SF6, where SF6 is diluted with other gases such as CF4, nitrogen or argon. The 18-cut deposition process can produce seams between the lines and at the edge of the line 2012201225. If the seams oxidize or have other impurities in the joints, the seams are during the etch layer 18 etching step Or it is difficult to etch during the final cavity opening etching. To avoid leaving a tantalum seam on the B circle after the Η layer button J, argon dilution and radio frequency (RF) bias can be used. Combination of pressure power' RF bias power is applied to the wafer for simultaneous sputtering and RIE etched surface. The voids may be formed above the interval l4a between the lines 14 due to the poor step coverage or conformality of the layer 18. The width of the gap 2, the distance from the substrate 1 and the distance from the surface of the stone 20a are determined by the aspect ratio of the line 14, the conformality of the tantalum deposition, and the shape of the insulator layer 16. Figures 27a-27c illustrate the shape of several insulator layers 16 above line 14. The line 14 shown in Fig. 27a is drawn as an undercut having AlCu under the upper TiN/TiAl3 layer 14. This undercut often occurs during metal RIE processing, and if such an undercut is present, the undercut increases the difficulty of obtaining good sidewall 14 sidewall coverage of one or more of the insulator layers 16. Figure 27a illustrates the formation of an insulator layer 16 using a conformal process (e.g., LPCVD, APCVD, or SACVD). These conformal deposition processes provide an almost uniform insulator thickness on top surface 16 A, side surface 16B, and bottom surface 16C. When performing these conformal deposition processes at temperatures compatible with aluminum or copper based wiring (eg, at 420 ° C), such conformal deposition processes can have poor capacitor dielectric properties, such as 'high leakage current, Low voltage friend > Bay' or bad time dependent dielectric breakdown (time dependent
dielectric breakdown; TDDB)可靠性。此外型在空隙 20 中提供臺階構造300。第27b圖圖示使用PECVD或PVD 18 201213225 之絕緣體JS ! A > Μ 狀」或「 把。此外型在空隙2〇中提供「麵包 ’ X錐形」的外型構造3G5。儘管此等「麵包狀 、並非保形的,但是該等「 」 「鲕台也 题匕狀」膜可具有由於該等 =狀」膜之«沈積造成之極佳電容器介電 除空隙2。,希望具有如第27c圖中所示的錐 空『Π。外型改良層18階梯覆蓋率且減少或消除 S 8表面上’凹陷部19 (參見例如第8圖或第9a 圖)可形成於線14之間的空隙或接縫2〇上方。空隙 及凹陷部19因線14之間的間隔而形成,且該等空隙及 該等凹陷部可變化,視層18之高度及線14之間隔及/ 或雨度而定。此等凹陷部19可在後續處理(諸如,化學 機械處理)期間加深’如下文參閱第8圖所述。此等凹 陷部19及接縫可在諸如曝露於濕空氣、氧化周圍光阻劑 帶或電漿氧化沈積之後續處理期間氧化,且此等氧化的 石夕區域在最終残孔或移除步驟期間將不會被移除。若 此狀況發生’則在MEMS梁下方之此等氧化的石夕殘留物 可阻擋MEMS梁接觸下電極(線)14,從而導致不良致 動(參見例如第33圖中之元件19a)。使絕緣體層“外 型成錐形(第27c圖)便藉由消除空隙及凹陷部來減少 或消除此效應’如藉由改良錢積間隙填充來消除空隙 —般。可藉由沉積-高密度電聚CVD氧化物作為絕緣體 層16之部分或全部來使外型成錐形(第27c圖)。或者, 一絕緣體沈積及一或多個濺射回蝕以及一或多個後續絕 19 201213225 緣體沈積可產生絕緣體層16之相同錐形外型。或者,如 下文所述,可修改矽沈積,以藉由在PVD矽沈積腔室中 原位濺射矽膜來使矽外型成45度錐形。 線14上方之絕緣體層16亦作為阻擋線14材料與層 (空腔材料)18之反應、合金化或相互擴散。舉例而言, 若線14含有鋁,則鋁可與矽反應形成鋁的矽化物,該鋁 的石夕化物在後續層18 (犧牲層)開孔或移除步驟期間難 以移除或不可能移除。此鋁的矽化物形成可發生在上線 轉角中,例如’因為絕緣體| 16具有逆行沈積輪廊(第 27b圖)或在上線轉角中具有少覆蓋率(帛27。圖),從 而導㈣《於層18沈積。儘f可藉由增加絕緣體層之 厚度來減少或消除此問題,但是由於使用線14作為底部 極板形成之MEMS電容器之電容之相關減少,增加厚度 並不總是可能的。另外,線表面或轉角缺陷(未圖示) 可阻擋絕緣體層16免於完全塗佈鋁。此鋁_矽反應可產 生突出的鋁的矽化物觸鬚狀特徵,該等特徵可阻擋或部 分阻擋MEMS梁致動。為防止此層16與層18反應,可 沈積保形障壁’諸如ALD Al2〇3 (氧化紹)、㈣仏办 (五氧化二鈕)或ALD Al2〇3與ALDTa205之組合。在 一個示例性實施例中 層16由80 nm之HDPCVD氧化 物繼之以15 nm之ALD氧化鋁組成。ald膜具有極緩 慢的沈積速率,且儘管該等ALD膜可單獨用作MEMS 電容器介電質’但是此舉可因長沈積時間及高製造成本 而不切實際。一個ALD氧化鋁膜具有每分鐘!⑽之沈 20 201213225 積速率’此狀況意謂,沈積80 nm膜將;r惑ΟΛ 、了化買8 Q分鐘。因 此,使用快速沈積Si〇2與緩慢沈積氧化鋁之組合為最佳 的。應注意,可將ALD氧化銘或類似膜用在8〇Dnm之氧 化物下方;且亦可將該ALD氧化紹或類似膜用在上 MEMS電極38下方,以阻擋石夕與± Mems電極反應。 在第3a圖中,根據本發明之態樣圖示形成介電質=子 16a (例如’氧化物釘子)之任選處理步驟。在此任選步 驟中’可在形成沈制緣體層16之前形成氧化物釘子 16a。舉例而言’氧化物釘子16a可為沈積的pEcvDSi〇2 膜,該沈積的PECVD _2膜係使用f知微影及飯刻製 紅圖案化來蝕刻在線丨4上的。在此選擇下,可首先圖案 化並钱刻氧化物釘子16a,接下來為線Μ目案化及银 刻;或可首先圖案化並银刻線14,接下來為氧化物釘子 W沈積及㈣。因為在氧化㈣子-之㈣期間並 沒有蝕刻線Η之間的氧化物,戶斤以在線14圖案化及蝕 刻之前圖案化並⑽j氧化物釘子16a便避免增加…引 入至、.€緣體層16沈積之縱橫比。另外,若在圖案化並敍 刻線14之後圖案化且钱刻氧化物針?—,則帛以敍刻 氧化物釘子16a之基於全氟化碳之RIE化學亦可钱刻線 之頂邛ΤιΝ層,從而產生降級的表面及降級的 電容器電良率或可靠度。當將氧化物釘子—置放於遠 離MEMS電容器或接觸頭之區域中之规⑽致動器上方 時該氧化物針子在MEMS操作期間形成保護層,此舉 可防止MEMS梁中之導體以電氣方式發弧(arcing)至 21 201213225 MEMS梁不需要緊密接觸下電極之區域中的下致動器電 極。由於較佳製程在圖案化及蝕刻線14之前圖案化並蝕 刻氧化物釘子’因此希望避免使線14之間的間隔14a 父又氧化物釘子。在形成氧化物釘子1 6a之後,如上文 所述’可形成絕緣體層16及層18。 作為任選處理步驟’可使用(例如)化學機械研磨(CMp) 來平坦化層18,此後,視需要,可在研磨後的層1 8上 沈積額外材料(矽),以在下矽MEMS空腔之表面上提 供無縫的矽層。應注意,將在任何CMP步驟之後執行習 知CMP及後續清潔製程(諸如,刷洗清潔、稀氫氟酸 (dilute hydr0flU0ric acid; DHF)、緩衝氫氟酸 hydr〇fluoric acid; BHF)、低溫清潔等),以移除形成於 矽表面上之天然氧化物。舉例而言,參閱第“圖使用 習知沈積製程(諸如’ P VD )在絕緣體I i 6上沈積層 18。如第4a圖中所示,可在層18中、在線14之間形成 空隙20,其中凹陷部19形成於空隙2〇上方。如第仆 圖中所示,使用(例如)CMP製程來平坦㈣18。在第 4c圖中,在平坦化之層18上沈積第二層材料22,例如, 石夕。在第4d®中’使用習知微影及反應性離子银刻(RIE) 步驟來圖案化石夕層18及石夕層22(該等石夕層現在形成單 一層(下文稱為層18))»此功、^ "此矽沈積、CMP及第二沈積製Dielectric breakdown; TDDB) reliability. In addition, a step configuration 300 is provided in the void 20. Fig. 27b shows an external structure 3G5 using an insulator JS! A > 状 ” or " 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 Despite these "bready, non-conformal, these """ "films" can have excellent capacitor dielectric voids due to the deposition of these films. It is desirable to have a cone as shown in Fig. 27c. The outer shaped layer 18 has a step coverage and reduces or eliminates the <RTI ID=0.0>>>> The voids and depressions 19 are formed by the spacing between the lines 14, and the voids and the depressions can vary, depending on the height of the layer 18 and the spacing of the lines 14 and/or the degree of rain. These recesses 19 may be deepened during subsequent processing, such as chemical mechanical processing,' as described below with reference to Figure 8. The recesses 19 and seams may be oxidized during subsequent processing such as exposure to humid air, oxidized ambient photoresist strips or plasma oxidative deposition, and such oxidized daylight regions are during the final residual or removal step Will not be removed. If this condition occurs, then such oxidized Daylight residues under the MEMS beam can block the MEMS beam from contacting the lower electrode (wire) 14, resulting in poor actuation (see, for example, element 19a in Figure 33). Making the insulator layer "outer tapered" (Fig. 27c) reduces or eliminates this effect by eliminating voids and depressions, such as by eliminating gaps by filling the gaps. It can be deposited by high density. An electropolymerized CVD oxide is used as part or all of the insulator layer 16 to shape the outer shape (Fig. 27c). Alternatively, an insulator deposition and one or more sputter etch backs and one or more subsequent passes 19 201213225 Bulk deposition can result in the same tapered shape of the insulator layer 16. Alternatively, as described below, the ruthenium deposition can be modified to form the iridium into a 45 degree cone by sputtering the ruthenium film in situ in the PVD 矽 deposition chamber. The insulator layer 16 above the wire 14 also acts as a reaction, alloying or interdiffusion of the material of the barrier wire 14 with the layer (cavity material) 18. For example, if the wire 14 contains aluminum, the aluminum can react with the ruthenium to form aluminum. The telluride, the alumite of the aluminum is difficult or impossible to remove during the subsequent layer 18 (sacrificial layer) opening or removal step. This aluminum telluride formation can occur in the upper line corner, for example 'because Insulator | 16 with retrograde deposition wheel gallery Figure 27b) or has a low coverage in the upper corners (帛27. Fig.), so that (4) deposits at layer 18. This can be reduced or eliminated by increasing the thickness of the insulator layer, but due to the use of lines 14 As a related reduction in the capacitance of the MEMS capacitor formed by the bottom plate, it is not always possible to increase the thickness. In addition, wire surface or corner defects (not shown) can block the insulator layer 16 from being completely coated with aluminum. The 矽 reaction can produce salientized whisker-like features of aluminum that can block or partially block MEMS beam actuation. To prevent this layer 16 from reacting with layer 18, a conformal barrier such as ALD Al2〇3 can be deposited ( Oxidation), (iv) bismuth pentoxide or combination of ALD Al2 〇3 and ALDTa 205. In an exemplary embodiment layer 16 consists of 80 nm HDPCVD oxide followed by 15 nm ALD alumina. The film has a very slow deposition rate, and although these ALD films can be used alone as MEMS capacitor dielectrics, this can be impractical due to long deposition times and high manufacturing costs. An ALD aluminum oxide film has every minute! (10) Shen 20 201213225 Accumulation rate 'This condition means that 80 nm film will be deposited; r ΟΛ 、, and buy 8 Q minutes. Therefore, it is best to use the combination of rapid deposition of Si〇2 and slow deposition of alumina. ALD oxide or similar film can be used under the oxide of 8 〇 Dnm; and the ALD oxide or similar film can also be used under the upper MEMS electrode 38 to block the reaction between the shi and the ± Mems electrode. In the Figure 3a, an optional processing step for forming a dielectric = sub- 16a (e.g., 'oxide nail) is illustrated in accordance with an aspect of the invention. In this optional step, an oxide nail can be formed prior to forming the sinker layer 16. 16a. For example, the oxide nail 16a can be a deposited pEcvDSi〇2 film which is etched on the wire 4 using a micro-patterning and a patterning process. Under this selection, the oxide nail 16a can be first patterned and etched, followed by wire meshing and silver etching; or the pattern 14 can be first patterned and silver, followed by oxide nail W deposition and (4) . Since the oxide between the turns is not etched during the oxidation (4)-(4), the pattern is patterned and the (10)j oxide nail 16a is prevented from being added before the pattern 14 is patterned and introduced into the . The aspect ratio of the deposit. In addition, if the pattern is patterned and the line 14 is patterned, and the oxide needle is patterned? —, the fluorinated carbon-based RIE chemistry of the oxide nail 16a can also be used to create a degraded surface and degraded capacitor electrical yield or reliability. The oxide needle forms a protective layer during MEMS operation when the oxide nail is placed over the gauge (10) actuator in the region away from the MEMS capacitor or contact head, which prevents the conductor in the MEMS beam from being electrically generated Arcing to 21 201213225 The MEMS beam does not need to be in close contact with the lower actuator electrode in the region of the lower electrode. Since the preferred process is to pattern and etch the oxide nails prior to patterning and etching lines 14, it is desirable to avoid having the spacers 14a between the wires 14 and the oxide nails. After the formation of the oxide nails 16a, the insulator layer 16 and the layer 18 can be formed as described above. As an optional processing step, layer 18 can be planarized using, for example, chemical mechanical polishing (CMp), after which additional material (矽) can be deposited on the ground layer 18 as needed to lower the MEMS cavity. A seamless layer of enamel is provided on the surface. It should be noted that conventional CMP and subsequent cleaning processes (such as brush cleaning, dilute hydrOfluoric acid (DHF), buffered hydrofluoric acid (BHF), BHF), low temperature cleaning, etc., will be performed after any CMP step. ) to remove the natural oxide formed on the surface of the crucible. For example, reference is made to the figure "depositing a layer 18 on insulator Ii 6 using a conventional deposition process such as 'P VD." As shown in Figure 4a, a void 20 can be formed in layer 18 between lines 14. Wherein a recess 19 is formed over the void 2〇. As shown in the servant diagram, a (eg) 18 is used, for example, by a CMP process. In FIG. 4c, a second layer of material 22 is deposited on the planarized layer 18, For example, Shi Xi. In the 4d®, use the conventional lithography and reactive ion silver engraving (RIE) steps to pattern the sap layer 18 and the sap layer 22 (the sap layer now forms a single layer (hereinafter referred to as For layer 18))»This work, ^ " this 矽 deposition, CMP and second deposition
程消除矽表面中之凹陷部1 Q,$ M 心U丨9,消除氧化接縫2〇之可能The process eliminates the depressions in the surface of the crucible 1 Q, $ M core U丨9, eliminating the possibility of oxidizing the seam 2
性,且部分或完全平坦化由於 1/( a A 丨入線ι4及線間隔14a 形貌造成之石夕表面上之形貌。 22 201213225 、’且示例丨生厚度將為,25〇 nm高的線1 4、線1 *之間 的500 nm的間隔14a、2微米的初始矽18沈積厚度線 14上方之400 nm的矽CMp移除以平坦化線14上方之 的臺1¾,及2 2厚的後續石夕沈積,該後續石夕沈積 夠厚’足以在第5圖-第8圖中所示之後續反向氧化物平 坦化製程期間有部分剩餘在晶圓上。在一個示例性實施 例中自線14上方區域移除200 nm之石夕,且實質上移 除線之間的間14a中之小於5〇 nm之矽,此舉部分平 坦化線14及間隔1 4 a上方之區域。 如此項技術中已知的,通常執行矽CMp,以形成深溝 槽動態隨機存取記憶體(Dynamic Rand〇m八“如 Memory; DRAM)電容器。使用此類型之矽eMp ’ cMp 製耘係最佳化,以最大化晶圓表面上之襯墊絕緣體(例 如,Si〇2或氧化物)膜之選擇率。亦即,最大化矽CMP 速率且最小化氧化物CMP速率,使得料於氧化物之選 擇率為50:1。此類型之矽CMP製程對於使用cvd沈積 之矽膜為最佳的,但是該類型之矽CMp製程對於使用 PVD沈積之矽膜可引起問題。使用習知選擇性矽 製程來研磨之PV时膜可具有與PVW膜中缺陷相關 之問題,該等問題可使局部研磨速率減小。此等pvD矽 缺陷可使選擇性矽CMP製程在經研磨的矽表面上留下 研磨不足點缺陷,該等PVD石夕缺陷可能是由經氧化的 梦、其他雜質或矽顆粒結構引起的。 為了在石夕CMP期間避免此等點缺陷,可使用較低選擇 23 201213225 擇性發研磨製程,例如,使用si〇2研磨化學及 、替矽CMP研磨化學及製程。使用較低選擇性的 夕研製程便消除此等經研磨後的點表面缺陷。選擇性 料磨之—個實例為使用具有足以諸較高酸驗值 亦即,沖>12)的二氧化石夕磨料之驗性介質(諸如’ 風氧化四甲基錢(Tetramethyl amm〇nium hydr〇x咖 )該驗性介質具有5〇:1之石夕si〇2選擇率;非選 擇f夕研磨之-個實例為使用二氧化⑪磨料之具有酸驗 值<12的驗性介質(諸如,κ〇Η),該酸驗值太低而不能 溶解石夕。此非選擇性石夕CMp製程將具有小於5〇:1之 石夕:si〇2選擇率,且在一個示例性實施例中,該梦:si〇2 選擇率將在2:1至1:2之範圍内。 為了避免研磨至空隙20中,希望第-矽沈積之厚度足 以掩埋表面下方之空隙。矽對光線之光波長不透光。若 用以圖案切之後續微影製程使用光波長,时cMp 製程將不應完全平坦化使用配線層形貌之對準結構或使 用鑲嵌層12之部分填充的對準結構。若後續微影製程使 用紅外光或可偵測矽下方之特徵的其他方法,則不需要 此等預防工作。 甚至在至m·下,薄的天然氧化物(例如,Si〇2 )形成 於曝露於空氣或氧氣之任何矽表面上.當在後續處理期 間姓刻或開孔矽時,此天然氧化物之存在可阻播银刻或 開孔’或該天然氧化物之存在可作為少許單層Si〇2膜剩 餘在晶圓上。為避免此狀況,應藉由使石夕曝露於蒸汽、 24 201213225 電漿或液體氫氟酸(hydrofluorie acid 來 純化,或應在沈積第二石夕層22之前立即執行預^面; 使晶圓不曝露於空氣或氧氣,該預清潔使用(例如)^ 偏壓氬氣減射預清潔。 參閱第5圖,在層18上沈積絕緣體材料(例如,氧化 物)24。氧化物沈積可為例如習知保形沈積製程,將氧 化物層24沈積至約大致與们8高度相同之深度例如, 對於2.3微米厚的層18而言,氧化物層24深度約2.3 ’。舉例而言,如此項技術中已知,沈積製程可為使用 四乙氧钱(Tetraeth()xysilane; TE〇s)或μ作為石夕源 且使用氧氣或ν2〇作為氧源沈積之·t pEcvD氧化 物。若有意使氧化物層24厚度比石夕層18之高度為薄, 則第8圖中所示之後續氧化物⑽製程將過度研磨並平 坦化矽層18之表面。相反地,若有意使氧化物層24厚 度比矽層18之高度為厚’則第8圖中所示之後續氧化物 ⑽製程將使石夕層18之表面研磨不足且使該石夕層之表 面掩埋在氧化物表而丁女 下方。兩種製程選擇可為合意的, =坦化來自配線層"之氧化物層24或㈣表面形 貌對比’最小化矽表面 加8過度研磨的重要性而定。在一 =性實施例中,…為約Μ微米,氧化物層 以二a微米’且第7圖中所示之任選氧化回姓步驟 物移除為目標,亦即,>2ι微米。此狀況導 '氧化物研磨製程進-步平坦切層18。 在第6圖中,根據本發明之態樣執行任選反向蝕刻(反 25 201213225 向鑲瓜製程)。更特定而言,在氧化物層24上沈積抗敍 (resist)26,且圖案化該抗蝕劑以形成開口 ,其中抗 蝕劑邊緣26a與下層18之邊緣重疊。亦#,抗蝕劑% 將稍微遮蔽下層18。此重疊需要為大於〇的,且該重疊 可為例如3微米,且最小化該重疊以減少在後續cMp 製程期間欲平坦化之氧化物層24。若該重疊為負,則後 續RIE #刻將银刻至氧化物| 24之下部中,從而產生 鄰接於石夕層18之深溝槽,此狀況可引起諸如來自深溝槽 内之後續配線層之殘留金屬的問題,從而導致在後續層 處電線短路,且應避免此狀況。如圖所示,開口為經圖 案化的層1 8之相反影像。 如第7圖中所示’使用習知咖製程來钱刻氧化物材 7 24。在實施例中,如第7圖中所示,此㈣製程產生 圖框J 30。亥圖框」3〇環繞下層j 8。若將氧化物材 料24向下完全敍刻至層18之表面,則將最小化遠離層 U之區域中之氧化物過度研磨。此舉可為合意的,以最 小化層18之過度研磨’以減少該層之厚度容限;且以消 除在MEMS電容器或接觸區域中之石夕上留卞殘留氧化物 之可能性。或者’可在層18上方留下一些氧化物,如第 7圖中所示。 在第8圖中,將氧化物材料24平坦化例如為與下層 =平齊的(例如’幾乎平的或平坦的表面)。在實施例 :此製程將亦平坦化下㈣層18,此舉將有利地在後 、·處理步驟中產生平坦空腔結構(例如,具有平的或平 26 201213225 坦的表面)。平#去』& 如參閱第W 如⑽製程。意外地且 下声18圖更詳細地論述的,氧化物⑽可最小化 2二研Γ可變性;例如’視配線間隔而定’氧化物材料 =之研磨可最小化線14之間的凹陷部(例 於線“之間的間隔14a上的)。 ㈣成 第25圖圖示關於石夕凹陷部深度與第8圖中所示之層 U之表面之氧化物研磨的若干形貌圖表(亦即,原子力 顯微鏡資料)。此等圖表涉及例如在第8圖中所示之氧化 物層24之研磨。在此實例中,層18中之凹陷部Η (參 :例如第3圖及第8圖)可為250 nm (0.25 μΐΏ)高,該 尚度為線14之厚度。 第25圖之圖表圖示在〇 5 μιη、〇 8只爪及5 $ 之不 同配線間㉟14a的情況下氧化物層24之3〇秒、6〇秒及 。秘之CMP。此等圖表圖示線14之配線間隔14&之不 °預期的重要性’以便最小化層i 8之形貌可變性。舉例 而5 ,分別與6〇秒之氧化物之CMp的$ 凹陷部深度 9〇移之氧化物之CMP的1 〇 nm凹陷部深度相比,0.5 μιη之槽(間隔)且3〇秒之氧化物之cMp展示層以中 之2nm凹陷部深度。又,分別與6〇秒之氧化物之cMp 的凹陷部深度及90秒之氧化物之CMp的8nm凹 陷。卩深度相比’在30秒之氧化物之cMp的情況下之〇 8 μηι之槽展示層18之30 nm凹陷部深度。另外,分別與 60秒之CMP的40 nm凹陷部深度及9〇秒之CMP的1〇 ηιη凹陷部深度相比,在3 0秒之氧化物之CMp的情況下 27 201213225 之ΜμΓΠ之槽展* 170nm凹陷部深度。此等結果並非 所期望的,因為期望增加的氧化物之CMP時間將展示層 18之形貌之最佳化’亦即’凹陷部深度之減少。層18 中之此等凹陷部將纟_梁下方複製,從而產生 MEMS梁下侧形貌。另外,將由沈積氧化物與凹陷部下 方的潛在氧化接縫所組成之MEMS梁下側形貌可能不良 地黏者至MEMS帛,並且生成物纟MEMS操作期間成片 剝落。此成片剝落可引起災難式MEMS電容器良率或可 靠度降級’由於在MEMS梁下方或上方之则⑽空腔中 存在成片剝落氧化物。Sexually, and partially or completely flattened due to the morphology of the surface of the stone on the surface of the stone due to 1/( a A intrusion line ι4 and line spacing 14a. 22 201213225 , 'and the example twin thickness will be 25 〇 nm high Line 14 4, line 1* between 500 nm interval 14a, 2 micron initial 矽18 deposition thickness line 14 above 400 nm 矽CMp removed to flatten the stage above the line 14⁄4, and 2 2 thick Subsequent Shishi deposition, the subsequent Shishi deposition is thick enough 'sufficient to remain partially on the wafer during the subsequent reverse oxide planarization process shown in Figures 5-8. In an exemplary embodiment The upper portion of the line 14 is removed from the upper portion of the line 14 and substantially removes less than 5 〇 nm of the space 14a between the lines, which partially flattens the line 14 and the area above the interval 14a. As is known in the art, 矽CMp is typically implemented to form deep trench dynamic random access memory (Dynamic Rand〇m8 "Memory" DRAM) capacitors. This type of 矽eMp 'cMp system is best used. To maximize the liner insulator (eg, Si〇2 or oxide) film on the wafer surface Selectivity, that is, maximizing the 矽 CMP rate and minimizing the oxide CMP rate, so that the oxide selectivity is 50: 1. This type of 矽 CMP process is optimal for ruthenium films using cvd deposition, However, this type of 矽 CMp process can cause problems for ruthenium films deposited using PVD. Films that are polished using conventional selective 矽 processes can have problems associated with defects in PVW films that can cause localized polishing rates. These pvD defects can cause the selective 矽 CMP process to leave under-polishing defects on the surface of the polished ruthenium, which may be caused by oxidized dreams, other impurities or ruthenium particle structures. In order to avoid these defects during the Shexi CMP, a lower selection 23 201213225 selective grinding process can be used, for example, using si〇2 polishing chemistry and CMP polishing chemistry and processes. The development process eliminates the surface defects of these polished spots. An example of selective grinding is the use of a rare earth oxide abrasive with sufficient acidity, ie, >12). Sex Quality (such as 'Telemethyl amm〇nium hydr〇x coffee') has a selectivity of 5〇:1 石西si〇2; non-selective f-grinding - an example is the use of two The oxidized 11 abrasive has an acidity test value of <12, such as κ〇Η, which is too low to dissolve Shi Xi. This non-selective Shi CMp process will have less than 5〇:1 Shi Xixi: si〇2 selection rate, and in an exemplary embodiment, the dream: si〇2 selection rate will be in the range of 2:1 to 1:2. In order to avoid grinding into the voids 20, it is desirable that the thickness of the first-deposited deposition is sufficient to bury the voids below the surface.矽 The wavelength of the light is not opaque. If the subsequent lithography process for patterning uses wavelengths of light, the cMp process will not completely flatten the alignment structure using the wiring layer topography or the alignment structure filled with portions of the damascene layer 12. This prevention is not required if the subsequent lithography process uses infrared light or other methods that detect features underneath. Even up to m·, a thin natural oxide (for example, Si〇2) is formed on any surface of the crucible exposed to air or oxygen. This natural oxide is used when the surname is engraved or opened during subsequent processing. There is a hindrance to silver engraving or opening 'or the presence of the native oxide can remain on the wafer as a little monolayer of Si 2 film. In order to avoid this situation, it should be purified by exposing Shi Xi to steam, 24 201213225 plasma or liquid hydrofluoric acid (hydrofluoride acid, or should be performed immediately before deposition of the second layer 22; Without pre-exposure to air or oxygen, the pre-cleaning uses, for example, a biased argon-reducing pre-cleaning. Referring to Figure 5, an insulator material (e.g., oxide) 24 is deposited over layer 18. The oxide deposition can be, for example, In a conventional conformal deposition process, the oxide layer 24 is deposited to a depth approximately the same as the height of the 8 layers. For example, for a layer 13 of thickness 2.3 microns, the oxide layer 24 has a depth of about 2.3 Å. For example, in such a technique It is known that the deposition process may be the use of tetraethoxyx (Tetraeth()xysilane; TE〇s) or μ as the source of the stone and the use of oxygen or ν2〇 as an oxygen source for the deposition of t pEcvD oxide. The thickness of the layer 24 is thinner than the height of the layer 18, and the subsequent oxide (10) process shown in Fig. 8 will over-polishing and planarize the surface of the layer 18. Conversely, if the thickness ratio of the oxide layer 24 is intentionally The height of the layer 18 is thicker. The subsequent oxide (10) process shown in Fig. 8 will cause the surface of the layer 18 to be insufficiently ground and the surface of the layer to be buried under the oxide surface. The two process options may be desirable, = The oxide layer 24 or (4) surface topography from the wiring layer is compared with the importance of 'minimizing the surface of the crucible plus 8 overgrinding. In an embodiment, ... is about Μ micron, the oxide layer is The second a micron' and the optional oxidation back-to-step removal shown in Figure 7 are targeted, ie, > 2 micron. This condition leads to the 'oxide polishing process' step-to-step flat layer 18. In the figure, optional reverse etching is performed in accordance with aspects of the present invention (reverse 25 201213225 to the inlaid process). More specifically, a resist 26 is deposited on the oxide layer 24, and the anti-pattern is patterned. The etchant forms an opening wherein the resist edge 26a overlaps the edge of the lower layer 18. Also, the resist % will slightly mask the lower layer 18. This overlap needs to be greater than 〇, and the overlap can be, for example, 3 microns, and Minimize this overlap to reduce oxides to be flattened during subsequent cMp processes 24. If the overlap is negative, the subsequent RIE # inscribes the silver into the lower portion of the oxide | 24, thereby creating a deep trench adjacent to the layer 18, which may cause subsequent wiring layers, such as from within the deep trench. The problem of residual metal causes a short circuit in the subsequent layers, and this should be avoided. As shown, the opening is the opposite image of the patterned layer 18. As shown in Figure 7, 'Use Conventions The coffee process engraves the oxide material 7 24. In the embodiment, as shown in Fig. 7, the (4) process produces a frame J 30. The frame "3" surrounds the lower layer j 8 . If the oxide material 24 is fully slid down to the surface of layer 18, the oxide in the region away from layer U will be minimized. This may be desirable to minimize over-grinding of layer 18 to reduce the thickness tolerance of the layer; and to eliminate the possibility of residual oxide remaining on the MEMS capacitor or contact area. Alternatively, some oxide may be left over layer 18, as shown in Figure 7. In Fig. 8, the oxide material 24 is planarized, for example, to be flush with the lower layer (e.g., an almost flat or flat surface). In an embodiment: this process will also flatten the lower (four) layer 18, which will advantageously result in a flat cavity structure (e.g., having a flat or flat surface) in the subsequent processing steps. Ping #去』& See the W (10) process. Unexpectedly and in more detail, the oxide (10) can minimize the variability of the 2nd mortar; for example, 'depending on the wiring spacing', the oxide material = the grinding can minimize the depression between the lines 14. (Examples on the interval 14a between the lines.) (d) Figure 25 shows several topographical diagrams of the oxide grinding of the depth of the Shixi depression and the surface of the layer U shown in Figure 8 (also That is, atomic force microscopy data. These figures relate to the grinding of the oxide layer 24 as shown, for example, in Figure 8. In this example, the depressions in layer 18 (see, for example, Figures 3 and 8) ) can be 250 nm (0.25 μΐΏ) high, which is the thickness of line 14. The graph in Figure 25 shows the oxide layer in the case of 〇5 μηη, 〇8 claws and 5$ different wiring compartments 3514a 24 sec, 6 sec. and CMP. These diagrams illustrate the undesired importance of the wiring spacing 14 & of line 14 to minimize the morphological variability of layer i 8. For example 5 , respectively, compared with the depth of the 〇1 〇 nm recess of the CMP of the CMp of the oxide of 6 sec. The groove of 0.5 μm (interval) and the cMp of the oxide of 3 sec. show the depth of the 2 nm recess in the layer. Also, the depth of the depressed portion of the cMp of the oxide of 6 sec and the CMp of the oxide of 90 sec. The 8 nm recess. The depth of the 卩 is compared to the depth of the 30 nm recess of the layer 18 of the μ 8 μηι in the case of the cMp of the oxide of 30 seconds. In addition, the depth of the 40 nm recess of the CMP with 60 seconds respectively Compared with the depth of the 1〇ηιη recess of the CMP of 9 seconds, in the case of the CMp of the oxide of 30 seconds, the groove of the 2012 ΓΠ * 170 170 170 170 170 170 170 170 170 170 170 170 170 170 170 170 170 170 170 170 170 170 170 170 170 170 170 170 170 170 170 170 It is expected that the increased CMP time of the oxide will optimize the morphology of the layer 18, i.e., the reduction in the depth of the recess. These recesses in layer 18 will replicate beneath the 纟 beam, resulting in the underside of the MEMS beam. In addition, the underside morphology of the MEMS beam, which consists of the deposited oxide and the underlying oxide joint below the recess, may be poorly adhered to the MEMS, and the resulting material is exfoliated during MEMS operation. Peeling can cause catastrophic MEMS capacitor yield or Of downgrading 'due to the presence of a sheet in the MEMS beam is below or above the cavity ⑽ spalling of the oxide.
因此’用於MEMS結構之減少凹陷部深度或矽層之可 變性之方法包括:決定形成於矽層上的線之間的間隔。 方法進-步包括:蝕刻氧化物層達預定量,以便最小化 石夕層之可變性。對於各個間隔而言,㈣預定量之時間 將產生最佳結構’例如,減少矽層中之任何可變性。層 18上方的凹陷部可為開孔或釋放後mems梁下方之殘 留氧化物之源,該等凹陷部由於由層14中的間隙丄物 引起之下層形貌而形成於矽中之接縫或空隙上方。舉例 而言’可使用含有氧化電pECVD冑程且視需要在 約350°C或400。(:下沈積氧化物層24或氧化物層34,從 而導致凹陷部或接縫之氧化。此氧化凹陷部或接縫CC (如第33圖中所示)可剩餘在矽開孔後MEMs梁之下 側上,從而在MEMS梁下方產生形貌,該形貌可部分阻 擒MEMS梁接觸下電容器電極(線)14,或在MEMS 28 201213225 梁致動或操作期間瓦解或跌落, 奋破而導致MEMS電容器 之介電質損壞。在第4b圖、第_ 弟4c圖及第4d圖中描述之 任選實施例消除此問題,其中居;Q ^ _ ^層18經研磨且覆蓋有第二 石夕層22。 作為第9a圖中所示之任選步驟,與第$圖中所示之 2.3μΠ1相比,可將氧化物材料24沈積至約3.3μιη之厚 度。對於此實施例而言,氧化餘刻深度類似於第?圖中 所描述之深度,但是該氧化蝕刻深度將為大致_ i _ 更深且將需要曝露下層矽層18之表面。凹陷部Η例如 可形成於I 18中所示之介於線14之間的空隙20之上 方。如第^圖中所示,厚氧化物材料24係沈積在層18 之側上,經圖案化並經_,且❹⑽來研磨該厚氧 化物材料。在第9b圖中,石夕層32例如係沈積在厚氧化 物材料24及層18上。如先前提及的,在沈積第圖中 所不之後續碎層32之前’在層18之表面上應避免天然 的(或任何)氧化物。 在第9C圖中,使用習知製程(例如,CMP )來平坦化 夕層32 (及部分氧化物材料24 ),該平坦化可消除或最 小化凹陷部。在實施例中,此製程將有利地在後續處理 步驟中產生平坦空腔結構(例如,平的或平坦的表面)。 此等附加步驟(亦即,矽沈積、CMP、沈積(第4a圖-第4C圖’第9a圖-第9c圖)及反向鑲嵌氧化物CMP過 度研磨(第6圖-第8圖)或非反向鑲嵌氧化物CMp過 度研磨(第5圖及第8圖))決定微觀與巨觀MEMS梁 29 201213225 形貌。以下參閱第25圖進一步論述由矽空隙上方之凹陷 部造成之微觀MEMS梁形貌。 不合意的巨觀形貌之一實例為第9d圖及第%圖中所 示之彎曲的矽表面i8a及矽表面18be第9d圖圖示由非 最佳化平坦化造成之矽表面曲率18a,且更特定而言該 圖圖示不合意、的巨觀形貌之一實例。在下空腔材料 18中之此巨觀形貌凸面i8a或凹面18b曲率可造成釋放 的MEMS梁‘;東結’曲率及不良MEMS致動,亦即,可 使MEMS梁圍繞犧牲空腔材料18彎曲,從而產生高的 梁釋放後曲率及不良MEMS梁致動或接觸區域。可由曲 率半徑ROC來定詩表面之曲率”】、於lem之石夕r〇c 為合意的,且ROC值大於5cm將由於減少的Mems電 容器表面接觸區域及兩個MEMS電容器極板之間的較大 間距而導致MEMS電容器電容之近似5〇%減少。 在第10a圖中,自第8圖或第9c圖之結構開始,任選 溝槽33可形成於石夕層18中,在配線14上方。為確保均 勻地蝕刻矽,在矽蝕刻之前,可在抗蝕劑圖案化晶圓上 執行任選氧化物RIE製程。另外,在有或沒有任選氧化 物RIE製程的情況下,在蝕刻矽之前,可執行使用晶圓 上之光阻劑之HF清潔,以氫鈍化矽表面。在實施例中, 將溝槽33形成為進入2微米高的層18 (例如,犧牲空 腔材料18)中約〇·3叫之深度;然而本發明涵蓋其他 尺寸,視設計參數而定,且更特定言之,視層18之高度 而定。 30 201213225 正如第3a圖中所述之氧化物針子心此等鑲鼓氧化 物釘子或溝槽33之目的在於,在则梁與下線層μ 之間置放’I電質緩衝’以防止纟mems帛作期間由 MEMS梁中之線與線14之緊密接近造成的電弧。當將高 直流(direct㈣ent;dc)電壓(亦即,5_ι〇〇ν)應用於(例 如)線14中之赃⑽致動器時,弧可發生。㈣免電 弧之潛在性,可移除緊密接觸溝槽33之底部之後續 贿娜梁金屬層,如第⑽圖及第心圖中所示。氧化 物釘子33a使後續MEMS梁金屬層38自設計中除去, 而氧化物釘子33b使金屬層38留在設計中。 後續金屬層38係用以形成MEMS梁下電極,可圖案 化該後續金屬層38以覆蓋氧化物釘子33或以使該氧化 物钉子未經覆蓋。若氧化物釘子未經覆蓋,則減少介於 致動器極板之間的弧或其他介電質損壞之可能性;若氧 化物釘子被覆蓋(亦即,金屬向下延伸至氧化物釘子B 中)’則可減少氧化物釘子減少致動器弧或介電質損壞之 有效性。若氧化物釘子33並未由金屬層%覆蓋,且由 =選擇的製程方法而存在向下進人該料之臺階,則可 能存在沿氧化物釘子之側壁留下的薄金屬間隔片。由於 =屬間隔片Μ接觸電極38’因此該金相隔片並不 董要。 J二用幾乎9。度或圓形底部轉角之氧化物針子。為使 減少,在基於氬氣-SF6…刻製程期間可 …示曰曰圓上的rf偏壓功率’且可減少氬氣流,若 31 201213225 ,則希望使釘子 之前或之後圖案 後續MEMS梁金屬38出現在釘子上方 底部成圓形。可在反向空腔平坦化製程 、、蝕J氧化物釘子33。若在反向空腔平坦化製程之後 進行氧化物釘子33之圖案化及_,則僅由㈣刻深度 可變性而非由反向空腔氧化物CMp平坦化步驟來控制 氧化物却子33料度可變性。或者,若在反向空腔氧化 物平坦化氧化物沈積步驟之前進行氧化物釘子33之圖 案化及银刻’則氧化物钉子33將具有由⑽p移除可變 性造成之高度可變性之增加成分,但是氧化物釘子33 將被充填或部分充填平坦化氧化物,若氧化物釘子被金 屬覆蓋,則此狀況將增加後續金屬層38與致動器金屬層 14之間的間距或間隔。 在第11圖中,在第10a圖之結構上執行上電容器介電 質或氧化物沈積。更特定而言,在此沈積步驟中,可將 氧化物材料34沈積至約8〇 nm之高度;然而如先前所 述’本發明涵蓋其他尺寸。當致動MEMS梁時,ME⑽ 電容器介電質包含介電質層16及介電質I 34,該等介 電質層由MEMS電容器電極之表面粗輪度及突起造成之 小間隙所分離。可在氧化物材料24及氧化物材料Μ中 將錐形通孔36形成至下層、線14,。可使用熟習此項技術 者已知的習知微影、蝕刻及清潔製程來形成錐形通孔 36。應注意,不使錐形通孔過度氧化下層TiN、TiAl3戋 AlCu表面’該過度氧化可產生高的通孔電阻。視需要, 可在低溫(亦即,1〇〇t)下執行後通孔RIE光阻劑剝 32 201213225 離’以最小化氧化作用。或者,如此項技術中已知,可 製造鑲嵌鶴短柱通孔。使用錐形通孔36便減少石夕表面之 CMP曝露,從而產生較少的矽18厚度可變性,避免研 磨或損壞上MEMS電容器絕緣體W及形成深凹陷部之 較低可能性。由於碎層18厚度決定麗则裝置之吸附 電壓’故最小化該矽層厚度可變性為合意的。應注意, 應在梦空腔區述之冰/由田 使用錐形通孔36,因為若該錐形通 孔係置放在碎空腔内,則用以製造該錐形通孔之氧化姓 刻將被矽層18阻擋。若用於線38之後續金屬沈積製程 具有不良保形性或側壁覆蓋率,則錐形通孔36之縱橫比 需=為低的’例如’ Q 5:1。對於2微米厚的絕緣體Μ 而5 ’可使用4微米寬的錐形通孔36。或者’若使甩保 形紹製程(亦即,熱回焊削或⑽製程),則一較高 縱橫比可用於錐形通孔36。 在第12圖中,電極38之線係在氧化物材料34上形成 且將該線沈積在通孔36内,以接觸下層線 可將電極38沈積在溝槽33中;然而,出於 ' 的’電極並未圖示於第12 @之溝槽33中(然 :在後續圖式中’電極38係圖示為形成於溝槽幻。在' 貫施例中,雷搞1 β γ & 其 可為例如AlCu;然而本發明亦涵蓋 ’ 實施例中,例如在其他材料中,電極38 可為TiN、TiNst w 衝極38 /或線之厚度可㈣, 此電極及其他電極及 視特定設計參數而定。舉例而兮, 可使用分別為^"。一一州之 33 201213225Thus, the method for reducing the depth of the depressed portion or the variability of the tantalum layer for the MEMS structure includes determining the spacing between the lines formed on the tantalum layer. The method further includes etching the oxide layer for a predetermined amount to minimize variability of the layer. For each interval, (iv) a predetermined amount of time will result in an optimal structure', e.g., reducing any variability in the layer. The recess above layer 18 can be the source of residual oxide under the open or released mems beam, which is formed in the seam of the crucible due to the underlying morphology caused by the interstitial material in layer 14. Above the gap. For example, an oxidized electro-pECVD process can be used and optionally at about 350 ° C or 400. (: Lower oxide layer 24 or oxide layer 34 is deposited, resulting in oxidation of the recess or seam. This oxidized recess or seam CC (as shown in Figure 33) may remain in the MEMs beam after the opening On the lower side, a topography is created beneath the MEMS beam that partially blocks the MEMS beam from contacting the lower capacitor electrode (wire) 14 or collapses or falls during MEMS 28 201213225 beam actuation or operation, Causing damage to the dielectric of the MEMS capacitor. The optional embodiment described in Figure 4b, Figure 4c, and Figure 4d eliminates this problem, where the layer Q ^ _ ^ is ground and covered with a second As the optional step shown in Figure 9a, the oxide material 24 can be deposited to a thickness of about 3.3 μηη compared to 2.3 μΠ1 shown in Figure #. For this embodiment The etched depth is similar to the depth described in the figure, but the etch etch depth will be substantially _i_ deeper and will require exposure to the surface of the underlying layer 18. The recesses may be formed, for example, in I18. Shown above the gap 20 between the lines 14. As shown in the figure, thick oxygen A material 24 is deposited on the side of layer 18, patterned and etched by ❹, and ❹ (10). In Figure 9b, sap layer 32 is deposited, for example, on thick oxide material 24 and layers. 18. As previously mentioned, the natural (or any) oxide should be avoided on the surface of layer 18 before depositing the subsequent fracture layer 32. In Figure 9C, a conventional process is used. (e.g., CMP) to planarize the layer 32 (and a portion of the oxide material 24) that eliminates or minimizes the recess. In an embodiment, the process will advantageously produce a flat cavity in subsequent processing steps. Structure (eg, flat or flat surface). These additional steps (ie, germanium deposition, CMP, deposition (Fig. 4a - Fig. 4C 'Fig. 9a - Fig. 9c) and reverse mosaic oxide CMP Over-grinding (Fig. 6 - Fig. 8) or non-reverse mosaic oxide CMp overgrinding (Figs. 5 and 8) determines the morphology of the microscopic and giant MEMS beams 29 201213225. See below for further discussion in Figure 25 Microscopic MEMS beam topography due to the depression above the void. Undesirable An example of a superficial topography is that the curved 矽 surface i8a and the 矽 surface 18be 第9d shown in the ninth and yth diagrams illustrate the 矽 surface curvature 18a caused by the non-optimized planarization, and is more specific. For example, the figure illustrates an example of an undesired macroscopic morphology. The curvature of the superficial topography i8a or concave surface 18b in the lower cavity material 18 can cause the release of the MEMS beam 'East knot' curvature and poor The MEMS actuation, that is, the MEMS beam can be bent around the sacrificial cavity material 18, resulting in high beam post-release curvature and poor MEMS beam actuation or contact areas. The curvature of the surface of the poem can be determined by the radius of curvature ROC", which is desirable for lem, and the ROC value is greater than 5 cm due to the reduced surface contact area of the Mems capacitor and the comparison between the two MEMS capacitor plates. The large pitch results in a reduction of approximately 〇% of the MEMS capacitor capacitance. In Figure 10a, starting from the structure of Figure 8 or Figure 9c, an optional trench 33 can be formed in the layer 18 above the wiring 14. To ensure uniform etch of germanium, an optional oxide RIE process can be performed on the resist patterned wafer prior to germanium etching. Additionally, in the presence or absence of an optional oxide RIE process, etching is performed. Previously, HF cleaning using a photoresist on the wafer could be performed to passivate the tantalum surface with hydrogen. In an embodiment, the trench 33 is formed into a layer 2 of 20 microns high (eg, sacrificial cavity material 18). The depth is about 3; however, the invention covers other dimensions, depending on design parameters, and more specifically, the height of the layer 18. 30 201213225 The oxide needle core as described in Figure 3a The purpose of these drum oxide nails or grooves 33 Then, an 'I-electric buffer' is placed between the beam and the lower layer μ to prevent arcing caused by the close proximity of the line in the MEMS beam to the line 14 during the 纟mems operation. When the DC (direct) dc voltage is high (i.e., 5_ι〇〇ν) can be applied to, for example, the 赃(10) actuator in line 14. (4) The potential for arc-free, the follow-up of the bottom of the close contact groove 33 can be removed. The beam metal layer is as shown in the (10) and centroid views. The oxide nail 33a causes the subsequent MEMS beam metal layer 38 to be removed from the design, while the oxide nail 33b leaves the metal layer 38 in the design. Subsequent metal layer 38 Used to form a MEMS beam lower electrode, the subsequent metal layer 38 can be patterned to cover the oxide nail 33 or to prevent the oxide nail from being covered. If the oxide nail is not covered, the actuator pole is reduced. The possibility of arc or other dielectric damage between the plates; if the oxide nails are covered (ie, the metal extends down into the oxide nail B), the oxide nail can be reduced to reduce the actuator arc or The effectiveness of electrical damage. If the oxide nail 33 is not Covered by the metal layer %, and there is a step down into the material by the process method of =, there may be a thin metal spacer left along the sidewall of the oxide nail. Since the = spacer spacer contact electrode 38 'Therefore, the metallographic septum is not required. J uses an oxide needle of almost 9 degrees or a round bottom corner. For the reduction, it can be shown on the circle based on the argon-SF6... The rf bias power 'can reduce the argon flow. If 31 201213225, it is desirable to make the pattern of the MEMS beam metal 38 appearing on the bottom of the nail before or after the nail is rounded. The process can be flattened in the reverse cavity, etch J oxide nail 33. If the patterning and _ of the oxide nail 33 are performed after the reverse cavity planarization process, the oxide caliper is controlled only by the (four) depth variability rather than by the reverse cavity oxide CMp planarization step. Degree variability. Alternatively, if the patterning and silver etching of the oxide nail 33 is performed prior to the reverse cavity oxide planarization oxide deposition step, the oxide nail 33 will have an increased component of the high variability caused by the (10)p removal variability. However, the oxide nails 33 will be filled or partially filled with planarizing oxide, which would increase the spacing or spacing between the subsequent metal layer 38 and the actuator metal layer 14 if the oxide nails are covered by metal. In Fig. 11, the upper capacitor dielectric or oxide deposition is performed on the structure of Fig. 10a. More specifically, in this deposition step, oxide material 34 can be deposited to a height of about 8 〇 nm; however, as previously described, the invention encompasses other dimensions. When the MEMS beam is actuated, the ME(10) capacitor dielectric comprises a dielectric layer 16 and a dielectric I 34 that are separated by a coarse radii of the surface of the MEMS capacitor electrode and a small gap caused by the protrusions. A tapered through hole 36 may be formed in the oxide material 24 and the oxide material crucible to the lower layer, the line 14, . The tapered through holes 36 can be formed using conventional lithography, etching, and cleaning processes known to those skilled in the art. It should be noted that the tapered via holes are not excessively oxidized to the underlying TiN, TiAl3, AlCu surface. This excessive oxidation can produce high via resistance. The rear via RIE photoresist stripping 32 201213225 can be performed at a low temperature (i.e., 1 〇〇t) as needed to minimize oxidation. Alternatively, it is known in the art to make inlaid short studs. The use of tapered vias 36 reduces CMP exposure on the surface of the stone, resulting in less 矽18 thickness variability, avoiding the lower likelihood of grinding or damaging the upper MEMS capacitor insulator W and forming deep recesses. Since the thickness of the fracture layer 18 determines the adsorption voltage of the device, it is desirable to minimize the thickness variability of the layer. It should be noted that the tapered through hole 36 should be used in the ice/field from the dream cavity area, because if the tapered through hole is placed in the broken cavity, the oxidation name used to manufacture the tapered through hole is It will be blocked by the layer 18. If the subsequent metal deposition process for line 38 has poor conformality or sidewall coverage, then the aspect ratio of tapered via 36 needs to be 'low', such as 'Q 5:1. For a 2 micron thick insulator Μ and 5' can use a 4 micron wide tapered via 36. Alternatively, a higher aspect ratio may be used for the tapered through-holes 36 if the process is modified (i.e., hot reflow or (10) process). In Fig. 12, the line of the electrode 38 is formed on the oxide material 34 and the line is deposited in the via 36 to contact the underlying line to deposit the electrode 38 in the trench 33; however, 'The electrode is not shown in the groove 33 of the 12th @(Right: in the following figure, 'electrode 38 is shown as being formed in the groove. In the example, Ray 1β γ & It may be, for example, AlCu; however, the invention also encompasses 'in embodiments, for example, in other materials, the electrode 38 may be TiN, TiNst w, or the thickness of the wire (4), the electrode and other electrodes, and the particular design Depending on the parameters. For example, you can use ^". One State One 33 201213225
Ti/AlCu/Ti/TiN層,在400C退火之後,該等層將在A1Cu 下方及上方形成TiAl;j。為最小化任何突起,在實施例 中’如先前所述’ 一任選Ti層可由與A1直接接觸之方 式沈積且/或形成。在此狀況下,應將突起抑制在線(電 極)38之下表面上’與上表面相對。或者,可由責金屬 (諸如’金)、或耐火金屬(諸如w*Ta)形成電極38; 或可在沒有Ti-AlCu介面(例如,Ti/TiN/A1Cu/TiN)的 情況下形成該電極。 在第13圖中’以保形方式在電極 "匕領吧琢篮何 料40。在實施例中,絕緣體材料4〇為使用任何上述方 法沈積之氧化物,該沈積氧化物係沈積至約〇 5 至5 μπι之高度’視梁彈簧常數及氧化物對於金屬厚度比率要 求而定。在一個示例性實施例中,絕緣體材料為4〇〇它 PECVD 2 μιη氧化物’且該絕緣體材料具有得以良好控 制的殘餘應力及厚度。在實施例中,在絕緣體材料 中形成錐形通孔42,以由與先前形成之通孔36類似之 方式來曝露下層的電極38之部分^者,可製造鎢短柱 通孔’以降級由絕緣體層4G之可變的⑽錢造成之 層4〇之厚度可變性為代價。絕緣體層4〇厚度或殘餘應 力之變化性產生整魏刪梁之彈簧常數及應力梯度可 變性,此舉可負面影響梁曲率及彎曲。 如第14圖中所示’在絕緣體層40上形成並圖案化上 -極44 ’且在通孔42内將該上電極沈積至接觸下電極 在實㈣中’上電極44係由與下電極38相同之材 34 201213225 料形成;在一個示例性實施例中,上電極3 8及44由 Ti/AlCu/Ti/TiN組成。對於鎢短柱通孔而言,先前技術 教示’應將最高TiN層留在通孔蝕刻後之線上。對於與 此等MEMS結構一起使用之錐形通孔而言,希望在沈積 電極38及電極44金屬(亦即,Ti/A1Cu/Ti/TiN)之前藉 由使用ΉΝ RIE化學來蝕刻TiN層、使用氬氣濺射來濺 射TiN層或氬氣濺射與TiN RIE化學兩者之組合來完全 移除ΤιΝ層,以消除通孔電阻巔峰(high nyer)之潛在 !·生。在實施例中,電極38及電極44之金屬量應為相同 或實質上相同的’以便平衡裝置之總量及應力,從而沒 有在MEMS結構之梁上置放過度的應力。金屬量係由金 屬厚度與佈局兩者決定。若將相等佈局使用於電極38 及電極44,則若該等電極之厚度相同,則該等電極將具 有相同里。右將帶槽或帶孔佈局使用於下電極38,則將 需要使上電極變薄,以匹配金屬量。在實施例中,可使 下電極或上電極44之厚度增加或減少,以有意將應力梯 度置放至梁中’此舉可使梁在釋放後向上或向下偏轉; 或者改變由變化的溫度引起之梁彎曲,如下文所述。先 前論述假定’電極38及電極44係由單個、相等金屬膜 組成。實務上,如卜令#、+、 ^ 如上文所述,電極係由多層金屬組成, 各層金屬具有不同熱膨脹係數(加雇1 expansi〇n ⑽制叫CTE)及其他機械性質,且若改變佈局或厚 度’則幾乎不可能精確匹配該多層金屬之機械性質。若 電極38及電極44之A1Cu部分比耐火及其他金屬經分 35 201213225 厚得多,則可由A1Cu膜之CTE及其他機械性質將㈣ 及其他機械性質近似至一階。 或者’若上電極38與下電極44之佈局不對稱或不同, 則可使具有較低圖案因素(亦即,較少金屬)之電極之 厚度變厚’以平衡金屬量。帛28圖中圖示不對稱上電極 及下電極之-個實例。在此表示法中,存在自下二 電極200移除之菱形(或其他圖案化形狀)狀形狀,該 等形狀經置放以減少金屬突起形成之可能性。因為下 MEMS電極200之區域小於上MEMS電極21〇之區域, 所以若電極200與電極21〇之金屬厚度相等,則各個電 極中之金屬之量將為不平衡的。平衡下電極與上電極之 金屬量對於懸臂MEMS梁及橋式脏⑽梁均為重要的, 因為梁金屬(例如’鋁)之熱膨脹係數(CTE)遠大於 Si〇2之熱膨脹係數。The Ti/AlCu/Ti/TiN layer, after annealing at 400C, will form TiAl;j under and above A1Cu. To minimize any protrusions, an optional Ti layer may be deposited and/or formed in direct contact with A1 in the embodiments as previously described. In this case, the projections should be restrained from being opposed to the upper surface on the lower surface of the wire (electrode) 38. Alternatively, the electrode 38 may be formed of a metal such as 'gold, or a refractory metal such as w*Ta; or may be formed without a Ti-AlCu interface (e.g., Ti/TiN/A1Cu/TiN). In Figure 13, in the shape of the electrode in the shape of the & 琢 何 何 何 何 何 何 40. In an embodiment, the insulator material 4 is an oxide deposited using any of the above methods, and the deposited oxide is deposited to a height of about 5 to 5 μm, depending on the beam spring constant and the oxide to metal thickness ratio requirement. In an exemplary embodiment, the insulator material is 4 Å PECVD 2 μιη oxide' and the insulator material has residual stress and thickness that are well controlled. In an embodiment, a tapered via 42 is formed in the insulator material to expose portions of the underlying electrode 38 in a manner similar to the previously formed via 36, and a tungsten stub via can be fabricated to degrade The thickness variability of the layer 4 caused by the variable (10) money of the insulator layer 4G is at the expense. The variability of the thickness of the insulator layer 4 or the residual stress produces the spring constant and stress gradient variability of the slab, which can negatively affect the beam curvature and bending. As shown in FIG. 14, 'the upper-pole 44' is formed and patterned on the insulator layer 40 and the upper electrode is deposited in the via hole 42 to contact the lower electrode. In the real (four), the upper electrode 44 is connected to the lower electrode. 38 The same material 34 201213225 is formed; in an exemplary embodiment, the upper electrodes 38 and 44 are composed of Ti/AlCu/Ti/TiN. For tungsten stub vias, the prior art teaches that the highest TiN layer should be left on the via after the via etch. For tapered vias used with such MEMS structures, it is desirable to etch the TiN layer by using ΉΝ RIE chemistry before depositing the electrode 38 and the electrode 44 metal (ie, Ti/A1Cu/Ti/TiN). Argon sputtering is used to sputter a TiN layer or a combination of argon sputtering and TiN RIE chemistry to completely remove the Τι layer to eliminate the potential for via ohms. In an embodiment, the amount of metal of electrode 38 and electrode 44 should be the same or substantially the same 'to balance the total amount and stress of the device so that no excessive stress is placed on the beam of the MEMS structure. The amount of metal is determined by both the metal thickness and the layout. If an equal layout is used for the electrode 38 and the electrode 44, if the electrodes have the same thickness, the electrodes will have the same. The right slotted or perforated layout for the lower electrode 38 will require the upper electrode to be thinned to match the amount of metal. In an embodiment, the thickness of the lower or upper electrode 44 may be increased or decreased to intentionally place a stress gradient into the beam 'this will deflect the beam up or down after release; or change the temperature The resulting beam is bent as described below. It has been previously discussed that 'electrode 38 and electrode 44 are composed of a single, equal metal film. In practice, such as Bu Ling #, +, ^ As mentioned above, the electrode is composed of multiple layers of metal, each layer of metal has a different coefficient of thermal expansion (added 1 expansi〇n (10) called CTE) and other mechanical properties, and if the layout changes Or thickness 'is almost impossible to precisely match the mechanical properties of the multilayer metal. If the A1Cu portion of electrode 38 and electrode 44 is much thicker than refractory and other metals via 35 201213225, then (4) and other mechanical properties can be approximated to the first order by the CTE and other mechanical properties of the A1Cu film. Alternatively, if the layout of the upper electrode 38 and the lower electrode 44 are asymmetrical or different, the thickness of the electrode having a lower pattern factor (i.e., less metal) can be made thicker to balance the amount of metal. An example of the asymmetric upper and lower electrodes is shown in Fig. 28. In this representation, there are diamond-shaped (or other patterned shapes)-like shapes removed from the lower two electrodes 200 that are placed to reduce the likelihood of metal protrusion formation. Since the area of the lower MEMS electrode 200 is smaller than the area of the upper MEMS electrode 21, if the thickness of the metal of the electrode 200 and the electrode 21 is equal, the amount of metal in each electrode will be unbalanced. Balancing the amount of metal between the lower electrode and the upper electrode is important for both the cantilever MEMS beam and the bridge dirty (10) beam because the coefficient of thermal expansion (CTE) of the beam metal (e.g., 'aluminum) is much greater than the coefficient of thermal expansion of the Si〇2.
在實施例中,可部分平衡具有不同區域t赃则電 極。舉例而言,若下MEMS梁電極比上電極少 80%的區域’則可使下電極增厚1()%,以部分重新平衡 兩個電極中之金屬量。有意使兩個MEMS電極中之金屬 量失衡可造成釋放或開孔後MEMS梁弯曲,此舉使梁向 上或向下彎曲至合意的位置;或該有意使兩個则则電 極中之金屬量失衡在操作使用溫度(例如,-55它至US 。0或封裝式晶片操作溫度之任何正常範圍之上可最小 化MEMS梁青曲’如下文所述。當Με·梁向上或向下 彎曲時,MEMS空腔致動間隙增加或減少;且t MEMS 36 201213225 之曲率可變化, °在操作晶片溫 因為致動電壓與 梁隨著變化的溫度而膨脹或收縮時,梁 該梁之曲率可減小接觸區域並減少電容 度之上最小化MEMS梁彎曲為合意的, MEMS空腔間隙成反比。 當開孔的MEMS梁運動因為蓋鉚釘(Ud Ην^ΑΑ或因 為該蓋係結合至蓋BB (參見第31圖)而受蓋束缚時, MEMS梁將不會如期望的般致動且將為部分或完全不作 用的。第16圖中所示之後退蓋氧化物 通…轉角中具有最大後退範圍。為減:此= 圍,如第32圖中所示,可使在赃奶空腔“及m圓 空腔48轉角内之通孔成圓形或倒角形’此舉減少蓋氧化 物將釘住MEMS梁之可能性。第3〇e圖圖示具有上石夕空 腔之錐形側壁外型之非後退⑪沈積。可例如藉由在石夕沈 積期間較佳地以原位方式(亦即,在相同腔室中)或非 原位方式(亦即,在沈積腔室與回蝕腔室之間轉移)執 行多個PVD⑪沈積及rf偏壓晶圓㈣步驟以達成近似 C度角度之石夕沈積外型來獲得此保形石夕沈積製程。一旦 達成45度角度,例如,在〇·3 μπι高的特徵上之〇 3 _ 之淨沈積(第3圖)之後或在極其深的特徵上之約ι _ 之淨沈積(第16圖)之後,在不太頻繁的回蝕步驟的情 況下’沈積之平衡便可由正常、不偏1膜或較厚不偏 矽膜之組合組成,可能需要該等回蝕步驟,α消除由下 層形貌造成之矽中之氧化接縫。此等矽沈積/回蝕製程之 目標在於,消除後退懸垂結構且亦減少或消除由引入形 37 201213225 貌造成之沈積矽中之接縫(第35a圖)(此狀況與第35b 圖相比,第35b圖圖示MEMS結構之轉角之氧化物接 缝^ )。此非後退PVD矽沈積製程針對底部及側壁沈積 而結合下腔室壓力沈積,且該非後退pvD矽沈積製程使 用杈冋腔室壓力蝕刻,其中將rf偏壓施加至晶圓,以最 大化頂表面及轉角㈣^依順序重複低壓沈積及高壓回 触之此等步驟’直至達成所要的厚度為止。在一個示例 I·生貫施例巾,較低壓力沈積(例如,<6毫托)與高壓(例 如,>10毫托)回蝕步驟厚度值為近似沈積1〇_5〇11111與 蝕亥i 5 25 nm,例如,回钮矽移除小於沈積的厚度,且 如下文提及的,可將第—梦層厚度增加至例如50咖或 ⑽以避免賤射至特徵之轉角巾。另外,此順序允 許側壁及錐形表面上之增加的膜密度。此後,最小化以 之表面區域,從而減少表面氧化之量。或者,可使用並 灯PVD⑦沈積與㈣製程,其中偏置濺射&以滅射石夕, 且偏置晶圓以建立45度侧壁角度。此舉對達成Si之穩 定開孔效能為關鍵的,因為任何氧化 1 。 爻開 藉由重複的氬氣濺射回姓步驟獲得所要的45 角度’且在獲得該所I# ^ 所要的45度轉角角度之後,石夕沈積製 程可恢復至蛊急名说以, 儿谓表 .、、、虱氣濺射步驟之正常沈積製程。 偏置矽沈積製葙庙田认 將此 檟^程應用於下矽空腔層18,以 隙及接縫。在初私胳、士 & h r之工 °臈沈積步驟期間應注意何時 矽’以避免濺射頌绥駚+ Α Λ 蚀到 H緣體或來自特徵之轉角之其他材料。 38 201213225 可藉由此原位或非原位濺射方法使第3〇e圖中之轉角 4〇5倒角i 45度,從而導致將氧化物層46再沈積至石夕 中’並且產生由矽中之Si〇2的存在造成之矽開孔之困 難。為避免在初始料積期間濺射曝露的㈣,可沈積 一初始不偏矽層,例如,50 nm或100 nm。 當加熱或冷卻釋放的MEMS梁時,該釋放@ mems 梁將由於具有較大量之金屬的電極比起具有較小量之金 屬的電極膨脹或收縮得多而向上或向下彎曲。第29圖及 表2以里化表示使用第28圖中所示之佈局的梁,⑽ 橋式梁彎曲對於溫度之變化。如上文提及的,mems梁 因為梁中之氧化物與金屬之間的CTE不匹配而彎曲。梁 中之主金屬(例如’幻具有15G_25()<t之屈服應力溫 度。如此項技術中已知,當鋁中之殘留應力不再隨溫度 變化時’屈服應力溫度發生。在屈服應力溫度下彎曲 可變平或更常見的為反向(第29圖曲線B或e)。具有 平衡金屬量之MEMS橋式梁具有隨溫度最小的彎曲;具 有較大上電極量之梁隨增加的溫度而向上彎曲;具有較 大下電極畺之梁隨溫度而向下彎曲。應注意,若MEMS 橋式梁的彎曲為足夠大,則梁將受MEMS梁上方之蓋或 MEMS梁下方之固定電極束缚(第29圖曲線A或F)。 鑒於上文所述理由,最合意的MEMS梁彎曲隨溫度變化 狀態為使總彎曲為最小之狀態。使用使得MEMS彎曲外 型在興趣溫度範圍上最初向上彎曲然後向下彎曲(亦 即’第29 ®曲 '線C )之MEMS梁厚度可達成此狀況; 39 201213225 或反之亦然。達成如此MEMS梁彎曲曲線可能需要刻意 使下電極量與上電極量失衡。 在一個示例性實施例中,下電極38與上電極44圖案 因素之比率為0.8:1 ;梁氧化物為2 μιη厚,下電極具有 含45〇nm之未反應A1Cu厚度之〇 56μιη之總厚度了且 下電極具有含370 nm之未反應AK:U厚度 又 < μιη 之 總厚度。此組合產生具有不平衡量之 8及電極44, '、Ρ,電極38與電極44之量比率為〇 9 ^ Α; μ. r 1 ’ 及此組合 產生最小化的梁彎曲隨溫度(在品質上類 之曲線C的興趣溫度範圍上)變化。 、 圖中In an embodiment, the electrodes may be partially balanced with different regions. For example, if the lower MEMS beam electrode is 80% less than the upper electrode, the lower electrode can be thickened by 1 (%) to partially rebalance the amount of metal in the two electrodes. Deliberately making the amount of metal in the two MEMS electrodes unbalanced can cause the MEMS beam to bend after release or opening, which causes the beam to bend up or down to the desired position; or the intentional imbalance between the two of the electrodes The MEMS beam bluster can be minimized at operating operating temperatures (eg, -55 it to US. 0 or any normal range of packaged wafer operating temperatures) as described below. When Με·beam is bent up or down, MEMS is empty The cavity actuation gap is increased or decreased; and the curvature of t MEMS 36 201213225 can vary, ° the curvature of the beam can reduce the contact area when the wafer temperature is expanded or contracted due to the operating temperature and the beam changes with varying temperatures It is desirable to minimize the MEMS beam bending above the capacitance, and the MEMS cavity gap is inversely proportional. When the open MEMS beam moves because of the cover rivet (Ud Ην^ΑΑ or because the cover is bonded to the cover BB (see page 31) Fig.) When bound by the cover, the MEMS beam will not be actuated as desired and will be partially or completely inactive. The back cover oxide is shown in Figure 16 with the largest back-off range in the corner. Less: this = Circumference, as shown in Fig. 32, can reduce the possibility that the cover oxide will pin the MEMS beam in the "milk cavity" and the through hole in the corner of the m-round cavity 48 are rounded or chamfered. The 3〇e diagram illustrates a non-retraction 11 deposition having a tapered sidewall profile of the upper chamber, which may be preferably in situ (i.e., in the same chamber) during deposition of the stone Excessive mode (ie, transfer between the deposition chamber and the etchback chamber) performs multiple PVD11 deposition and rf bias wafer (4) steps to achieve a near C-degree angle of the Shi Xi deposition profile to obtain this guarantee Forming a stone deposition process. Once a 45 degree angle is reached, for example, a net deposition of 〇3 _ on a characteristic of 〇·3 μπι high (Fig. 3) or a net deposition of about ι _ on an extremely deep feature (Fig. 16), after a less frequent etch back step, the 'deposition equilibrium' can be composed of a combination of normal, unbiased or thicker non-biased films, which may be required. Oxidation joints in the rafts caused by the underlying topography. The objectives of these 矽 deposition/eclipse processes Therefore, the receding suspension structure is eliminated and the seam in the deposition crucible caused by the appearance of the shape of the shape 20121325 is reduced or eliminated (Fig. 35a). This case illustrates the corner of the MEMS structure compared with the 35b diagram. Oxide seam ^). This non-retracted PVD矽 deposition process is combined with lower chamber pressure deposition for bottom and sidewall deposition, and the non-retracted pvD矽 deposition process uses germanium chamber pressure etching, in which rf bias is applied to the crystal Round, to maximize the top surface and corners (four) ^ repeat the steps of low pressure deposition and high pressure touchback in sequence until the desired thickness is reached. In an example I. Straining towel, lower pressure deposition (eg, <6 mTorr) and high pressure (for example, > 10 mTorr) etch back step thickness values are approximately deposited 1〇_5〇11111 and eclipse i 5 25 nm, for example, the button 矽 removal is less than the deposited thickness And, as mentioned below, the thickness of the first layer of dreams can be increased to, for example, 50 coffee or (10) to avoid smashing to the characteristic corner towel. In addition, this sequence allows for increased film density on the sidewalls and tapered surfaces. Thereafter, the surface area is minimized to reduce the amount of surface oxidation. Alternatively, a PVD7 deposition and (4) process can be used, where the bias sputtering & is used to extinguish the wafer and the wafer is biased to establish a 45 degree sidewall angle. This is critical for achieving stable opening performance of Si because of any oxidation 1 . After the repeated argon sputtering back to the surname step to obtain the desired 45 angle 'and after obtaining the desired 45 degree angle of the I# ^, the Shi Xi deposition process can be restored to the urgent name, said The normal deposition process of the ., , and helium sputtering steps. The offset 矽 deposition system Miao Tiantian recognizes this process applied to the lower jaw cavity layer 18, gaps and seams. During the initial deposition process, the & 矽 should be noted to avoid sputtering 颂绥駚 + Α 蚀 eroded to the H edge or other material from the corners of the feature. 38 201213225 The corners 4第5 in the 3rd graph can be chamfered by 45 degrees by this in-situ or non-in-situ sputtering method, resulting in redeposition of the oxide layer 46 into the stone's The presence of Si〇2 in the sputum makes it difficult to open the hole. To avoid (4) sputter exposure during the initial accumulation, an initial non-biased layer can be deposited, for example, 50 nm or 100 nm. When the MEMS beam is released or cooled, the release @mems beam will bend upward or downward as the electrode with a larger amount of metal expands or contracts more than the electrode with a smaller amount of metal. Figures 29 and 2 show the beam using the layout shown in Figure 28, and (10) the variation of the bridge beam bending for temperature. As mentioned above, the mems beam is bent because the CTE between the oxide in the beam and the metal does not match. The main metal in the beam (for example, 'Fantasy has 15G_25()<t yield stress temperature. It is known in the art that the yield stress temperature occurs when the residual stress in the aluminum is no longer changing with temperature. Bending at the yield stress temperature Variable flat or more common is reverse (curve B or e in Figure 29). MEMS bridge beams with balanced metal have the least bending with temperature; beams with larger upper electrodes increase with increasing temperature Bending; beams with larger lower electrode turns downward with temperature. It should be noted that if the bend of the MEMS bridge beam is large enough, the beam will be bound by the cover above the MEMS beam or the fixed electrode below the MEMS beam (p. Figure 29 Curve A or F). For the reasons stated above, the most desirable MEMS beam bending with temperature changes to minimize the total bending. The use of the MEMS curved profile is initially bent upwards over the temperature range of interest and then The MEMS beam thickness of the lower bend (ie '29th ® curve' line C) can achieve this; 39 201213225 or vice versa. To achieve such a MEMS beam bending curve may require deliberate lower electrode amount and upper electrode amount In an exemplary embodiment, the ratio of the pattern factor of the lower electrode 38 to the upper electrode 44 is 0.8:1; the beam oxide is 2 μm thick, and the lower electrode has a thickness of 45 μm of unreacted A1Cu containing 45 〇 nm. The total thickness is and the lower electrode has an unreacted AK:U thickness of 370 nm and a total thickness of < μιη. This combination produces an unbalanced amount 8 and an electrode 44, ', Ρ, the ratio of the electrode 38 to the electrode 44 is 〇9 ^ Α; μ. r 1 ' and this combination produces a minimum beam bending change with temperature (in the temperature range of interest C of the curve C).
40 20121322540 201213225
F 1:0.5 2 μιη 受下固定電 極束缚之較 低彎曲 此釋放後MEMS梁彎曲可引起兩個問題,如上文提及 的· a ·在正常晶片操作期間’例如’自約-5 5 °C至12 5 , MEMS梁彎曲將增加或減少致動間隙,從而導致致動電 壓之相應變化;以及 b.若使此釋放的MEMS梁升溫至高溫(例如,>15(rc, 例如,400°C ),此狀況可能是由開孔或移除犧牲材料之 後的正常處理造成的’則此釋放的MEMS梁將由於上 MEMS梁電極及下MEMS梁電極與梁氧化物之間的熱膨 脹不匹配而向上、向下或向上且向下彎曲,且若彎曲為 足夠大,則該彎曲受MEMS梁上之蓋或MEMS梁下之固 定電極束缚。在退火期間束缚MEMS梁可‘减結,不欲 的曲率,從而產生彎曲的(亦即,不平的)MEMS梁。 彎曲的MEMS梁將具有減少的接觸區域,從而產生減少 的電谷。另外,若由MEMS梁抵靠於梁下方之固定電極 或梁上方之蓋所施加的力過高,則MEMS梁或蓋可破 裂,從而產生MEMS裝置之災難故障。 在第15圖中,在上電極44及絕緣體材料4〇之曝露部 分上沈積有絕緣體材料46。在實施例中,將絕緣體材料 46沈積至約80 nm之厚度;然而本發明亦涵蓋其他尺 201213225 寸為平衡MEMS梁,MEMS梁上方之絕緣體材料46 應為實質上與MEMS梁下方之絕緣體材料34相同的厚 度。層34與層46之此厚度平衡應包括在後續排氣孔介 電質沈積密封步驟期間發生之層46上之任何額外介電 質沈積。藉由圖案化及蝕刻穿過絕緣體將空腔通孔48 形成為穿過絕緣體材料34、40及46到達下層18。在實 施例中,在後續矽沈積之前,可使用例如HF酸來清潔 矽上之任何非所要之氧化物,諸如,藉由將矽丨8曝露於 空氣所形成之天然氧化物。希望但不要求的是,空腔通 孔48之側壁角度為錐形,以改良後續矽沈積側壁覆蓋率 並減少矽中之接縫或空隙。 在第16圖中,在第15圖之結構上沈積矽層5〇。在實 施例中,可將矽層50沈積至約4 pm之厚度;然而本發 明亦涵蓋其他尺寸。如第16圖中所示’沈積矽層5〇, 使得石夕層50之形貌根據下層特徵而變化。碎層5〇可在 通孔42及通孔48上方形成後退外型,在後續氧化物沈 積期間’氧化物可由鉚釘狀方式來填充該等後退結構, 使得在通孔42及通孔48上方存在鉚釘狀氧化物釘子。 此蓋中之此鉚釘狀氧化物特徵可釘住釋放後MEMS梁。 為避免此MEMS梁釘住’需要最佳化硬層5〇沈積製程 以避免此形狀(第30e圖);或需要足夠厚的石夕層5〇以 夾斷或部分夾斷通孔42及通孔48開口(第3〇d圖);需 要類似於先前針對矽層18所述之處理的矽沈積、CMp 及後續矽沈積,或上述處理之組合。又,如第16圖中所 42 201213225 不,石夕層50穿過通孔48而與下層18接觸。在實施例中, 由於HF酸清潔’在兩個石夕層(例如,層18與層5〇)之 間將不存在氧化物。在任選實施例巾,石夕層5〇具有3 微來初始厚度’該矽層經歷i微米CMp移除,且該矽層 具有第二石夕沈積,以達成4 μιη厚度。 在第17圖中所示之任選實施例中,矽層5〇可經歷使 用反向遮罩之任選微影製程及RIE製程,類似於上文所 述。此反向遮罩將使光阻劑置放在通孔42及通孔48上 方使得田使用RIE或濕式化學法矽钮刻製程回蝕石夕5 〇 及後續抗蝕劑剝離及清潔時,將減少引入至後續CMp 步驟之形貌。反向遮罩形狀需要完全覆蓋通孔42及通孔 48開口,使得將不會沿溝槽之側壁蝕刻該等溝槽,如先 前關於第6圖所述。 第18a圖圖示使用類似於先前關於第3圖所述之彼等 方法之矽層50之圖案化及蝕刻。在第18a圖中,矽層 50經歷CMP製程,以平坦化或部分平坦化矽表面且 此後該矽層經歷清潔。如先前提及的,可使用任何矽研 磨製程,且若使用對Si〇2具有低的或無選擇性之製程, 則消除矽表面上之點缺陷之可能性。在此實施例令,將 圖案化矽層50,使得矽層50剩餘在先前形成之通孔48 及形成之溝槽46内。在實施例中,可在有或沒有反向遮 罩圖案化及蝕刻製程的情況下使用習知CMp製程來平 坦化矽層50。對於僅CMP或反向遮罩回蝕繼之以cMp 而言,在HF清潔之後,可執行任選第二矽沈積。或者, 43 201213225 可最佳化矽層50沈積,使得該矽層沈積以保形方式填充 通孔42及通孔48 ;或該矽層沈積夾斷通孔42及通孔 8如上下文所述。此舉將確保後續蓋層$ *將不會延伸 至形成於通孔42及通孔48上方之鉚釘狀特徵巾,該後 、·只蓋層乙伸至鉚釘狀特徵中可潛在地造成摩擦抵靠 MEMS梁,如上文所述。χ,在實施例中,此製程亦將 有利地在後續處理步驟令產生平坦或實質上平坦的空腔 結構(例如,平的或平坦的表面)。 第 圖之任選步驟可幫助矽層5 0之後續蝕刻/平坦 化應注思,若將光波長使用於後續微影對準,則任何 ⑽或㈣5G之其他平坦化無法完全平坦化晶圓上之 所有特徵為避免完全平坦化,可將通孔42及通孔牦 堆疊在功能積體電料側之區域巾,使得即使在通孔42 及通孔48上方平坦化♦,將亦不會在堆疊的通孔結構 42及通孔48上方平坦化矽。 如第19&圖中所示,可平坦化氧化物材料52,使得將 氧化物留在層5 〇 p 士/ & 1 ^ 、 上方(第19a圖),或氧化物材料52 yF層$層5〇平齊’類似於先前在第8圖中所示的。 是否將氧化物層52向回平坦化至石夕層5〇之表面,均可 能需要沈積額外的介電質,以在mems空腔上方形 要的氧化物蓋厚度,如下文所述。或者,如第19b圖中 所不,可部分平坦化氧化物層52;或使氧化物層52未 被平坦化。作為非常類似第9a圖中所示之任選步驟,與 2·3 μπΜ目比’可將氧化物材料沈積至約$ _之厚度, 44 201213225 其中Si層,例如,沈積在厚氧化物材料上。使用習知製 程(例如,CMP)來平坦化Si層(及部分氧化物材料 外對於初始氧化物沈積或全部膜而言,氧化物材料 52沈積製程應充分填充線層44間隔使得藉由,例如, 沈積帶有HDPCVD氧化物之初始氧化物媒以填充間 隔、沈積7钮刻/沈積氧化物或PECVD基於te〇s之氧化 物’而使氧化物甲之空隙並不交叉CMp平坦化氧化物表 面。在所有此等實施例的情況下,第18a圖中所示之反 向圖案回蝕步驟為任選的。 若矽層50沒有完全平坦化’如第16圖中所示,則氧 化物層52表面將沿矽層5〇之表面形貌,如帛…圖中 所不。在第19c圖中所示之引入形貌的情況下,氧化物 CMP步驟在有或沒有反向鑲嵌㈣步 通孔似通孔48之存在而無法完全平坦化氧化物層由5於2 之表面,從而產生第19d圖中所示之所得外型。應注意, 第19d圖中所示之表面外型亦可具有重疊於第⑽圖之 外型上之第19b圖中所示之球形外型。 或者,若任選氧化物回蝕步驟向下蝕刻至矽層5〇之矽 表面’則通孔42及通孔48上方之氧化物將延伸至矽層 之表面以下。通孔42及通孔48上方之此形貌可在最 終分割的晶圓表面中產生溝槽,此舉可由於,例如,在 封f式晶片之濕度-1力應力期間水收集在溝槽中而引 起日曰片可罪性問題。為避免此問題,可將氧化物層W 沈積至-厚度,使得通孔42及通孔48上方之開口夾斷; 45 201213225 或可平坦化氧化物層52,使得最終表面為平坦的 1 9 a圖中所示。 或者,可修改反向圖案㈣遮罩,使得在通孔42及通 孔补周圍之區域中移除遮罩開口。帛W圖圖示空腔 5〇、通孔42及通孔48之俯視圖。若與阻隔通孔42及通 孔48(帛19f圖)—起使用反向圖案回银製程,則在通 孔42及通孔48周圍的氧化物將不會被餘刻(第w 圖)’且將比較容易地平坦化或實質上平坦化氧化物層 52之表面。用以平坦化或部分平坦化氧化物層μ之任 選氧化物CMP製程可擦傷表面。表面到痕狀之實例係 圖示於第m圖中。在開孔或移除河職犧牲空腔層18 及MEMS犧牲^腔層5G之後,此等表面到痕可充當裂 痕凝核點。為消除此問題’執行任選第二介電質或氧化 物沈積,以沈積第19h圖中所示之層4〇〇。 在第20圖中’氧化物材料54展示於表面上,氧化物 材料54在石夕開孔之前決定蓋厚度。舉例而言,氧化物材 料54在開孔之前可具有約3 μιη之厚度。若沒有移除或 完全移除石夕層50上方之氧化物層52,則切開孔之前 層52及層54之總氧化物厚度將決定蓋厚度。在實施例 中’圖案化排氣孔58,且在氧化物蓋中打開排氣孔. 從而曝露下層石夕層50之一部分。應理解,可在氧化物材 料54中形成多於一個的排氣孔58。可使用熟習此項技 :者已知的習知微影及触刻製程來形成排氣孔58。本揭 露中論述之所有圖案化特徵係使用習知的例如步進器或 46 201213225 接近式微影工具(使用光罩)來 , .. 系化’如此項技術中p α。吏用習知微影術,包括遮罩 十工疋外加特徽,W旦:Β,Ι 特徵大小’亦即線寬,及當前 里'、> 舻互a ,士 成像之特徵與晶圓上之 較早層特徵之間的重合或重疊 放於、1 w a u 、*將此4外加特徵置 放於活性晶片之間的分割通道 . . 干然而亦可將該等外加 特徵置放於晶片内;或可使用活 ^ ^ ^ B f曰曰片特徵。為使印刷 、性“内之活性特徵匹酉己,重要但不要求的 :’複製較早層特徵。舉例而言,對於排氣孔58而言, 右將活性晶片外之結構用於量測特徵大小或重疊,則應 將該結構堆疊在上石夕空腔5〇及(視需要)空腔内之其他 線上方’使得晶圓之高度及量測特徵之光學性質(亦即, 反射)與活性晶片内相同。此舉對於排氣孔Μ尤其重 要’因為該排氣孔具有相對較小的寬度,且視用以平坦 化上空腔之製程而定,上空腔可延伸超過周圍晶圓表面 1 μιη或更多,若在空腔外量測排氣孔抗蝕劑寬度,則此 狀況可引起排氣孔58之抗钮冑浮渣印刷在空腔上之問 題。 排氣孔58之寬度及高度決定在矽開孔之後應沈積以 夾斷該排氣孔之材料量。大體而言,當排氣孔寬度減小 時且當排氣孔縱橫比增加時,應沈積以夾斷排氣孔58 之材料量減少,該排氣孔縱橫比為排氣孔高度對於寬度 之比率。在實施例中,3 μιη厚的開孔前蓋將具有丨 直徑。在實施例中’在開孔矽之前可使用HF溶液來清 潔結構’且特定而言為曝露的下層矽層5〇。若排氣孔58 47 201213225 具有過同之縱橫比或若存在過少的排氣孔,則難以排出 犧牲空腔材料18及犧牲空腔材料50。排氣孔可為環形 的或幾:為圓形的’以最小化夾斷該排氣孔所需要之後 續材料s。在一個示例性實施例中,以八邊形來成形排 氣孔,如上文所述此舉最小化計算要求。 右蓋相對於MEMS空腔區域過薄,則在開孔後或在任 何後續膜沈積期間,排空的或經排放的空腔上之蓋可由 於冋膜應力或由於退火期間MEMS梁向上彎曲抵靠蓋而 破裂或刀層。舉例而言’覆蓋有i㈣氧化物蓋之则㈣ 乘以500 μπι的矽空腔在開孔之後或在後續密封膜沈積 之後,將由;^蓋氧化物或密封膜之殘留應力或因為在退 火期間釋放的MEMS梁向上推抵蓋,而易於破裂或分 層。在一個示例性實施例中,每1〇 〇〇〇μπι2之空腔區域 需要近似1微米之氧化物蓋,以避免在開孔之後蓋破裂。 在第21 a圖中,經由排氣孔58來開孔或剝離矽層50 及石夕層18°在實施例中,可使用XeF2蝕刻劑穿過排氣 孔58來執行剝離(例如,蝕刻)。此蝕刻將剝離所有材 料(石夕)’從而形成上空腔或腔室6〇a及下空腔或腔室 60b,且此蝕刻對許多其他材料(包括Si〇2 )具有選擇 性。如此表示法中所示,上空腔6〇a及下空腔6〇b因矽 層18、50之先前蝕刻步驟而具有平坦或幾乎平坦的壁。 在開孔矽之前可執行任選HF清潔以移除天然氧化物且 氫鈍化曝露之矽表面。 如第21b圖及第21c圖中所示,可將排氣孔58形成於 48 201213225 若干位置處、形成至上矽層50、下層18或上矽層5〇與 下矽層18兩者之部分(曝露部分)。舉例而言如第2比 • 圖中所示’在空腔通孔48内外均形成排氣孔。排氣孔 . 58應為圓形或幾乎為圓形,以最小化在開孔後夾斷該等 排氣孔所需要之絕緣體量。可使用八邊形形狀來繪製排 氣通孔,以最小化處理設計資料所需要之計算工作負 荷,如上文所述。在此實施例中,上部59a中之矽層5〇 之蝕刻速率將比下部59b中之矽層18蝕刻得更快,因此 確保沒有過度應力置放於下部59b上,如第⑽圖中所 示。(上部59a及下部59b將形成MEMS結構之上空腔 及下空腔。) 第2圖及第21e圖圖示第21b圖及第21c圖之更詳 細橫截面圖。如第2ld圖中所示,排氣孔58係形成至上 矽層5 0與下矽層1 8之部分。在此實施例中,如第2 ^ d 圖中所見,下層18將實際上支撐上部59a,由於該下層 以較慢速率蝕刻。在第21e圖中’可在若干位置處形成 排氣孔58 ’但是主要形成至(曝露)層18。在此實施例 中,下部59b中之層1 8之蝕刻速率比上部59b中之矽層 • 更快,從而產生MEMS梁00上之附加應力之可能性 . (例如,MEMS梁60可部分或全部扯掉或撕下)。 若排氣孔佈局使得下空腔18比上空腔5 0開孔得更快 (例如’藉由將排氣孔置放在如第2 i c圖中所示之通孔 (空腔通孔)48外)’則下空腔可在上空腔之前開孔。 此舉可引起應力相關破裂問題,如第2 1 c圖中所示。當 49 201213225 下空腔層18幾乎完全開孔但仍延伸空腔之全部高度而 上空腔矽層5〇沒有完全開孔且沒有延伸至上空腔之全 部高度時,由蓋及梁向上彎曲造成之應力可將氧化物6〇 自下空腔扯掉,如第21c圖中所示。鑒於此等原因,希 望將排氣孔置放在上空腔上方,使得上空腔在下空腔之 前開孔。 在第21f圖中圖示倒角下空腔a及倒角上空腔b轉角 4〇5 (亦參見例如第21b圖使空腔轉角成倒角在矽開 孔之後可減:>、應力,結果為減少由溫度循環或其他應力 造成之電介質膜破裂之可能性。圖示45度倒角405 ;然 而展望任何倒角角度,包括圓形的轉角(亦由元件符號 405表不)。如先前提及的,與使轉角成圓形相對比,使 轉角成倒角減少與驗證佈局不違反最小的行及間隔規則 關聯之計算複雜性。亦可使空腔内之通孔42及通孔Μ 成倒角,如下文所述。在第21c圖中,可在若干位置處 形成排氣孔58’從而曝露下層18〇在此實施例中,下部 中之層18之姓刻速率將比上部59b中之石夕層5〇更 快。亦可使任何線| 14、38、44之轉角成倒角(如第 22圖中所示),以減少總應力。 如第22圖中所示,可使用材料62 (諸如,介電質或 金屬)來密封排氣孔58。若密封材料62在梁上之空腔 内沈積膜’則此舉可潛在地使MEMS梁之應力不平衡, 且亦在通孔周圍之區域中將蓋結合至梁,如本文所述且 如由第3 1圖中之25〇所示。為避免此問冑,在開孔密封 50 201213225 材料於空腔内沈積之實施例中,應以足夠遠離通孔之方 式(例如,大於1微米或,在一示例性實施例中,大於 L微米)置放排氣孔’使得釋放的MEMs梁並未由開孔 ㈣沈積結合至蓋。或者’可將排氣孔置放在遠離m e m s 梁之空腔區域中’使得沒有排氣孔密封材料沈積在釋放 的EMS梁上。接下來,沈積任選層64,以提供氣密封。 層64可為例如· nmpECVD氮化石夕膜或已知其他膜, 以在氧化物層62上提供氣密封。 在第23a圖中,在第22圖之結構中打開最終通孔66。 在實施例中,通孔66使下層電極44曝露。在實施例中, 使用習知微影及蝕刻製程來形成通孔66。在進一步實施 例中,在形成通孔之前,例如可在氮化物覆蓋層64上沈 積任選聚醯亞胺(polyimideW 68。形成此最終通孔之問 題為,由平坦化上矽空腔造成之該最終通孔之高度,該 同度可在6-12 μηι之範圍内。長介電質RIE步驟引起關 於RIE工具之問題,由於腔室過熱或其他問題;或簡單 地因為該等步驟每小時處理時間具有低部分且為昂貴 的0 第23b圖及第23c圖圖示用於形成通孔之替代性製 程。舉例而言,可在形成排氣孔58的同時形成部分通孔 66a»在形成排氣孔58(及矽層50、18之後續清潔)之 後,可使用介電質材料62及氮化物蓋64來密封排氣孔 58。在該選擇中,藉由使用兩個分離的圖案化與蝕刻步 驟來形成最終通孔66,此選擇減少製造MEMS裝置所需 51 201213225 要L時間量,且亦使最終通孔之角度成錐形,因 此改良無錯(pb_free)凸塊間隙填充。在實施例令,可在 氮化物蓋64上沈積任選聚醯亞胺或此項技術中已知的 其他聚合物塗佈材料68。亦將在部分通孔—中形成介 電質材料62、氮化物蓋64及聚醯亞胺材料68。此後, 可藉由穿過介電質材料62、氮化物蓋64及任選聚醯亞 :材料68而蝕刻至下層電極44來形成通孔_之剩餘 部分。如此表示法中標注的’部分通孔—具有比通孔 ⑽大的橫截面。舉例而言,通孔—可為約6〇微米跨 越(例如’直徑);而通孔66b具有較小尺寸,例如,Η 微米又’通孔之總高度(由通孔66a及通孔⑽形成) 可為約9微米。在實施例中’任選聚醯亞胺開口小於氧 化物開口 “列如,48微米),以覆蓋在線轉角處之氧化 物/氮化物介面之轉角。 第24a圖-第24f圖圖示根據本發明製造之結構之各種 俯視圖。帛24a圖_第24c圖圖示根據本發明之第一結構 之不同橫截面圖;而第24d圖-第24f圖圖示根據本發明 之第二結構之不同橫截面圖。更衫而言,第%圖圖 不懸臂梁結構之俯視圖,該懸臂梁結構具有上空腔200a 下工腔200b。空腔通孔21〇在上空腔2〇〇a與下空腔 Mob之間延伸。在實施例中空腔通孔為「u」形 或Ί 1」形通孔,然而本發明亦涵蓋其他形狀。空腔通 孔210之寬度可為例如約〇1微米至1〇〇微米,而通孔 之長度為約1微米至1〇〇〇微来。在一個示例性實施例 52 201213225 中,空腔通孔210為4微米寬及1〇 τ見汉ιυο微水長。如所論述 的’若空腔通孔為足夠厚(例如,5μη〇,則窄空腔通 孔(例如’ 2叩寬)在上矽空腔沈積期間將夾斷,此舉 減少盍氧化物進入通孔中之延伸。 上空腔2〇〇a及下空腔2〇〇b (如本文先前描述的)可 為相同大小或不同大小。用以形成平坦下空腔(表示為 200b)之CMP處理可在空腔邊緣上產生表面曲率。為避 免此表面曲率使MEMS梁之底部彎曲,應置放空腔通孔 48,使得該空腔通孔之内邊緣超過曲率且在下空腔之平 的部分上。 第24b圖亦圖示空腔通孔21〇,該空腔通孔21〇在上 空腔200a與下空腔200b之間延伸。另外,第24b圖圓 示平行的第一致動器215與第二致動器215。關於第— 及第二致動器215來提供電容器頭220,該電容器頭可 為根據本發明之態樣之一個下固定電容器。此等線(亦 即,線215及線220)係由如第22圖中所示之層14形 成。熟習此項技術者應認知到,第一及第二致動器(電 極)215可為電線,上文所述。第一及第二致動器(電 極)215在致動(亦即,施加充分dc電壓)之後將產生 MEMS梁之彎曲。 第24c圖圓示空腔通孔210,該空腔通孔210在上空 腔200a與下空腔2〇〇b之間延伸。另外’第24c圖圖示 平行的第一與第二致動器215a。關於第一及第二致動器 215a來提供電容器臂及頭220a,該電容器臂及頭可為根 53 201213225 據本發明之態樣之一個下固定電容器極板。電容器臂及 頭220a在第一致動器215a與第二致動器以“之間自空 腔之邊緣延伸至電容器頭。MEMS電容器係形成於元件 22〇 (在第Mb圖中)交又元件22〇a (在第24c圖中) 處。第24c圖中之致動器215a及電容器臂及頭以“係 由第22圖中之線38及線44所組成,且如圖所示,該致 動器與該電容器臂及頭係由下文所述之通孔228連接。 另外,第24c圖圖示電性通孔228 ’該等電性通孔係 連接至懸臂梁之下線及上線。亦可將電性通孔228連接 至電容器臂220a,電容器臂22〇a在致動器215a之間延 伸。此等通孔在第22圖中係圖示為42。 在梁下方提供氧化物釘子225,且該等氧化物釘子可 延伸至電容器臂220a及致動器215a。此等氧化物釘子 225亦可在第21b圖t之致動器215上方。第24c圖亦 圖示梁下方之氧化物釘子225。在第22圖中,此等氧化 物釘子為元件33。在操作十,電極215a在致動之後將 產生MEMS梁之彎曲。在正常MEMS操作中,在致動器 2 15與致動器2 15 a之間施加致動電壓。舉例而言,可將 致動器215接地且可將50 V施加至致動器215a;可將 -25 V施加至致動器215且可將25 v施加至致動器 215a;可將50 V施加至致動器215且可將致動器215a 接地,等。此等MEMS佈局具有四個分離的輸入··下電 容器輸入、上電容器輸出、下致動器及上致動器。如此 項技術中已知,可組合此四個電極。舉例而言,上致動 54 201213225 器215a及電容器220a可由單個連接線組成;下致動器 215及下電容器220電極可由單個線組成;或兩者皆可。 對於此等較簡單的2個或3個輸入裝置而言,將需要例 如藉由使用配線至接地或電極上之dc電壓的電感器來 去耗(decouple)父流(alternating current; ac)訊號及 dc 致 動。 第24d圖-第24f圖圖示根據本發明之第二結構之不同 橫截面圖。更特定而言,第24d圖圖示懸臂梁結構之俯 視圖’ 5亥懸臂梁結構具有上空腔3〇〇a及下空腔3〇〇b。 空腔通孔310在上空腔300a與下空腔300b之間延伸。 在實施例中,空腔通孔310包含平行帶,然而本發明亦 涵蓋其他形狀。空腔通孔31〇之寬度可為例如約〇丨微 米至100微米,而通孔之長度為約i微米至1〇〇〇微米。 在一個示例性實施例中’通孔31〇為4微米寬及1〇〇微 永長。 第24e圖亦圖示空腔通孔31〇,該空腔通孔31〇在上 空腔300a與下空腔300b之間延伸。另外,第2扑圖圖 示第一、第二及第三致動器315。在實施例中,第一與 第二致動器為平行的’且第三致動器為下致動器。電容 窃頭320在第一致動器及第二致動器與第三(下)致動 之門電各器頭320可為根據本發明之態樣之下固定 電谷器極板。此等線(亦即,線315及線)係由如 第22圖中所示之層丨4形成。熟習此項技術者應認知到, 第及第二致動盗(電極)315可為電線,上文 55 201213225 所述。第一、第二及第三致動器315在致動之後將產生 MEMS梁之彎曲。 第24f圖圆示空腔通孔310,該空腔通孔31〇在上空 腔300a與下空腔300b之間延伸。另外,第24f圖圖示 第一、第二及第三致動器(電極)315a。關於第―、第 一及第二致動器(電極)3 1 5a來提供。電容器頭及臂32〇a 在第一致動器315a與第二致動器315a之間延伸。第24f 圖中之致動器315a及電容器臂及頭320a係由第22圖中 之線38及線44組成。 另外,第2 4 f圖圖示電性通孔3 2 8,該等電性通孔係 連接至懸臂梁之下線及上線。亦可將電性通孔3 2 8連接 至電容器臂320a。氧化物釘子325係提供在梁下方,且 該等氧化物釘子可延伸至電容器臂32〇a及下致動器 315c。在操作中,第一、第二及第三致動器(電極) 在致動之後將產生MEMS梁之彎曲。更特定而言,下致 動器將施加電壓至致動器(電極)。 在兩者狀況下’若MEMS裝置為電容器,則MEMS 梁包括金屬/絕緣體/金屬,其中在堆疊下方及上方具有 附加薄絕緣體層。若裝置為電容器,則一個示例性實施 例將使用0.5微米之下金屬厚度及上金屬厚度以及2微 米絕緣體厚度,其中在梁上方及下方具有8〇 nm絕緣體 層。另外,將使致動器215 (第24a圖-第24c圖)或致 動器315 (第24d圖-第24f圖)接地,使得當將致動電 壓施加至致動器時,MEMS梁將致動且向下彎曲,如此 56 201213225 項技術中已知。或者,可 』將致動電壓施加至第24c圖及 第24f圖中之致動電極, 且將第24b圖及第24c圖中之 致動器接地。在另—竇尬 貫施例中,將使致動器與電容器連 接在一起,且將需I, 要使用dc接地線(諸如,電感器)使 該等致動器及該電容器接地。F 1:0.5 2 μηη Low bending bound by the lower fixed electrode This bending of the MEMS beam after this release can cause two problems, as mentioned above · a · during normal wafer operation 'eg 'from '-5 5 ° C To 12 5 , MEMS beam bending will increase or decrease the actuation gap, resulting in a corresponding change in the actuation voltage; and b. if the released MEMS beam is warmed to a high temperature (eg, > 15 (rc, eg, 400°) C), this condition may be caused by normal processing after opening or removing the sacrificial material. Then the released MEMS beam will be mismatched due to thermal expansion between the upper MEMS beam electrode and the lower MEMS beam electrode and the beam oxide. Bending upwards, downwards or upwards and downwards, and if the bend is large enough, the bend is bound by a cover on the MEMS beam or a fixed electrode under the MEMS beam. Bound MEMS beams during annealing can be reduced, unwanted Curvature, resulting in a curved (ie, uneven) MEMS beam. A curved MEMS beam will have a reduced contact area, resulting in a reduced electric valley. In addition, if the MEMS beam abuts against a fixed electrode or beam below the beam Applied by the top cover If it is too high, the MEMS beam or cover may be broken, thereby causing a catastrophic failure of the MEMS device. In Fig. 15, an insulator material 46 is deposited on the exposed portions of the upper electrode 44 and the insulator material 4A. In an embodiment, The insulator material 46 is deposited to a thickness of about 80 nm; however, the present invention also contemplates that the other ruler 201213225 inches is a balanced MEMS beam, and the insulator material 46 above the MEMS beam should be substantially the same thickness as the insulator material 34 beneath the MEMS beam. This thickness balance with layer 46 should include any additional dielectric deposition on layer 46 that occurs during the subsequent vent dielectric deposition sealing step. Cavity vias 48 are formed by patterning and etching through the insulator. To pass through the insulator materials 34, 40 and 46 to the lower layer 18. In an embodiment, for example, HF acid may be used to clean any undesired oxides on the crucible prior to subsequent niobium deposition, such as by 矽丨8 Exposure to natural oxides formed by air. It is desirable, but not required, that the sidewall angle of the cavity vias 48 be tapered to improve subsequent sidewall deposition and reduce seams in the crucible or In Fig. 16, a layer of germanium 5 is deposited on the structure of Fig. 15. In an embodiment, layer 50 may be deposited to a thickness of about 4 pm; however, other dimensions are also encompassed by the invention. The deposition of the ruthenium layer 5 〇 shows that the morphology of the shi layer 50 varies according to the characteristics of the underlying layer. The fracture layer 5 〇 can form a regressive shape above the via 42 and the via 48, during subsequent oxide deposition. The oxide may fill the retracted structures in a rivet-like manner such that rivet-like oxide nails are present over the vias 42 and vias 48. The rivet-like oxide features in the cover may pin the post-release MEMS beam. In order to avoid this MEMS beam pinning, it is necessary to optimize the hard layer 5〇 deposition process to avoid this shape (Fig. 30e); or to require a sufficiently thick layer of stone layer 5 to pinch or partially pinch the through hole 42 and pass The opening of the aperture 48 (Fig. 3D); a tantalum deposition, CMp and subsequent tantalum deposition similar to that previously described for the tantalum layer 18, or a combination of the above. Further, as shown in Fig. 16, 42 201213225, the layer 10 is passed through the through hole 48 to be in contact with the lower layer 18. In an embodiment, no oxide will be present between the two layers (e.g., layer 18 and layer 5) due to HF acid cleaning. In an optional embodiment, the enamel layer has an initial thickness of 3 micrometers. The ruthenium layer undergoes an i-micron CMp removal, and the ruthenium layer has a second radiant deposition to achieve a thickness of 4 μηη. In the optional embodiment illustrated in Figure 17, the germanium layer 5 can undergo an optional lithography process and RIE process using a reverse mask, similar to that described above. The reverse mask will place the photoresist over the vias 42 and vias 48 such that the fields are etched and cleaned using RIE or wet chemical knurling processes, and subsequent resist stripping and cleaning. The reduction is introduced to the topography of the subsequent CMp step. The reverse mask shape needs to completely cover the vias 42 and vias 48 so that they will not be etched along the sidewalls of the trench, as previously described with respect to Figure 6. Figure 18a illustrates the patterning and etching of a germanium layer 50 using methods similar to those previously described with respect to Figure 3. In Figure 18a, the tantalum layer 50 is subjected to a CMP process to planarize or partially planarize the tantalum surface and thereafter the tantalum layer undergoes cleaning. As previously mentioned, any crucible grinding process can be used, and if a process with low or no selectivity to Si〇2 is used, the possibility of point defects on the crucible surface is eliminated. In this embodiment, the germanium layer 50 is patterned such that the germanium layer 50 remains in the previously formed vias 48 and the trenches 46 formed. In an embodiment, the germanium layer 50 can be planarized using conventional CMp processes with or without reverse mask patterning and etching processes. For CMP only or reverse mask etch back followed by cMp, an optional second germanium deposition may be performed after HF cleaning. Alternatively, 43 201213225 may optimize the deposition of the germanium layer 50 such that the germanium layer deposit fills the vias 42 and vias 48 in a conformal manner; or the germanium layer deposits the pinch-off vias 42 and vias 8 as described above and below. This will ensure that the subsequent cover layer $* will not extend to the rivet-like feature towel formed over the through hole 42 and the through hole 48, and that the rear cover layer only extends into the rivet-like feature to potentially cause frictional resistance. By MEMS beam, as described above. That is, in an embodiment, the process will also advantageously result in a flat or substantially flat cavity structure (e.g., a flat or flat surface) in subsequent processing steps. The optional steps in the figure can help the subsequent etching/planarization of the germanium layer 50. If the wavelength of light is used for subsequent lithography alignment, any other planarization of (10) or (iv) 5G cannot be completely flattened on the wafer. All of the features are: to avoid the complete flattening, the through holes 42 and the through holes can be stacked on the side of the functional integrated circuit, so that even if the flat holes 42 and the through holes 48 are flattened, the The stacked via structures 42 and the vias 48 are planarized. As shown in the 19th & figure, the oxide material 52 can be planarized such that the oxide remains in the layer 5 〇p 士 / & 1 ^ , above (Fig. 19a), or the oxide material 52 yF layer $ layer 5〇平齐' is similar to that previously shown in Figure 8. Whether or not the oxide layer 52 is flattened back to the surface of the layer, it may be desirable to deposit additional dielectric to square the desired oxide cap thickness on the mems cavity, as described below. Alternatively, as shown in Fig. 19b, the oxide layer 52 may be partially planarized; or the oxide layer 52 may not be planarized. As an optional step very similar to that shown in Figure 9a, the oxide material can be deposited to a thickness of about $ _ with a ratio of 2·3 μπ, 44 201213225 wherein the Si layer, for example, is deposited on a thick oxide material . Using a conventional process (eg, CMP) to planarize the Si layer (and a portion of the oxide material for the initial oxide deposition or all of the film, the oxide material 52 deposition process should adequately fill the line layer 44 spacing by, for example, , depositing an initial oxide medium with HDPCVD oxide to fill the gap, depositing 7 button/deposit oxide or PECVD based on the oxide of te〇s, so that the voids of the oxide layer do not cross the CMp to planarize the oxide surface In the case of all such embodiments, the reverse pattern etch back step shown in Figure 18a is optional. If the germanium layer 50 is not fully planarized, as shown in Figure 16, the oxide layer The surface of 52 will be along the surface topography of the crucible layer, as shown in the figure. In the case of the introduction of the topography shown in Fig. 19c, the oxide CMP step is stepped with or without reverse inlay (four). The presence of the via-like vias 48 does not completely planarize the surface of the oxide layer from 5 to 2, resulting in the resulting profile shown in Figure 19d. It should be noted that the surface profile shown in Figure 19d may also be In the 19th picture with the overlap on the shape of the (10) figure Alternatively, if the optional oxide etch back step is etched down to the tantalum layer 5', the oxide above the via 42 and via 48 will extend below the surface of the tantalum layer. The topography above the apertures 42 and vias 48 can create trenches in the surface of the finally segmented wafer, for example, due to, for example, water being collected in the trench during the humidity-1 force stress of the packaged wafer. In order to avoid this problem, the oxide layer W may be deposited to a thickness such that the vias 42 and the openings above the vias 48 are pinched off; 45 201213225 or the planarization of the oxide layer 52, The final surface is flat as shown in Fig. 9a. Alternatively, the reverse pattern (four) mask can be modified so that the mask opening is removed in the area around the through hole 42 and the through hole fill. A top view of the cavity 5, the through hole 42 and the through hole 48. If the reverse pattern is used to return to the silver process together with the barrier through hole 42 and the through hole 48 (Fig. 19f), the through hole 42 and the through hole 48 are used. The oxide will not be left in the (wth figure) and will more easily planarize or substantially planarize the oxide The surface of 52. The optional oxide CMP process for planarizing or partially planarizing the oxide layer μ can scratch the surface. Examples of surface to trace are shown in Figure m. Opening or removing the river After sacrificing the cavity layer 18 and the MEMS sacrificial cavity layer 5G, these surface-to-marks can serve as a crack nucleation point. To eliminate this problem, an optional second dielectric or oxide deposition is performed to deposit the 19h chart. The layer 4 is shown. In Figure 20, the oxide material 54 is shown on the surface, and the oxide material 54 determines the thickness of the cover prior to opening the hole. For example, the oxide material 54 can be opened prior to opening. There is a thickness of about 3 μηη. If the oxide layer 52 over the layer 10 is not removed or completely removed, the total oxide thickness of layer 52 and layer 54 prior to slitting will determine the thickness of the lid. The venting opening 58 is patterned in the embodiment and the venting opening is opened in the oxide cover to expose a portion of the lower layer 10. It should be understood that more than one venting opening 58 may be formed in the oxide material 54. The venting opening 58 can be formed using conventional lithography and etch engraving processes known in the art. All of the patterned features discussed in this disclosure use conventional, for example, stepper or 46 201213225 proximity lithography tools (using a reticle) to: "p[alpha] in such techniques.习Use conventional lithography, including masking ten craftsmanship plus special emblem, W Dan: Β, Ι feature size 'that is line width, and current ',> 舻 mutual a, the characteristics of the imaging and wafer The overlap or overlap between the earlier layer features, 1 wau, * the 4 additional features placed in the split channel between the active wafers. However, the additional features can also be placed in the wafer Or you can use the live ^ ^ ^ B f 曰曰 feature. In order to make the printing, the "active characteristics in the performance", important but not required: 'copy the earlier layer features. For example, for the vent 58, the structure outside the active wafer is used for measurement. If the feature size or overlap, the structure should be stacked on top of the upper ridge cavity and (as needed) above the other lines in the cavity' such that the height and measurement characteristics of the wafer are optical (ie, reflective) and The same is true in the active wafer. This is especially important for venting Μ because the vent has a relatively small width, and depending on the process used to planarize the upper cavity, the upper cavity can extend beyond the surrounding wafer surface 1 If the vent hole resist width is measured outside the cavity, this condition may cause the problem that the vent hole 58 is printed on the cavity. The width of the vent hole 58 and The height determines the amount of material that should be deposited to pinch the vent after the boring. In general, when the vent width is reduced and as the vent ratio increases, it should be deposited to pinch off the vent 58. The amount of material is reduced, and the aspect ratio of the vent is high For the ratio of widths, in an embodiment, a 3 μm thick open-cell front cover will have a 丨 diameter. In the embodiment, 'the HF solution can be used to clean the structure before the opening 且 and specifically the exposed lower layer 矽If the vent hole 58 47 201213225 has the same aspect ratio or if there are too few vent holes, it is difficult to discharge the sacrificial cavity material 18 and the sacrificial cavity material 50. The vent hole may be annular or a few : is circular 'to minimize the need for subsequent material s to pinch the vent. In an exemplary embodiment, the vent is shaped in an octagon, as described above to minimize calculation requirements The right cover is too thin relative to the MEMS cavity area, and after the opening or during any subsequent film deposition, the cover on the evacuated or drained cavity may be bent upward due to yttrium film stress or due to MEMS beam annealing during annealing Crack or knife layer against the cover. For example, 'covered with an i (four) oxide cover (4) multiplied by 500 μm of the cavity after the opening or after the subsequent sealing film deposition, will cover oxide or seal Residual stress of the film or because of annealing The interleaved MEMS beam pushes up against the cover and is prone to cracking or delamination. In an exemplary embodiment, each 1 〇〇〇〇μπι cavity region requires an oxide cap of approximately 1 micron to avoid opening Thereafter, the cover is broken. In Fig. 21a, the ruthenium layer 50 and the ruthenium layer are opened or etched through the vent hole 58. In the embodiment, the XeF2 etchant may be used to perform the detachment through the vent hole 58 ( For example, etching) This etch will strip all of the material (the formation) to form the upper cavity or chamber 6〇a and the lower cavity or chamber 60b, and this etch has a choice for many other materials, including Si〇2. As shown in this representation, the upper cavity 6〇a and the lower cavity 6〇b have flat or nearly flat walls due to previous etching steps of the ruthenium layers 18, 50. Optional HF can be performed before the opening 矽Clean to remove the native oxide and hydrogen passivate the exposed surface. As shown in FIGS. 21b and 21c, the venting holes 58 may be formed at a number of positions at 48 201213225, forming portions of the upper sill layer 50, the lower layer 18, or both the upper sill layer 5 〇 and the lower sill layer 18 ( Exposure part). For example, as shown in the second aspect, the vent hole is formed inside and outside the cavity through hole 48. The venting holes 58 should be round or nearly circular to minimize the amount of insulation required to pinch the vents after opening. An octagonal shape can be used to draw the exhaust through holes to minimize the computational workload required to process the design data, as described above. In this embodiment, the etch rate of the ruthenium layer 5 in the upper portion 59a will be etched faster than the ruthenium layer 18 in the lower portion 59b, thus ensuring that no excessive stress is placed on the lower portion 59b, as shown in the figure (10). . (The upper portion 59a and the lower portion 59b will form a cavity above and below the MEMS structure.) Figures 2 and 21e show a more detailed cross-sectional view of Figs. 21b and 21c. As shown in the 2nd figure, the vent hole 58 is formed to be part of the upper layer 50 and the lower layer 18. In this embodiment, as seen in Figure 2^d, the lower layer 18 will actually support the upper portion 59a since the lower layer is etched at a slower rate. In Fig. 21e, the vent hole 58' may be formed at several positions but mainly formed to the (exposure) layer 18. In this embodiment, the etch rate of layer 18 in lower portion 59b is faster than the 矽 layer in upper portion 59b, thereby creating the potential for additional stress on MEMS beam 00. (For example, MEMS beam 60 may be partially or fully Rip off or tear off). If the venting arrangement is such that the lower cavity 18 is opened faster than the upper cavity 50 (e.g., by placing the venting opening in the through hole (cavity through hole) as shown in Figure 2 ic 48 Outside) 'The lower cavity can be opened before the upper cavity. This can cause stress-related rupture problems, as shown in Figure 2 1 c. When the lower layer 18 of the 2012201213225 is almost completely open but still extends the full height of the cavity and the upper cavity layer 5 is not completely open and does not extend to the full height of the upper cavity, the cover and the beam are bent upwards. The stress can tear the oxide 6 〇 from the lower cavity as shown in Figure 21c. For these reasons, it is desirable to place the venting hole above the upper cavity such that the upper cavity opens in front of the lower cavity. In Fig. 21f, the chamfered lower cavity a and the chamfered upper cavity b are rotated by 4〇5 (see also, for example, Fig. 21b, the chamfering of the cavity is chamfered after the opening of the opening: >, stress, The result is a reduction in the likelihood of dielectric film rupture caused by temperature cycling or other stresses. A 45 degree chamfer 405 is illustrated; however, any chamfer angle is contemplated, including a rounded corner (also indicated by component symbol 405). As mentioned above, in contrast to making the corners round, the chamfering of the corners reduces the computational complexity associated with verifying that the layout does not violate the minimum row and spacing rules. It is also possible to have through holes 42 and through holes in the cavity. Chamfering, as described below. In Figure 21c, the venting opening 58' can be formed at several locations to expose the lower layer 18. In this embodiment, the layer 18 in the lower portion will have a higher engraving rate than the upper portion 59b. In the middle of the stone layer 5 〇 faster, can also make any line | 14, 38, 44 corner chamfer (as shown in Figure 22) to reduce the total stress. As shown in Figure 22, The venting opening 58 is sealed using a material 62 such as a dielectric or metal. If the sealing material 62 is on the beam Depositing a film in the cavity can potentially unbalance the stress of the MEMS beam and also bond the cover to the beam in the area around the via, as described herein and as described by Figure 25 To avoid this, in embodiments where the aperture seal 50 201213225 material is deposited in the cavity, it should be sufficiently far away from the via (eg, greater than 1 micron or, in an exemplary embodiment, More than L micron) Place the venting hole 'so that the released MEMs beam is not bonded to the cover by the opening (4) deposition. Or 'The venting hole can be placed in the cavity area away from the MEMS beam' so that there is no venting hole A sealing material is deposited on the released EMS beam. Next, an optional layer 64 is deposited to provide a hermetic seal. Layer 64 can be, for example, an nmpECVD nitride film or other known film to provide gas on oxide layer 62. In Fig. 23a, the final via 66 is opened in the structure of Fig. 22. In the embodiment, the via 66 exposes the lower electrode 44. In an embodiment, a conventional lithography and etching process is used to form Through hole 66. In a further embodiment, the through hole is formed For example, an optional polyimide (polyimide W 68) may be deposited on the nitride cap layer 64. The problem of forming this final via is that the height of the final via is caused by the planarization of the upper cavity, which is 6 Within the range of -12 μηι. The long dielectric RIE step causes problems with the RIE tool due to chamber overheating or other problems; or simply because the steps have an hourly processing time with a low portion and are expensive 0 Figure 23b And Fig. 23c illustrates an alternative process for forming a via. For example, a portion of the via hole 66a can be formed while forming the vent hole 58. (After forming the vent hole 58 (and subsequent layers 50, 18) After cleaning, the dielectric material 62 and the nitride cap 64 can be used to seal the venting opening 58. In this option, the final via 66 is formed by using two separate patterning and etching steps, which reduces the amount of time required to fabricate the MEMS device and also tapers the angle of the final via. Therefore, the improved error-free (pb_free) bump gap filling is improved. In an embodiment, an optional polyimine or other polymeric coating material 68 known in the art can be deposited on the nitride cap 64. A dielectric material 62, a nitride cap 64, and a polyimide material 68 will also be formed in a portion of the vias. Thereafter, the remaining portion of the via hole can be formed by etching through the dielectric material 62, the nitride cap 64, and optionally the polysilicon: material 68 to the lower electrode 44. The 'partial through hole' indicated in this representation has a larger cross section than the through hole (10). For example, the vias may be about 6 μm span (eg, 'diameter); and the vias 66b have smaller dimensions, such as Η micron and 'total height of vias (formed by vias 66a and vias (10)) ) can be about 9 microns. In an embodiment, 'optionally the polyimine opening is smaller than the oxide opening "column, for example, 48 microns" to cover the corner of the oxide/nitride interface at the corners of the line. Figure 24a - Figure 24f illustrate fabrication in accordance with the present invention Various top views of the structure. 帛24a图_24c illustrates different cross-sectional views of the first structure according to the present invention; and 24d-24f illustrates different cross-sectional views of the second structure according to the present invention In the case of a sweater, the % diagram is a top view of the cantilever beam structure having a lower cavity 200a lower working chamber 200b. The cavity through hole 21 is between the upper cavity 2a and the lower cavity Mob. The cavity through hole is a "u" shaped or Ί 1" shaped through hole in the embodiment, although other shapes are also contemplated by the present invention. The width of the cavity via 210 can be, for example, about 1 micron to 1 micron, and the length of the via is about 1 micron to 1 micron. In an exemplary embodiment 52 201213225, the cavity via 210 is 4 microns wide and 1 〇 τ see Han υ 微 micro water length. As discussed, 'if the cavity via is sufficiently thick (eg, 5μη〇, the narrow cavity via (eg '2叩 wide) will pinch off during deposition of the upper cavity, which reduces the entry of niobium oxide Extension in the through hole. The upper cavity 2〇〇a and the lower cavity 2〇〇b (as previously described herein) may be the same size or different sizes. CMP treatment to form a flat lower cavity (denoted as 200b) A surface curvature may be created on the edge of the cavity. To avoid curvature of the surface of the MEMS beam, the cavity via 48 is placed such that the inner edge of the cavity through hole exceeds the curvature and is on the flat portion of the lower cavity. Figure 24b also illustrates a cavity through hole 21A that extends between the upper cavity 200a and the lower cavity 200b. In addition, Figure 24b shows a parallel first actuator 215 and A second actuator 215. The capacitor head 220 is provided with respect to the first and second actuators 215, which may be a lower fixed capacitor in accordance with aspects of the present invention. These lines (i.e., line 215 and Line 220) is formed from layer 14 as shown in Figure 22. Those skilled in the art will recognize that The first and second actuators (electrodes) 215 can be wires, as described above. The first and second actuators (electrodes) 215 will produce MEMS beams after actuation (ie, application of sufficient dc voltage) Bending, Fig. 24c shows a cavity through hole 210 extending between the upper cavity 200a and the lower cavity 2〇〇b. In addition, '24c illustrates parallel first and second Actuator 215a. Capacitor arm and head 220a are provided with respect to first and second actuators 215a, which may be root 53 201213225. A lower fixed capacitor plate according to aspects of the present invention. Capacitor arm and The head 220a extends between the first actuator 215a and the second actuator "between the edge of the cavity and the capacitor head. The MEMS capacitor is formed in the element 22" (in the Mb diagram) and the element 22a (in Figure 24c). Actuator 215a and capacitor arm and head in Figure 24c are "consisting of line 38 and line 44 in Figure 22, and as shown, the actuator The capacitor arm and the head system are connected by a through hole 228 as described below. In addition, FIG. 24c illustrates the electrical via 228'. The hole system is connected to the lower line and the upper line of the cantilever beam. The electrical through hole 228 can also be connected to the capacitor arm 220a, and the capacitor arm 22〇a extends between the actuators 215a. These through holes are shown in Fig. 22. Shown as 42. An oxide nail 225 is provided below the beam, and the oxide nails can extend to the capacitor arm 220a and the actuator 215a. These oxide nails 225 can also be above the actuator 215 of Figure 21b. Figure 24c also shows oxide nails 225 below the beam. In Figure 22, the oxide nails are element 33. At operation ten, electrode 215a will produce a bend of the MEMS beam after actuation. In normal MEMS operation, an actuation voltage is applied between actuator 2 15 and actuator 2 15 a. For example, actuator 215 can be grounded and 50 V can be applied to actuator 215a; -25 V can be applied to actuator 215 and 25 v can be applied to actuator 215a; 50 V can be applied Applied to the actuator 215 and the actuator 215a can be grounded, and the like. These MEMS layouts have four separate inputs, a lower capacitor input, an upper capacitor output, a lower actuator, and an upper actuator. As is known in the art, the four electrodes can be combined. For example, the upper actuator 54 201213225 215a and capacitor 220a may be comprised of a single connection line; the lower actuator 215 and lower capacitor 220 electrodes may be comprised of a single line; or both. For such simpler two or three input devices, it would be necessary to decouple the parent current (ac) signal and dc, for example by using an inductor wired to ground or the dc voltage on the electrode. Actuated. Figure 24d - Figure 24f illustrate different cross-sectional views of a second structure in accordance with the present invention. More specifically, Fig. 24d illustrates a top view of the cantilever structure. The 5 cantilever beam structure has an upper cavity 3〇〇a and a lower cavity 3〇〇b. The cavity through hole 310 extends between the upper cavity 300a and the lower cavity 300b. In an embodiment, the cavity via 310 includes parallel strips, although other shapes are also contemplated by the present invention. The width of the cavity through hole 31〇 may be, for example, about 〇丨 micrometer to 100 μm, and the length of the through hole is about i micrometer to 1 〇〇〇 micrometer. In one exemplary embodiment, the vias 31 are 4 microns wide and 1 microsecond long. Fig. 24e also shows a cavity through hole 31, which extends between the upper cavity 300a and the lower cavity 300b. In addition, the second map shows the first, second, and third actuators 315. In an embodiment, the first and second actuators are parallel' and the third actuator is a lower actuator. The capacitive tamper 320 can be used to secure the grid electrode plates in accordance with aspects of the present invention in the first actuator and the second actuator and the third (lower) actuation of the gates 320. These lines (i.e., lines 315 and lines) are formed by layers 4 as shown in FIG. Those skilled in the art will recognize that the second and second actuating thieves (electrodes) 315 can be wires, as described in 55 201213225. The first, second and third actuators 315 will produce a bend of the MEMS beam after actuation. The Fig. 24f shows a cavity through hole 310 which extends between the upper cavity 300a and the lower cavity 300b. In addition, Fig. 24f illustrates first, second, and third actuators (electrodes) 315a. The first, first and second actuators (electrodes) 3 1 5a are provided. The capacitor head and arm 32A extend between the first actuator 315a and the second actuator 315a. The actuator 315a and the capacitor arm and head 320a in Fig. 24f are composed of line 38 and line 44 in Fig. 22. In addition, the 24th f diagram illustrates an electrical via 3 2 8, which is connected to the lower and upper lines of the cantilever beam. Electrical vias 3 2 8 may also be connected to capacitor arms 320a. Oxide nails 325 are provided below the beam and the oxide nails can extend to the capacitor arm 32a and the lower actuator 315c. In operation, the first, second, and third actuators (electrodes) will produce a bend in the MEMS beam after actuation. More specifically, the lower actuator will apply a voltage to the actuator (electrode). In both cases, if the MEMS device is a capacitor, the MEMS beam comprises a metal/insulator/metal with an additional thin insulator layer below and above the stack. If the device is a capacitor, an exemplary embodiment would use a metal thickness below 0.5 microns and an upper metal thickness and a 2 micron insulator thickness with an 8 〇 nm insulator layer above and below the beam. In addition, the actuator 215 (Fig. 24a - Fig. 24c) or the actuator 315 (Fig. 24d - Fig. 24f) will be grounded such that when an actuation voltage is applied to the actuator, the MEMS beam will cause Move and bend downwards, as is known in the 56 201213225 technique. Alternatively, an actuation voltage can be applied to the actuation electrodes in Figures 24c and 24f, and the actuators in Figures 24b and 24c are grounded. In another embodiment, the actuator will be connected to the capacitor and I will be required to ground the actuator and the capacitor using a dc ground wire, such as an inductor.
第30a圖-第30e圖圖千y* 〇此/ L 圓圓不在已執行非保形矽沈積步驟之 後的上空腔矽50表面形釉分t , 开乂貌’該表面形貌未夹斷由電性通 孔42及空腔通孔48诰忐々 知成之開口。不偏的PVD矽沈積將 形成‘麵包塊,外型,‘货 ^ 如第30a圖中所示,如此項技術 中已知。第30a圖-筮因士向_ 弟3〇e圖亦圖示氧化物釘子i6a。矽 層5 0以後退方放f ^ (亦即,具有底切)覆蓋通孔之側壁, 且當沈積MEMS空腔f, 股盖材枓(諸如’ Si02)時,蓋材料 將填充通孔42及通4R ^ 、 上方之後退開口,如先前所述。 若在開孔之後樑向上彎曲, 号曲其中蓋中之鉚釘狀特徵(250) 摩擦梁且/或將鉚名丁狀甚炎士 人 研了狀盖結構結合至梁(255)(參見例如 第31圖)’則在第16圖中筌 固τ之盖瓜成、石夕開孔及空腔密封 步驟之後圖示的此後退蕞 _ 交退盍形成,可將蓋以鉚釘狀方式釘 至梁。 在第31圖-第33圖及篦- 固及第35圖中,氡化物材料54係展 示於表面上,該氧化物材料54切開孔之前決定蓋厚 度。在實施例中,在氧化物蓋中打開排氣孔”,從而曝Figure 30a - Figure 30e Figure 1000 y* 〇 This / L circle is not in the upper cavity 矽 50 surface glaze after the non-conformal 矽 deposition step has been performed, open 乂 ' 'The surface topography is not pinched off The electrical through hole 42 and the cavity through hole 48 are known as openings. Unbiased PVD矽 deposition will form a 'bread block, shape,' as shown in Figure 30a, as is known in the art. Figure 30a - 筮因士向_弟 3〇e diagram also shows the oxide nail i6a. The ruthenium layer 50 is retracted by f ^ (ie, having undercuts) to cover the sidewalls of the vias, and when the MEMS cavity f is deposited, such as 'Si02, the cap material will fill the vias 42. And pass 4R ^, the upper back exit, as previously described. If the beam is bent upwards after the opening, the rivet-like feature (250) in the cover is rubbed and/or the rivet-like squirrel-like structure is bonded to the beam (255) (see for example 31))] In Figure 16, the τ τ τ τ τ 石 石 石 石 石 石 石 石 石 石 石 石 τ 空腔 空腔 空腔 空腔 空腔 空腔 空腔 空腔 空腔 空腔 空腔 空腔 空腔 空腔 空腔 空腔 空腔 空腔 空腔 空腔 空腔 空腔 空腔 空腔 空腔 空腔 空腔 空腔 τ . In Figs. 31-33 and 篦-solid and Fig. 35, the telluride material 54 is shown on the surface, and the thickness of the cover is determined before the oxide material 54 is cut into the holes. In an embodiment, the venting opening is opened in the oxide cover, thereby exposing
露下層石夕層5 〇之一部公。_ A P刀應理解,可在氧化物材料54 中形成多於-個排氣孔58。可使用熟習此項技術者已知 的習知微影及刻蝕過程來形成排氣孔以。排氣孔W之 57 201213225 曰 义在夕開孔之後應沈積以夾斷排氣孔之材 料量,如下寺® ,, 吏坪細地論述的。可使用材料62 (諸如, 介電質或金屬)來密封排氣孔58,如上文所述。 、第34 ®為用於半導體設計、製造及/或測試中之設計 過程的流%圖。第34圖圖示用於例如半導體1C邏輯設 十模擬、測試、佈局及製造中之示範性設計流程9〇〇 ^方塊圖。設計流程900包括過程、機器及/或機制,該 過程、機盗及/或機制用於處理設計結構或裝置以產生 上文所述且第1圖第33圖及第35圖中所示之設計結構 及/或震置之邏輯上或功能上等效的表示法。可在機器可 讀取傳輸或儲存媒體上編碼由設計流帛900處理且/或 產生之設計結構,以包括資料及/或指令,在資料處理系 統上執行或處理該等資料及/或指令時產生硬體組件、電 路、裝置或系統之邏輯上、結構上、機械上或功能上等 效的表示法。機器包括(但不限於)用於化設計過程(諸 如’設計、製造或模擬電路)、組件、裝置Μ統中之任 何機器。舉例而言’機器可包括:微影術機器、用於產 生遮罩之機器及/或裝備(例如,電子束寫入器)、電腦 或用於模擬設計結構之裝備、詩製造或測試過程中之 任何設備或用於將設計結構之功能等效表示法程式設叶 至任何媒體中之任何機器(例如,用於程式設計可程式 化閘陣列之機器)。 設計流程_可變化,視所設計之表示法之類型而 定。舉例而言’用於構建特殊應用積體電路(Appiicati〇n 58 201213225One of the 5 〇 石 石 夕 夕 夕 。 。 。. _ A P knife It should be understood that more than one venting opening 58 may be formed in the oxide material 54. The venting holes can be formed using conventional lithography and etching processes known to those skilled in the art. Vent hole W 57 201213225 曰 在 在 在 在 在 夕 夕 应 夕 应 应 应 应 应 应 应 应 应 应 应 应 应 应 应 应 应 应 寺 寺 寺 寺 寺 寺 寺 寺 寺 寺 寺 寺Material 62, such as a dielectric or metal, may be used to seal vent 58 as described above. , 34 ® is a % flow diagram for the design process used in semiconductor design, fabrication, and/or testing. Figure 34 illustrates an exemplary design flow for use in, for example, semiconductor 1C logic design simulation, testing, layout, and fabrication. The design flow 900 includes processes, machines, and/or mechanisms for processing design structures or devices to produce the designs described above and illustrated in Figures 33 and 35 of Figure 1. A logically or functionally equivalent representation of a structure and/or a shock. The design structure processed and/or generated by the design stream 900 can be encoded on a machine readable transport or storage medium to include data and/or instructions for execution or processing of the data and/or instructions on the data processing system. A logical, structural, mechanical, or functional equivalent representation of a hardware component, circuit, device, or system. Machines include, but are not limited to, any machine used in a design process (such as 'design, manufacture, or analog circuits'), components, and devices. For example, a machine may include: a lithography machine, a machine and/or equipment for generating a mask (eg, an electron beam writer), a computer or equipment for simulating a design structure, poetry manufacturing or testing Any device or any device used to design a functionally equivalent representation of the design to any medium (eg, a machine for programming a programmable gate array). The design flow _ can vary, depending on the type of representation being designed. For example, 'for building special application integrated circuits (Appiicati〇n 58 201213225
Specific Integrated Circuit; ASIC)之設計流程 900 可不 同於用於設計標準組件之設計流程900,或可不同於用 於將設計樣例化為可程式化陣列(例如,由Altera® Inc. 或Xilinx® Inc提供之可程式化閘陣列(pr〇graminable gate array; PGA)或現場可程式化閘陣列(field programmable gate array; FPGA))之設計流程 900。 第34圖圖示包括輸入設計結構920之多個此等設計結 構’該輸入設計結構較佳地由設計過程9 10處理。設計 結構920可為邏輯模擬設計結構,該邏輯模擬設計結構 由設計過程910產生並處理’以產生硬體裝置之邏輯等 效功能表示法。設計結構920亦可或替代地可包含資料 及/或程式指令,該等資料及/或程式指令在由設計過程 910處理時產生硬體裝置之實體結構之功能表示法。不 管疋否表示功能及/或結構設計特徵,可使用電子電腦輔 助設計(electronic computer-aided design; ECAD)來產生 設計結構920,該電子電腦輔助設計諸如由核心開發者/ 設計者實施。當在機器可讀取資料傳輸、閘陣列或儲存 媒體上編碼時’可藉由設計過程91〇内之一或多個硬體 及/或軟體模組來存取並處理設計結構92〇,以模擬或在 功忐上表示諸如彼等在第1圖_第33圖及第35圖中所示 之電子組件、電路、電子或邏輯模組、設備、裝置或系 統。如此,設計結構920可包含檔案或其他資料結構, 該等槽案或其他資料結構包括A類及/或機器可讀取原 始碼、編譯結構及電腦可執行碼結構,該等檔案或其他 59 201213225 資料結構由設計或模擬資料處理系統處理時在功能上 模擬或表示電路或硬體邏輯設計之其他層。此等資料結 構可包括硬體描述語言(HDL)設計實體或其他資料結 構該等其他資料結構與諸如Verilog及VHDL之較低 階HDL設計語言及/或諸如c & c + +之較高階設計語言 一致且/或相容。 設計過程910較佳地使用且併入硬體及/或軟體模 組,該等硬體及/或軟體模組用於合成、翻譯或處理第i 圖-第33圖及第35圖中所示之組件、電路、裝置或邏輯 結構之設計/模擬功能等效物,以產生網路連線表, 該網路連線表980可含有諸如設計結構92〇之設計結 構。網路連線表可包含,例如,編譯或處理資料^ 構’該等編譯或處理資料結構表^線、離録件、邏輯 閘控制電路、輸入/輸出(input/output; I/O)裝置、模型 等之列表,該列表描述至積體電路設計中之其他元件及 電路之連接。可使用迭代過程來合成網路連線表%〇, 在該迭代過程中,將網路連線表98〇再合成一或多次, 視裝置之設計規格及參數而定。正如本文描述之其他設 汁…構類型,可將網路連線表98〇記錄在機器可讀取資 料儲存媒體上,或可將該網路連線表程式設計為可程式 化間陣歹ij。媒體可為非揮發性儲存媒體,諸如,磁碟驅 動器或光碟驅動器、可程式化閘陣列、CF卡(⑺⑺肸以 fl a s h)或其他快閃記憶體。另外或替代地,媒體可為系統 或快取記憶體、緩衝空間或導電或光學導電裝置及材 60 201213225 呌可、·乂由網際網路或其他網路連接適合的方式在該系 統或快取記憶體、該緩衝空間或該等導電或光學導電裝 置及材料上料且中間料資料封包。 叹叶過程910可包括硬體及軟體模組,該等硬體及軟 模▲用於處理包括網路連線表_之各種輸入資料結 構類型。此等資料結構類型可常駐(例如)於程式庫元 件930内’且此等資料結構類型包括用於給定製造技術 (门士不同技術節點、32 nm、45 nm、9〇⑽等)之 :組常用元件、電路及裝置’包括模型、佈局及符號表 示法。資料結構類型可進一步包括設計規格94〇、特性 化-貝料950、驗證資料96〇、設計規則97〇及測試資料檔 案985,該等測試資料檔案可包括輸入測試圖案、輸出 測試結果及其他測試資訊。設計過程91〇可進一步包括 (例如)標準機械設計過程(諸如,應力分析、熱分析卜 機械事件模Μ、用於諸如逢鎮、帛製及模壓_成之操作 之過程模擬等。機械設計之一般技術者可瞭解,在不脫 離本發明之範疇及精神的情況下,設計過程9丨〇中可使 用可能的機械設計工具及應用。設計過程91〇亦可包括 用於執行標準電路設計過程之模組,該等標準電路設計 過程諸如,時序分析、驗證、設計規則檢查、置放及路 由操作等。 設計過程910使用且併入邏輯及實體設計工具(諸 如,HDL編譯器及模擬模型構建工具),以與任何額外 機械設計或資料(若適用)一起處理設計結構92〇及示 201213225 出之支援資料結構中之—些或全部支援資料結構以產 生第二設計結構9 9 0。 叹计結構990以用於機械裝置及結構之資料之交換的 資料格式(例如,以 IGES、DXF、Paras〇Hd χτ、jt、 drg儲存之資訊,或用於儲存或呈現此等㈣設計結構 之任何其他適合格式)常駐於儲存媒體或可程式化閉陣 列上。類似於設計結構㈣,設計結構㈣較佳地包含 或夕個檔案 貝料結構或其他電腦編碼資料或指令, s或夕個播案、*貝料結構、或其他電腦編碼資料或指 令常駐於傳輸或資料儲存媒體上,且當由ecad系統處 理時,產生第i圖们3圖及第35圖中所示之本發明之 實施例中之-或多個實施例之邏輯上或者功能上等效的 形式。在-個實施例中’設計結構99〇可包含經編譯、 可執行舰模擬模型,該經編譯、可執行職模擬模 型功能上地模擬第1圖-第33圖及帛35圖中所示之裝 置。 設計結構"〇亦可使用用於交換積體電路之佈局資料 的資料格式及/或符號資料格式(例如,卩G臟 (GDS2)、GL1、〇ASIS、映射標案儲存之資訊,或用於 儲存此等料㈣結叙任何其㈣合格式)。設計結構 "〇可包含貪訊’例如,符號資料、映射㈣、測試資 抖標案、設計内容檔案、製造資料、佈局參數、線、金 屬之層、通孔、形狀 '用於穿過制 【穿過製造線選路之資料及由 製造商或其他設計者/開發者要求以產生如上文所述且 62 201213225 :第圓第33圖及第35圖中所示之裝置或結構的任何 、他#料°此後’設計結構99〇可繼續進行階段995, 其中(例如)設計結構㈣行進至出帶(叫卜叫 放至製造 '被釋放至遮罩業者、被送至另—設計業者、 被送回至消費者等。 如上文所述之方法係用於積體電路晶片之製造中。所 得的積體電路晶片可由製造者以裸晶圓形式(亦即,作 為具有多個非封裝晶片之單個晶圓)、作為裸露晶粒或以 封裝形式散佈。在後者狀況下,晶片係安裝在單晶片封 裝(諸如,具有附著於主機板或其他較高階載體之導線 的塑膠載體)中或多晶片封裝(諸如,具有表面互連或 埋入互連或具有表面互連及埋入互連兩者之陶竟載體) 中。在任何狀況下,此後,將該晶片與其他晶片、離散 電路元件及/或其他訊號處理裝置整合,作為⑷中間產〇 (諸如’主機板)或(b)最終產品之部分。最終產品可Ζ 包括積體電路晶片之任何產品,範圍自玩具及其他低階 應用至高級電腦產品,該等高級電腦產品具有顯示器、 鍵盤或其他輸入裝置及中央處理器。 本文使用之術語僅出於描述特定實施例之目的且不欲 為本發明之限制。本文中使用之單數形式「一 「 及「該」亦意欲包括複數形式’除非上下文另」有明二」 示。將進-步理解,用語「包括」及/或「包含」在使用曰 於本說明書中時’指定說明的特徵、整體、步驟、操作、 元件及/或組件之存在,但是不排除-或多個其他特徵、 63 201213225 整體、步驟、操作、元件、組件及/或該等其他特徵、整 體、步驟、操作、it件、組件之群組之存在或添加。 若適用,則相應結構、材料、動作及申請專利範圍中 之所有手段功能元素或步驟功能元素的等效物,意欲包 括用於結合如尤#主張的其他主張元素來執行功能之任 何結構、材料或動作。雖然出於說明及描述之目的已提 供本發明之描述,但是本發明之該描述不欲為窮盡的或 將本發明限於所揭示之形式。在不脫離本發明之範疇及 精神的情況下’許多修改及變化對於—般技術者將為顯 而易見的。選取且描述之實施例以便最佳地解釋本發明 之原理及實際應用,且使此項技術之一般技術者能夠理 解本發明之各種實施例’該等實施例具有適於如所涵蓋 之特疋用途之各種修改n雖然已依據實施例描述 本發明,但是熟習此項技術者將認知到,本發明可在具 有修改的情況下且在隨附申請專利範圍之精神及範疇内 實踐。 【圖式簡單說明】 在以上詳細描述中參閱帶註解的複數個圖式以本發明 之示例ϋ貫施例之非限制實例之方式來描述本發明。 第1圖-第23圖及第26圖_第33圖圖示根據本發明之 各種結構及相關處理步驟; 第24a圖-第24f圖圖示使用根據本發明之態樣所示之 製程製造之MEMS裝置的俯視結構圖; 64 201213225 第25圖圖示展示關於矽凹陷部深度與氧化物研磨之 資料的若干形貌圖表(亦即’原子力顯微鏡資料); 第34圖為用於半導體設計、製造及/或測試中之設計 過程的流程圖;以及 第35a圖圖示根據本發明之態樣之減少或消除由引人 形貌造成之沈積矽中之氧化物接縫的結構及製程(與圖 示氧化物接缝之第3 5b圖相比)。 【主要元件符號說明】 10 12 基板 互連件/鎮嵌層 14 14' 線/配線層/下電極 上TiN/TiA13層/下層線 14a 配線間隔/配線間隙16 絕緣體層/介電質層 /間隔 16a 介電質釘子/氧化物16A 頂表面 釘子 16B 側表面 16C 底表面 18 犧牲空腔材料/發18a 矽表面Αε夕表面曲率/凸面 層 18b 石夕表面/凹面 19a 元件 22 第二矽層 26 抗钱劑 凹陷部 空隙/接縫 絕緣體材料/氧化物層 抗蝕劑邊緣 65 201213225 28 開口 30 「圖框」 32 矽層 33 溝槽/氧化物釘子 33a 氧化物釘子 33b 氧化物釘子 34 上MEMS電容器絕 36 錐形通孔 緣體層/氧化物材料 /介電質層 38 上MEMS 電極 40 絕緣體材料/絕緣體層 /MEMS梁金屬層 42 錐形通孔/MEMS空 44 上電極/線層/線 腔 46 氧化物層/絕緣體材 48 通孑L/MEMS空腔 料/溝槽 50 矽層 52 氧化物材料/氧化物層 54 蓋層/氧化物材料 58 排氣孔 59a 上部 59b 下部 60 MEMS 梁 60a 上空腔/上腔室 60b 下空腔/下腔室 62 氧化物層/材料 64 層/氮化物蓋 66 通孔 66a 部分通孔 66b 通孔 68 聚醯亞胺層 200 下MEMS電極 200a 上空腔 200b 下空腔 66 上MEMS電極/空 215 第一致動器/第二致動器/線/電極 腔通孔 第一致動器/第二致 220 電容器頭/線/元件/下電容器 動器/電極 電容器臂及頭/元 225 氧化物釘子 件/電容器 電性通孔 250 鉚釘狀特徵 梁 300 臺階構造 上空腔 300b 下空腔 外型構造 310 空腔通孔 第一致動器/第二 315a 第一致動器/第二致動器/第三致 致動器/第三致動 動器/電極 器/線/電極 下致動器 320 電容器頭/線 電容器頭及臂 325 氧化物釘子 電性通孔 400 層 轉角 900 設計流程 設計過程 920 設計結構 程式庫元件 940 設計規格 特性化資料 960 驗證資料 設計規則 980 網路連線表 67 201213225The Design Process 900 of the Specific Integrated Circuit; ASIC) may be different from the design flow 900 for designing standard components, or may be different from being used to translate a design into a programmable array (eg, by Altera® Inc. or Xilinx®) The design flow 900 of a programmable ram array (PGA) or field programmable gate array (FPGA) provided by Inc. Figure 34 illustrates a plurality of such design structures including input design structure 920. The input design structure is preferably processed by design process 910. Design structure 920 can be a logic analog design structure that is generated and processed by design process 910 to produce a logically equivalent functional representation of the hardware device. Design structure 920 may also or alternatively include data and/or program instructions that, when processed by design process 910, produce a functional representation of the physical structure of the hardware device. Regardless of the functional and/or structural design features, an electronic computer-aided design (ECAD) can be used to generate the design structure 920, such as implemented by a core developer/designer. When encoded on a machine readable data transfer, gate array or storage medium, the design structure 92 can be accessed and processed by one or more hardware and/or software modules within the design process 91 Analog or functional representation of electronic components, circuits, electronic or logic modules, devices, devices or systems such as those shown in Figures 1 - 33 and 35. As such, the design structure 920 can include files or other data structures including Class A and/or machine readable source code, compiled structures, and computer executable code structures, such files or other 59 201213225 The data structure is functionally simulated or represented by other layers of the circuit or hardware logic design when processed by the design or analog data processing system. Such data structures may include hardware description language (HDL) design entities or other data structures such as other lowercase HDL design languages such as Verilog and VHDL and/or higher order designs such as c & c++ The language is consistent and / or compatible. The design process 910 is preferably used and incorporated into a hardware and/or software module for synthesizing, translating or processing the images shown in Figures iii - 33 and 35 The design/analog functional equivalent of a component, circuit, device, or logic structure to create a network connection table, which may include a design structure such as a design structure 92. The network connection table may include, for example, compiling or processing data structures such as compiling or processing data structure tables, recording elements, logic gate control circuits, and input/output (I/O) devices. A list of models, etc. that describe the connections to other components and circuits in the design of the integrated circuit. An iterative process can be used to synthesize the network connection table %〇. During this iteration, the network connection table 98〇 is synthesized one or more times, depending on the design specifications and parameters of the device. As with the other types described in this article, the network connection table 98 can be recorded on the machine readable data storage medium, or the network connection table program can be designed as a programmable inter-array 歹ij . The media can be a non-volatile storage medium such as a disk drive or a CD drive, a programmable gate array, a CF card ((7)(7), fl a s h) or other flash memory. Additionally or alternatively, the media may be a system or cache memory, buffer space or conductive or optically conductive device and material 60 201213225 、, 乂 by internet or other network connection suitable way in the system or cache The memory, the buffer space or the conductive or optically conductive devices and materials are loaded and the intermediate material data is encapsulated. The snippet process 910 can include hardware and software modules ▲ for processing various input data structure types including network connection tables. Such data structure types may be resident, for example, in library component 930' and such data structure types include for a given manufacturing technique (different technology nodes, 32 nm, 45 nm, 9 〇 (10), etc.): The set of commonly used components, circuits and devices 'includes models, layouts and symbolic representations. The data structure type may further include a design specification 94〇, a characterization-bean material 950, a verification data 96〇, a design rule 97〇, and a test data file 985, which may include input test patterns, output test results, and other tests. News. The design process 91 can further include, for example, standard mechanical design processes (such as stress analysis, thermal analysis, mechanical event simulation, process simulations for operations such as townships, tanning, and molding operations, etc.) It will be appreciated by those skilled in the art that possible mechanical design tools and applications can be used in the design process without departing from the scope and spirit of the invention. The design process 91 can also include performing standard circuit design processes. Modules, such standard circuit design processes such as timing analysis, verification, design rule checking, placement and routing operations, etc. Design process 910 uses and incorporates logical and physical design tools (such as HDL compilers and simulation model building tools) ), in conjunction with any additional mechanical design or documentation (if applicable), to process the design structure 92 and some or all of the supporting data structures in the supporting data structure of 201213225 to produce a second design structure 990. 990 Data format for the exchange of information on mechanical devices and structures (eg IGES, DXF, Paras〇Hd χτ , jt, drg stored information, or any other suitable format for storing or rendering such (4) design structures) resident on a storage medium or a programmable closed array. Similar to design structure (4), design structure (4) preferably includes Or a file or other computer-coded material or instruction, s or a broadcast, a material, or other computer-encoded data or instructions resident on a transmission or data storage medium, and when processed by the ecad system The logical or functionally equivalent form of the embodiment of the present invention shown in FIG. 3 and FIG. 35 is shown in FIG. 35. In one embodiment, the design structure 99 〇 can include a compiled, executable ship simulation model that functionally simulates the devices shown in Figures 1 - 33 and 帛 35. The design structure "〇 can also be used Data format and/or symbol data format used to exchange layout data of integrated circuits (for example, GDS2, GL1, 〇ASIS, information stored in the mapping file, or used to store such materials (4) Any of its (four) Design structure "〇 can contain greedy 'for example, symbol data, mapping (four), test transcripts, design content files, manufacturing materials, layout parameters, lines, metal layers, through holes, shapes' for Through the system [through the routing of the manufacturing line and by the manufacturer or other designer/developer to produce the device or structure as described above and 62 201213225: Figures 33 and 35 Anything, he may continue to proceed to stage 995, where, for example, the design structure (four) travels to the outgoing belt (called the call to the manufacturing) is released to the masking industry, sent to another - The designer, is sent back to the consumer, etc. The method described above is used in the manufacture of integrated circuit chips. The resulting integrated circuit die can be dispensed by the manufacturer in the form of bare wafers (i.e., as a single wafer having a plurality of non-packaged wafers), as bare die, or in a package. In the latter case, the wafer is mounted in a single wafer package (such as a plastic carrier having wires attached to a motherboard or other higher order carrier) or a multi-chip package (such as having a surface interconnect or buried interconnect or having The surface interconnect and the buried interconnect are both in the carrier. In any event, thereafter, the wafer is integrated with other wafers, discrete circuit components, and/or other signal processing devices as part of (4) intermediate production (such as 'board) or (b) final product. The final product can be any product that includes integrated circuit chips, ranging from toys and other low-end applications to advanced computer products with displays, keyboards or other input devices and central processing units. The terminology used herein is for the purpose of describing particular embodiments and is not intended to The singular forms "a" and "the" are also intended to include the plural unless the The phrase "comprising" and / or "comprising", when used in the specification, is used to specify the features, integers, steps, operations, components and/or components of the description, but does not exclude - or Other features, 63 201213225 The existence, or addition of a group, a procedure, an operation, an element, a component, and/or such other features, a whole, a step, an operation, an element, or a group of components. Where applicable, the corresponding structures, materials, acts, and equivalents of the various functional elements or step functional elements in the scope of the claims are intended to include any structure or material used to perform the function in conjunction with other claim elements such as the claim. Or action. The description of the present invention has been provided for purposes of illustration and description, and is not intended to Many modifications and variations will be apparent to those skilled in the art without departing from the scope of the invention. The embodiments were chosen and described in order to best explain the principles of the invention and the embodiments of the invention Various modifications of the present invention Although the present invention has been described in terms of the embodiments, those skilled in the art will recognize that the invention can be practiced in the spirit and scope of the appended claims. BRIEF DESCRIPTION OF THE DRAWINGS In the above detailed description, reference is made to the accompanying drawings in the claims Figures 1 - 23 and 26 - Figure 33 illustrate various structures and associated processing steps in accordance with the present invention; Figures 24a - 24f illustrate fabrication using a process according to aspects of the present invention. Top view of the MEMS device; 64 201213225 Figure 25 shows a number of topographical diagrams (ie, 'atomic force microscopy data') on the depth of the depression and oxide polishing; Figure 34 is for semiconductor design and fabrication. And/or a flow chart of the design process in the test; and Figure 35a illustrates the structure and process of reducing or eliminating oxide seams in the deposited crucible caused by the attractive morphology in accordance with aspects of the present invention (and figures) Figure 3b of the oxide seam is compared). [Main component symbol description] 10 12 Substrate interconnection/town interlayer 14 14' Line/wiring layer/lower electrode TiN/TiA13 layer/lower layer line 14a Wiring interval/wiring gap 16 Insulator layer/dielectric layer/spacer 16a Dielectric Nail/Oxide 16A Top Surface Nail 16B Side Surface 16C Bottom Surface 18 Sacrificial Cavity Material / Hair 18a 矽 Surface Α 夕 表面 Surface Curvature / Convex Layer 18b Shi Xi Surface / Concave Surface 19a Element 22 Second Layer 26 Resistance Money Depressor Void / Seam Insulator Material / Oxide Layer Resist Edge 65 201213225 28 Opening 30 "Frame" 32 矽 Layer 33 Trench / Oxide Nails 33a Oxide Nails 33b Oxide Nails 34 MEMS Capacitors 36 Tapered Through Hole Body Layer/Oxide Material/Dielectric Layer 38 Upper MEMS Electrode 40 Insulator Material/Insulator Layer/MEMS Beam Metal Layer 42 Tapered Through Hole/MEMS Space 44 Upper Electrode/Line Layer/Line Cavity 46 Oxidation Shield/Insulator 48 孑L/MEMS Cavity/Trap 50 矽Layer 52 Oxide Material/Oxide Layer 54 Cap Layer/Oxide Material 58 Vent Hole 59a Upper 59b Lower 60 MEMS 60a upper cavity/upper chamber 60b lower cavity/lower chamber 62 oxide layer/material 64 layer/nitride cap 66 through hole 66a partial through hole 66b through hole 68 polythenimine layer 200 lower MEMS electrode 200a upper cavity 200b lower cavity 66 upper MEMS electrode / empty 215 first actuator / second actuator / wire / electrode cavity through hole first actuator / second 220 capacitor head / line / component / lower capacitor / electrode capacitor arm and head / element 225 oxide nail / capacitor electrical through hole 250 rivet-like feature beam 300 step structure upper cavity 300b lower cavity shape configuration 310 cavity through hole first actuator / second 315a First actuator / second actuator / third actuator / third actuator / electrode / wire / electrode lower actuator 320 capacitor head / line capacitor head and arm 325 oxide nail electricity Through Hole 400 Layer Corner 900 Design Flow Design Process 920 Design Structure Library Component 940 Design Specification Characterization Data 960 Validation Data Design Rule 980 Network Connection Table 67 201213225
985 測試資料檔案 990 995 階段 A A1 間隔/線寬 A2 A3 槽間隔 B C 曲線 D E 曲線 F Ή 孔 RR 'S' 槽 TP 第二設計結構 曲線/倒角下空腔 槽間隔 曲線/倒角上空腔 曲線 曲線 表面到痕 三相點 68985 Test Data File 990 995 Stage A A1 Interval/Line Width A2 A3 Slot Interval BC Curve DE Curve F Ή Hole RR 'S' Slot TP Second Design Structure Curve / Chamfer Lower Cavity Slot Curve / Chamfered Upper Cavity Curve Curve surface to trace triple point 68
Claims (1)
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US35862110P | 2010-06-25 | 2010-06-25 | |
US12/973,422 US8865497B2 (en) | 2010-06-25 | 2010-12-20 | Planar cavity MEMS and related structures, methods of manufacture and design structures |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201213225A true TW201213225A (en) | 2012-04-01 |
TWI538873B TWI538873B (en) | 2016-06-21 |
Family
ID=44627207
Family Applications (3)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW100122300A TWI538873B (en) | 2010-06-25 | 2011-06-24 | Planar cavity mems and related structures, methods of manufacture and design structures (3) |
TW100122295A TWI537204B (en) | 2010-06-25 | 2011-06-24 | Method of forming mems cavity, planar mems structures and fabrication methods therrof , and method for generating a funcation design model of a mems |
TW100122287A TWI549899B (en) | 2010-06-25 | 2011-06-24 | Planar mems structures, fabrication methods thereof and reducing variation of a silicon layer of planar mems structures |
Family Applications After (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW100122295A TWI537204B (en) | 2010-06-25 | 2011-06-24 | Method of forming mems cavity, planar mems structures and fabrication methods therrof , and method for generating a funcation design model of a mems |
TW100122287A TWI549899B (en) | 2010-06-25 | 2011-06-24 | Planar mems structures, fabrication methods thereof and reducing variation of a silicon layer of planar mems structures |
Country Status (8)
Country | Link |
---|---|
US (45) | US8458888B2 (en) |
KR (3) | KR101800914B1 (en) |
CN (8) | CN102906010B (en) |
CA (2) | CA2787130C (en) |
DE (5) | DE112011102130B4 (en) |
GB (6) | GB2494360B (en) |
TW (3) | TWI538873B (en) |
WO (5) | WO2011162953A2 (en) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI700239B (en) * | 2016-02-05 | 2020-08-01 | 台灣積體電路製造股份有限公司 | Semiconductor device and package and manufacturing method thereof |
Families Citing this family (118)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8981876B2 (en) | 2004-11-15 | 2015-03-17 | Avago Technologies General Ip (Singapore) Pte. Ltd. | Piezoelectric resonator structures and electrical filters having frame elements |
CN102341341B (en) * | 2009-03-04 | 2014-04-30 | Nxp股份有限公司 | MEMS devices |
US9243316B2 (en) | 2010-01-22 | 2016-01-26 | Avago Technologies General Ip (Singapore) Pte. Ltd. | Method of fabricating piezoelectric material with selected c-axis orientation |
US8796904B2 (en) | 2011-10-31 | 2014-08-05 | Avago Technologies General Ip (Singapore) Pte. Ltd. | Bulk acoustic resonator comprising piezoelectric layer and inverse piezoelectric layer |
CN102449906B (en) * | 2010-03-18 | 2014-10-01 | 松下电器产业株式会社 | Mems element, and manufacturing method of mems element |
KR101158200B1 (en) * | 2010-06-04 | 2012-06-19 | 삼성전기주식회사 | Optical Image Stabilizer and Method of manufacturing the same |
CN102275857B (en) * | 2010-06-11 | 2014-04-16 | 上海丽恒光微电子科技有限公司 | Micro-electro-mechanical device and manufacturing method thereof |
US8458888B2 (en) | 2010-06-25 | 2013-06-11 | International Business Machines Corporation | Method of manufacturing a micro-electro-mechanical system (MEMS) |
EP2460762B1 (en) * | 2010-12-06 | 2014-10-08 | Nxp B.V. | MEMS device having reduced stiction and manufacturing method |
FR2970118B1 (en) | 2010-12-30 | 2013-12-13 | St Microelectronics Crolles 2 | INTEGRATED CIRCUIT CHIP AND METHOD OF MANUFACTURE. |
FR2970119B1 (en) * | 2010-12-30 | 2013-12-13 | St Microelectronics Crolles 2 Sas | INTEGRATED CIRCUIT CHIP AND METHOD OF MANUFACTURE. |
US8962443B2 (en) * | 2011-01-31 | 2015-02-24 | Avago Technologies General Ip (Singapore) Pte. Ltd. | Semiconductor device having an airbridge and method of fabricating the same |
US9490771B2 (en) | 2012-10-29 | 2016-11-08 | Avago Technologies General Ip (Singapore) Pte. Ltd. | Acoustic resonator comprising collar and frame |
US9490418B2 (en) | 2011-03-29 | 2016-11-08 | Avago Technologies General Ip (Singapore) Pte. Ltd. | Acoustic resonator comprising collar and acoustic reflector with temperature compensating layer |
US9401692B2 (en) | 2012-10-29 | 2016-07-26 | Avago Technologies General Ip (Singapore) Pte. Ltd. | Acoustic resonator having collar structure |
US8841733B2 (en) * | 2011-05-17 | 2014-09-23 | United Microelectronics Corp. | Semiconductor device and method of fabricating the same |
US9120667B2 (en) | 2011-06-20 | 2015-09-01 | International Business Machines Corporation | Micro-electro-mechanical system (MEMS) and related actuator bumps, methods of manufacture and design structures |
US8643140B2 (en) * | 2011-07-11 | 2014-02-04 | United Microelectronics Corp. | Suspended beam for use in MEMS device |
US8551859B2 (en) | 2011-11-10 | 2013-10-08 | International Business Machines Corporation | Biosensors integrated with a microfluidic structure |
US8629036B2 (en) | 2011-11-11 | 2014-01-14 | International Business Machines Corporation | Integrated semiconductor devices with amorphous silicon beam, methods of manufacture and design structure |
US9105751B2 (en) | 2011-11-11 | 2015-08-11 | International Business Machines Corporation | Integrated semiconductor devices with single crystalline beam, methods of manufacture and design structure |
US8546240B2 (en) | 2011-11-11 | 2013-10-01 | International Business Machines Corporation | Methods of manufacturing integrated semiconductor devices with single crystalline beam |
US8673670B2 (en) * | 2011-12-15 | 2014-03-18 | International Business Machines Corporation | Micro-electro-mechanical system (MEMS) structures and design structures |
US8592876B2 (en) * | 2012-01-03 | 2013-11-26 | International Business Machines Corporation | Micro-electro-mechanical system (MEMS) capacitive OHMIC switch and design structures |
US9093264B2 (en) * | 2012-04-20 | 2015-07-28 | Applied Materials, Inc. | Methods and apparatus for forming silicon passivation layers on germanium or III-V semiconductor devices |
US9166271B2 (en) * | 2012-06-01 | 2015-10-20 | Purdue Research Foundation | Tunable cavity resonator including a plurality of MEMS beams |
US9450109B2 (en) | 2012-06-15 | 2016-09-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | MEMS devices and fabrication methods thereof |
US9452924B2 (en) * | 2012-06-15 | 2016-09-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | MEMS devices and fabrication methods thereof |
US9200973B2 (en) | 2012-06-28 | 2015-12-01 | Intel Corporation | Semiconductor package with air pressure sensor |
US9102517B2 (en) | 2012-08-22 | 2015-08-11 | International Business Machines Corporation | Semiconductor structures provided within a cavity and related design structures |
JP2014057125A (en) * | 2012-09-11 | 2014-03-27 | Seiko Epson Corp | Electronic device, method of manufacturing the same, and oscillator |
US9385684B2 (en) | 2012-10-23 | 2016-07-05 | Avago Technologies General Ip (Singapore) Pte. Ltd. | Acoustic resonator having guard ring |
JP6247495B2 (en) * | 2012-11-26 | 2017-12-13 | キヤノン株式会社 | Semiconductor device and manufacturing method thereof |
US9013012B2 (en) * | 2013-03-05 | 2015-04-21 | Stmicroelectronics Pte. Ltd. | Self-sealing membrane for MEMS devices |
US9102519B2 (en) * | 2013-03-14 | 2015-08-11 | Infineon Technologies Ag | Semiconductor devices and methods of forming thereof |
US8975187B2 (en) * | 2013-03-15 | 2015-03-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Stress-controlled formation of tin hard mask |
US9969613B2 (en) * | 2013-04-12 | 2018-05-15 | International Business Machines Corporation | Method for forming micro-electro-mechanical system (MEMS) beam structure |
US9059679B2 (en) * | 2013-04-23 | 2015-06-16 | International Business Machines Corporation | Tunable interconnect structures, and integrated circuit containing the same |
US9419181B2 (en) * | 2013-05-13 | 2016-08-16 | Infineon Technologies Dresden Gmbh | Electrode, an electronic device, and a method for manufacturing an optoelectronic device |
CA2916443C (en) * | 2013-06-27 | 2021-09-07 | Soitec | Methods of fabricating semiconductor structures including cavities filled with a sacrifical material |
US8936960B1 (en) * | 2013-07-02 | 2015-01-20 | United Microelectronics Corp. | Method for fabricating an integrated device |
JP6339669B2 (en) | 2013-07-08 | 2018-06-06 | モーション・エンジン・インコーポレーテッド | MEMS device and method of manufacturing |
WO2015042700A1 (en) * | 2013-09-24 | 2015-04-02 | Motion Engine Inc. | Mems components and method of wafer-level manufacturing thereof |
FR3008690B1 (en) * | 2013-07-22 | 2016-12-23 | Commissariat Energie Atomique | DEVICE COMPRISING A FLUID CHANNEL PROVIDED WITH AT LEAST ONE MICRO OR NANOELECTRONIC SYSTEM AND METHOD OF MAKING SUCH A DEVICE |
ITTO20130651A1 (en) | 2013-07-31 | 2015-02-01 | St Microelectronics Srl | PROCESS OF MANUFACTURING AN ENCAPSULATED DEVICE, IN PARTICULAR AN ENCAPSULATED MICRO-ELECTRO-MECHANICAL SENSOR, EQUIPPED WITH AN ACCESSIBLE STRUCTURE, AS A MEMS MICROPHONE AND ENCAPSULATED DEVICE SO OBTAINED |
EP3028007A4 (en) | 2013-08-02 | 2017-07-12 | Motion Engine Inc. | Mems motion sensor and method of manufacturing |
EP3050116B1 (en) * | 2013-09-27 | 2019-02-27 | Intel Corporation | An improved arrangement of through-hole structures of a semiconductor package |
CN103678804A (en) * | 2013-12-11 | 2014-03-26 | 上海工程技术大学 | Method for simulation analysis of MEMS switch |
JP6590812B2 (en) | 2014-01-09 | 2019-10-16 | モーション・エンジン・インコーポレーテッド | Integrated MEMS system |
US9385068B2 (en) * | 2014-03-05 | 2016-07-05 | Northrop Grumman Systems Corporation | Stacked interconnect structure and method of making the same |
WO2015154173A1 (en) | 2014-04-10 | 2015-10-15 | Motion Engine Inc. | Mems pressure sensor |
US9583294B2 (en) * | 2014-04-25 | 2017-02-28 | Analog Devices Global | MEMS swtich with internal conductive path |
US9748048B2 (en) | 2014-04-25 | 2017-08-29 | Analog Devices Global | MEMS switch |
US9384318B2 (en) * | 2014-04-28 | 2016-07-05 | Globalfoundries Inc. | Mask error compensation by optical modeling calibration |
WO2015184531A1 (en) | 2014-06-02 | 2015-12-10 | Motion Engine Inc. | Multi-mass mems motion sensor |
US9926193B2 (en) * | 2014-06-27 | 2018-03-27 | Intel Corporation | Magnetic nanomechanical devices for stiction compensation |
US9224858B1 (en) | 2014-07-29 | 2015-12-29 | Globalfoundries Inc. | Lateral double-diffused metal oxide semiconductor field effect transistor (LDMOSFET) with a below source isolation region and a method of forming the LDMOSFET |
US9446947B2 (en) * | 2014-08-25 | 2016-09-20 | Texas Instruments Incorporated | Use of metal native oxide to control stress gradient and bending moment of a released MEMS structure |
CN105439080B (en) * | 2014-08-28 | 2017-09-22 | 中芯国际集成电路制造(上海)有限公司 | Mems device and forming method thereof |
JP6336865B2 (en) * | 2014-09-09 | 2018-06-06 | 日立オートモティブシステムズ株式会社 | Physical quantity sensor |
US10140407B2 (en) | 2014-11-26 | 2018-11-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method, device and computer program product for integrated circuit layout generation |
CA3004760A1 (en) | 2014-12-09 | 2016-06-16 | Motion Engine Inc. | 3d mems magnetometer and associated methods |
CA3220839A1 (en) | 2015-01-15 | 2016-07-21 | Motion Engine Inc. | 3d mems device with hermetic cavity |
JP7021947B2 (en) | 2015-02-05 | 2022-02-17 | キャベンディッシュ・キネティックス・インコーポレイテッド | DVC using MIMS in the anchor |
US10103070B2 (en) * | 2015-03-27 | 2018-10-16 | Globalfoundries Inc. | Dynamic integrated circuit fabrication methods |
US20160289062A1 (en) * | 2015-03-31 | 2016-10-06 | Qualcomm Technologies International, Ltd. | Mems packaging |
EP3286134B1 (en) * | 2015-04-21 | 2019-03-06 | Universitat Politècnica de Catalunya | Integrated circuit comprising multilayer micromechanical structures with improved mass and reliability and manufacturing method thereof |
JP6569850B2 (en) * | 2015-06-09 | 2019-09-04 | 株式会社村田製作所 | MEMS manufacturing method |
DE102015212669B4 (en) * | 2015-07-07 | 2018-05-03 | Infineon Technologies Ag | Capacitive microelectromechanical device and method of forming a capacitive microelectromechanical device |
US9809450B2 (en) * | 2015-08-27 | 2017-11-07 | Invensense, Inc. | CMOS-MEMS integration using metal silicide formation |
JPWO2017038110A1 (en) * | 2015-08-28 | 2018-06-07 | 日立化成株式会社 | Semiconductor device and manufacturing method thereof |
US9617142B1 (en) * | 2015-09-30 | 2017-04-11 | Mems Drive, Inc. | MEMS grid for manipulating structural parameters of MEMS devices |
US9878899B2 (en) | 2015-10-02 | 2018-01-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and apparatus for reducing in-process and in-use stiction for MEMS devices |
US10338754B2 (en) * | 2015-12-18 | 2019-07-02 | Synaptics Incorporated | Edge-effect mitigation for capacitive sensors |
CN106904565B (en) * | 2015-12-22 | 2019-06-28 | 中芯国际集成电路制造(上海)有限公司 | A kind of MEMS device and preparation method thereof, electronic device |
US10784832B2 (en) | 2015-12-28 | 2020-09-22 | Ningbo Semiconductor International Corporation | Film bulk acoustic resonator and method of fabrication same |
US9741817B2 (en) * | 2016-01-21 | 2017-08-22 | Tower Semiconductor Ltd. | Method for manufacturing a trench metal insulator metal capacitor |
US10640363B2 (en) | 2016-02-04 | 2020-05-05 | Analog Devices Global | Active opening MEMS switch device |
US10770646B2 (en) * | 2016-03-01 | 2020-09-08 | Qualcomm Incorporated | Manufacturing method for flexible PMUT array |
KR20170133750A (en) * | 2016-05-26 | 2017-12-06 | 삼성전자주식회사 | Computer-implemented method for designing integrated circuit |
WO2018030045A1 (en) * | 2016-08-08 | 2018-02-15 | 株式会社デンソー | Dynamic quantity sensor and method for manufacturing same |
JP6555238B2 (en) * | 2016-08-08 | 2019-08-07 | 株式会社デンソー | Mechanical quantity sensor and manufacturing method thereof |
CN106298371A (en) * | 2016-08-30 | 2017-01-04 | 北京航天微电科技有限公司 | A kind of radio-frequency micro electromechanical switch based on superficial semiconductor technique and preparation method thereof |
CN106298372A (en) * | 2016-09-07 | 2017-01-04 | 中国科学院微电子研究所 | Micro-nano electromechanical switch and manufacturing method thereof |
JP2018046231A (en) * | 2016-09-16 | 2018-03-22 | イビデン株式会社 | Printed Wiring Board |
JP2018046230A (en) * | 2016-09-16 | 2018-03-22 | イビデン株式会社 | Printed Wiring Board |
CN107039298B (en) * | 2016-11-04 | 2019-12-24 | 厦门市三安光电科技有限公司 | Transfer device, transfer method, manufacturing method, device and electronic apparatus for micro-component |
US10322928B2 (en) | 2016-11-29 | 2019-06-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multi-layer sealing film for high seal yield |
DE102017104109A1 (en) * | 2017-02-28 | 2018-08-30 | Maschinenfabrik Reinhausen Gmbh | Method and device for monitoring capacitor bushings for an AC network |
US10784833B2 (en) | 2017-04-04 | 2020-09-22 | Vanguard International Semiconductor Singapore Pte. Ltd. | Lamb acoustic wave resonator and filter with self-aligned cavity via |
US10403674B2 (en) * | 2017-07-12 | 2019-09-03 | Meridian Innovation Pte Ltd | Scalable thermoelectric-based infrared detector |
FR3070096B1 (en) * | 2017-08-08 | 2021-09-17 | Commissariat Energie Atomique | METHOD OF MANUFACTURING A DETECTION DEVICE WITH TWO SUBSTRATES AND SUCH A DETECTION DEVICE |
CN107423522A (en) * | 2017-08-14 | 2017-12-01 | 上海工程技术大学 | A kind of method of efficient emulation analysis electromagnetic switch performance |
DE102017120290B3 (en) | 2017-09-04 | 2018-11-08 | Infineon Technologies Ag | Method for processing a layer structure |
CN109586680B (en) * | 2017-09-29 | 2021-09-03 | 安华高科技股份有限公司 | Anchored polymer encapsulation for acoustic resonator structures |
US10650978B2 (en) * | 2017-12-15 | 2020-05-12 | Micron Technology, Inc. | Methods of incorporating leaker devices into capacitor configurations to reduce cell disturb |
CN111683896B (en) | 2018-02-09 | 2023-11-10 | 株式会社村田制作所 | MEMS device |
WO2019160674A1 (en) * | 2018-02-19 | 2019-08-22 | Applied Materials, Inc. | Pvd titanium dioxide formation using sputter etch to halt onset of crystalinity in thick films |
TWI741277B (en) | 2018-04-09 | 2021-10-01 | 美商伊凡聖斯股份有限公司 | Environmentally protected sensing device |
US11027967B2 (en) * | 2018-04-09 | 2021-06-08 | Invensense, Inc. | Deformable membrane and a compensating structure thereof |
JP2020053569A (en) * | 2018-09-27 | 2020-04-02 | ソニーセミコンダクタソリューションズ株式会社 | Semiconductor device, solid-state imaging device and method of manufacturing semiconductor device |
US10759658B2 (en) | 2018-12-10 | 2020-09-01 | Texas Instruments Incorporated | Hermetic vertical shear weld wafer bonding |
CN111386000B (en) * | 2018-12-28 | 2021-08-31 | 财团法人工业技术研究院 | Micro-electromechanical heating device |
CN110171799B (en) * | 2019-05-29 | 2024-04-09 | 苏州知芯传感技术有限公司 | MEMS switch and manufacturing method thereof |
CN110255489B (en) * | 2019-05-31 | 2022-07-22 | 武汉敏声新技术有限公司 | Three-dimensional monolithic integrated sensor system |
CN110991069B (en) * | 2019-12-12 | 2023-09-12 | 神华北电胜利能源有限公司 | Boiler efficiency calculating method based on wet oxygen, storage medium and electronic equipment |
US11365117B2 (en) | 2019-12-23 | 2022-06-21 | Industrial Technology Research Institute | MEMS device and manufacturing method of the same |
US11939212B2 (en) | 2019-12-23 | 2024-03-26 | Industrial Technology Research Institute | MEMS device, manufacturing method of the same, and integrated MEMS module using the same |
CN111463530B (en) * | 2020-04-10 | 2022-04-05 | 昆山鸿永微波科技有限公司 | Silicon-based filtering chip with tunable bandwidth |
CN111591953B (en) * | 2020-05-07 | 2022-08-05 | 南京航空航天大学 | Needle-shaped microelectrode and preparation method thereof |
CN111517275B (en) * | 2020-05-09 | 2023-06-02 | 中北大学 | Preparation method of practical radio frequency MEMS switch double-layer sacrificial layer |
CN111668372B (en) * | 2020-06-18 | 2023-05-30 | 中国科学院微电子研究所 | HfO (HfO) 2 Base ferroelectric capacitor, preparation method thereof and HfO 2 Basic ferroelectric memory |
CN111787474A (en) * | 2020-07-10 | 2020-10-16 | 瑞声科技(南京)有限公司 | MEMS acoustic sensor |
CN112374456B (en) * | 2020-11-12 | 2024-01-23 | 上海华虹宏力半导体制造有限公司 | Method for manufacturing MEMS device |
CN113472308B (en) * | 2021-04-29 | 2022-11-22 | 广州乐仪投资有限公司 | Resonator, forming method thereof and electronic equipment |
CN112977870B (en) * | 2021-05-20 | 2021-09-03 | 成都飞机工业(集团)有限责任公司 | Method for designing riveting inclined riveting clamp of closed angle area of airplane component assembly |
US20240047277A1 (en) * | 2022-08-08 | 2024-02-08 | Google Llc | Feed-forward device fabrication |
CN116127887B (en) * | 2023-04-17 | 2023-07-07 | 东南大学 | MEMS device macro model modeling method based on system identification method |
Family Cites Families (157)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3004053A (en) | 1958-03-28 | 1961-10-10 | Director Of The Agency Of Ind | Preparation of organosilanes employing alkyl aluminum halides |
US3956903A (en) | 1975-04-25 | 1976-05-18 | Weil-Mclain Co., Inc. | System for recovering and condensing vapors |
CA2072199C (en) | 1991-06-24 | 1997-11-11 | Fumihiro Kasano | Electrostatic relay |
JP3402642B2 (en) | 1993-01-26 | 2003-05-06 | 松下電工株式会社 | Electrostatic drive type relay |
US5324683A (en) | 1993-06-02 | 1994-06-28 | Motorola, Inc. | Method of forming a semiconductor structure having an air region |
US5619061A (en) | 1993-07-27 | 1997-04-08 | Texas Instruments Incorporated | Micromechanical microwave switching |
US7550794B2 (en) * | 2002-09-20 | 2009-06-23 | Idc, Llc | Micromechanical systems device comprising a displaceable electrode and a charge-trapping layer |
US5665657A (en) * | 1995-09-18 | 1997-09-09 | Taiwan Semiconductor Manufacturing Company, Ltd | Spin-on-glass partial etchback planarization process |
US5693568A (en) | 1995-12-14 | 1997-12-02 | Advanced Micro Devices, Inc. | Reverse damascene via structures |
US5795829A (en) | 1996-06-03 | 1998-08-18 | Advanced Micro Devices, Inc. | Method of high density plasma metal etching |
US6116863A (en) | 1997-05-30 | 2000-09-12 | University Of Cincinnati | Electromagnetically driven microactuated device and method of making the same |
US6143638A (en) * | 1997-12-31 | 2000-11-07 | Intel Corporation | Passivation structure and its method of fabrication |
US6018184A (en) * | 1998-01-22 | 2000-01-25 | Micron Technology, Inc. | Semiconductor structure useful in a self-aligned contact having multiple insulation layers of non-uniform thickness |
GB9819817D0 (en) | 1998-09-12 | 1998-11-04 | Secr Defence | Improvements relating to micro-machining |
US6160230A (en) | 1999-03-01 | 2000-12-12 | Raytheon Company | Method and apparatus for an improved single pole double throw micro-electrical mechanical switch |
US6713235B1 (en) | 1999-03-30 | 2004-03-30 | Citizen Watch Co., Ltd. | Method for fabricating thin-film substrate and thin-film substrate fabricated by the method |
JP3387478B2 (en) | 1999-06-30 | 2003-03-17 | セイコーエプソン株式会社 | Semiconductor device and manufacturing method thereof |
US6359374B1 (en) | 1999-11-23 | 2002-03-19 | Mcnc | Miniature electrical relays using a piezoelectric thin film as an actuating element |
US6445106B1 (en) | 2000-02-18 | 2002-09-03 | Intel Corporation | Micro-electromechanical structure resonator, method of making, and method of using |
US7008812B1 (en) | 2000-05-30 | 2006-03-07 | Ic Mechanics, Inc. | Manufacture of MEMS structures in sealed cavity using dry-release MEMS device encapsulation |
US6805008B2 (en) | 2000-06-21 | 2004-10-19 | Input/Output, Inc. | Accelerometer with folded beams |
US6352917B1 (en) | 2000-06-21 | 2002-03-05 | Chartered Semiconductor Manufacturing Ltd. | Reversed damascene process for multiple level metal interconnects |
US6473361B1 (en) * | 2000-11-10 | 2002-10-29 | Xerox Corporation | Electromechanical memory cell |
US20020096421A1 (en) | 2000-11-29 | 2002-07-25 | Cohn Michael B. | MEMS device with integral packaging |
WO2002079814A2 (en) * | 2000-12-19 | 2002-10-10 | Coventor Incorporated | Method for fabricating a through-wafer optical mems device having an anti-reflective coating |
KR100382732B1 (en) * | 2001-01-10 | 2003-05-09 | 삼성전자주식회사 | Method for fabricating cylinder-type capacitor of semiconductor device |
US6898740B2 (en) | 2001-01-25 | 2005-05-24 | Hewlett-Packard Development Company, L.P. | Computer system having configurable core logic chipset for connection to a fault-tolerant accelerated graphics port bus and peripheral component interconnect bus |
WO2002079080A1 (en) | 2001-03-29 | 2002-10-10 | Kabushiki Kaisha Toyota Chuo Kenkyusho | Production device and production method for silicon-based structure |
US6902947B2 (en) | 2001-05-07 | 2005-06-07 | Applied Materials, Inc. | Integrated method for release and passivation of MEMS structures |
US6808276B2 (en) | 2001-05-08 | 2004-10-26 | Axsun Technologies, Inc. | Suspended high reflectivity coating on release structure and fabrication process therefor |
US6780759B2 (en) * | 2001-05-09 | 2004-08-24 | Silicon Genesis Corporation | Method for multi-frequency bonding |
US6573822B2 (en) | 2001-06-18 | 2003-06-03 | Intel Corporation | Tunable inductor using microelectromechanical switches |
US6649852B2 (en) | 2001-08-14 | 2003-11-18 | Motorola, Inc. | Micro-electro mechanical system |
US6639488B2 (en) | 2001-09-07 | 2003-10-28 | Ibm Corporation | MEMS RF switch with low actuation voltage |
US6808954B2 (en) | 2001-09-07 | 2004-10-26 | Intel Corporation | Vacuum-cavity MEMS resonator |
US7033910B2 (en) * | 2001-09-12 | 2006-04-25 | Reveo, Inc. | Method of fabricating multi layer MEMS and microfluidic devices |
US6930364B2 (en) * | 2001-09-13 | 2005-08-16 | Silicon Light Machines Corporation | Microelectronic mechanical system and methods |
US6989603B2 (en) | 2001-10-02 | 2006-01-24 | Guobiao Zhang | nF-Opening Aiv Structures |
US6635506B2 (en) | 2001-11-07 | 2003-10-21 | International Business Machines Corporation | Method of fabricating micro-electromechanical switches on CMOS compatible substrates |
WO2003041133A2 (en) | 2001-11-09 | 2003-05-15 | Wispry, Inc. | Electrothermal self-latching mems switch and method |
US7943412B2 (en) | 2001-12-10 | 2011-05-17 | International Business Machines Corporation | Low temperature Bi-CMOS compatible process for MEMS RF resonators and filters |
US6943105B2 (en) | 2002-01-18 | 2005-09-13 | International Business Machines Corporation | Soft metal conductor and method of making |
JP3558066B2 (en) * | 2002-02-19 | 2004-08-25 | ソニー株式会社 | MEMS element and its manufacturing method, light modulation element, GLV device and its manufacturing method, and laser display |
US6701779B2 (en) | 2002-03-21 | 2004-03-09 | International Business Machines Corporation | Perpendicular torsion micro-electromechanical switch |
US6852926B2 (en) | 2002-03-26 | 2005-02-08 | Intel Corporation | Packaging microelectromechanical structures |
CN1229860C (en) * | 2002-04-30 | 2005-11-30 | 中芯国际集成电路制造(上海)有限公司 | Hollow-groove isolation object and making method thereof |
JP3778128B2 (en) | 2002-05-14 | 2006-05-24 | 株式会社デンソー | Manufacturing method of semiconductor device having membrane |
US6902656B2 (en) | 2002-05-24 | 2005-06-07 | Dalsa Semiconductor Inc. | Fabrication of microstructures with vacuum-sealed cavity |
US7363099B2 (en) * | 2002-06-07 | 2008-04-22 | Cadence Design Systems, Inc. | Integrated circuit metrology |
WO2003106326A2 (en) | 2002-06-13 | 2003-12-24 | Coventor, Incorporated | Micro-electro-mechanical system (mems) variable capacitor apparatuses and related methods |
US6686820B1 (en) | 2002-07-11 | 2004-02-03 | Intel Corporation | Microelectromechanical (MEMS) switching apparatus |
US7064637B2 (en) | 2002-07-18 | 2006-06-20 | Wispry, Inc. | Recessed electrode for electrostatically actuated structures |
US7429495B2 (en) | 2002-08-07 | 2008-09-30 | Chang-Feng Wan | System and method of fabricating micro cavities |
EP1398811B1 (en) | 2002-09-16 | 2011-08-10 | Imec | Switchable capacitor |
US6789029B2 (en) | 2002-10-18 | 2004-09-07 | Motorola, Inc. | Method and apparatus for signal extraction in an electronic sensor |
US7019434B2 (en) * | 2002-11-08 | 2006-03-28 | Iris Ao, Inc. | Deformable mirror method and apparatus including bimorph flexures and integrated drive |
US7180145B2 (en) | 2002-12-13 | 2007-02-20 | Wispry, Inc. | Micro-electro-mechanical system (MEMS) variable capacitor apparatuses, systems and related methods |
KR100497610B1 (en) * | 2003-02-14 | 2005-07-01 | 삼성전자주식회사 | method of forming dielectric layer in semiconductor device |
US6928879B2 (en) | 2003-02-26 | 2005-08-16 | Robert Bosch Gmbh | Episeal pressure sensor and method for making an episeal pressure sensor |
US6798029B2 (en) | 2003-05-09 | 2004-09-28 | International Business Machines Corporation | Method of fabricating micro-electromechanical switches on CMOS compatible substrates |
US20040235297A1 (en) | 2003-05-23 | 2004-11-25 | Bih-Tiao Lin | Reverse electroplating for damascene conductive region formation |
US7075160B2 (en) | 2003-06-04 | 2006-07-11 | Robert Bosch Gmbh | Microelectromechanical systems and devices having thin film encapsulated mechanical structures |
US7215229B2 (en) | 2003-09-17 | 2007-05-08 | Schneider Electric Industries Sas | Laminated relays with multiple flexible contacts |
US7131105B2 (en) | 2003-09-19 | 2006-10-31 | Coventor, Inc. | System and method for automatic mesh generation from a system-level MEMS design |
JP4561072B2 (en) * | 2003-09-30 | 2010-10-13 | 株式会社日立製作所 | Semiconductor device having MEMS switch |
JP4864307B2 (en) | 2003-09-30 | 2012-02-01 | アイメック | Method for selectively forming an air gap and apparatus obtained by the method |
DE10352001A1 (en) | 2003-11-07 | 2005-06-09 | Robert Bosch Gmbh | Micromechanical component with a membrane and method for producing such a component |
US7585744B2 (en) | 2003-12-08 | 2009-09-08 | Freescale Semiconductor, Inc. | Method of forming a seal for a semiconductor device |
US7352266B2 (en) * | 2004-02-20 | 2008-04-01 | Wireless Mems, Inc. | Head electrode region for a reliable metal-to-metal contact micro-relay MEMS switch |
JP4377740B2 (en) | 2004-04-28 | 2009-12-02 | 株式会社東芝 | Piezoelectric drive type MEMS element and mobile communication device having this piezoelectric drive type MEMS element |
US7381583B1 (en) | 2004-05-24 | 2008-06-03 | The United States Of America As Represented By The Secretary Of The Air Force | MEMS RF switch integrated process |
KR100541657B1 (en) * | 2004-06-29 | 2006-01-11 | 삼성전자주식회사 | Multi-gate transistor fabrication method and multi-gate transistor fabricated thereby |
JP4037394B2 (en) | 2004-09-16 | 2008-01-23 | 株式会社東芝 | Micro mechanical devices |
US20060067650A1 (en) * | 2004-09-27 | 2006-03-30 | Clarence Chui | Method of making a reflective display device using thin film transistor production techniques |
FR2875948B1 (en) | 2004-09-28 | 2006-12-08 | Commissariat Energie Atomique | INTEGRATED ELECTROMECHANICAL MICRO-SYSTEM ENCAPSULATION COMPONENT AND METHOD FOR PRODUCING THE COMPONENT |
CN101048839B (en) * | 2004-10-27 | 2010-11-17 | 爱普科斯公司 | Electronic device |
US7344907B2 (en) | 2004-11-19 | 2008-03-18 | International Business Machines Corporation | Apparatus and methods for encapsulating microelectromechanical (MEM) devices on a wafer scale |
EP1825528B1 (en) | 2004-12-09 | 2015-07-08 | Wispry, Inc. | Tunable LC duplexer with multiple pole-zero elements |
DE102004061796A1 (en) * | 2004-12-22 | 2006-07-13 | Robert Bosch Gmbh | Micromechanical capacitive sensor element |
US7348870B2 (en) | 2005-01-05 | 2008-03-25 | International Business Machines Corporation | Structure and method of fabricating a hinge type MEMS switch |
JP4724488B2 (en) | 2005-02-25 | 2011-07-13 | 日立オートモティブシステムズ株式会社 | Integrated microelectromechanical system |
WO2006123900A1 (en) | 2005-05-18 | 2006-11-23 | Samsung Electronics Co., Ltd. | Terminal having display button and method of displaying using the display button |
TWI401803B (en) | 2005-06-30 | 2013-07-11 | Semiconductor Energy Lab | Minute structure, micromachine, organic transistor, electric appliance, and manufacturing method thereof |
US7417287B2 (en) | 2005-07-01 | 2008-08-26 | System General Corp. | Electrostatic discharge device having controllable trigger voltage |
US8071486B2 (en) | 2005-07-18 | 2011-12-06 | Teledyne Dalsa Semiconductor Inc. | Method for removing residues formed during the manufacture of MEMS devices |
US7294552B2 (en) | 2005-08-29 | 2007-11-13 | Delphi Technologies, Inc. | Electrical contact for a MEMS device and method of making |
US7394332B2 (en) | 2005-09-01 | 2008-07-01 | International Business Machines Corporation | Micro-cavity MEMS device and method of fabricating same |
JP4699172B2 (en) | 2005-10-25 | 2011-06-08 | ルネサスエレクトロニクス株式会社 | Semiconductor device |
US8043950B2 (en) | 2005-10-26 | 2011-10-25 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device and manufacturing method thereof |
US7877866B1 (en) * | 2005-10-26 | 2011-02-01 | Second Sight Medical Products, Inc. | Flexible circuit electrode array and method of manufacturing the same |
GB0522471D0 (en) | 2005-11-03 | 2005-12-14 | Cavendish Kinetics Ltd | Memory element fabricated using atomic layer deposition |
JP5249040B2 (en) | 2005-11-18 | 2013-07-31 | レプリソールス グループ エスアーエス | Electrode and method for forming the same |
KR101092536B1 (en) | 2005-11-30 | 2011-12-13 | 삼성전자주식회사 | Piezoelectric RF MEMS Device and the Method for Producing the Same |
JP2007157511A (en) | 2005-12-06 | 2007-06-21 | Hitachi Ltd | Switch using micro-electromechanical system |
DE102005059905A1 (en) | 2005-12-15 | 2007-06-28 | Robert Bosch Gmbh | Micromechanical device and manufacturing process |
US7838321B2 (en) | 2005-12-20 | 2010-11-23 | Xerox Corporation | Multiple stage MEMS release for isolation of similar materials |
US7602068B2 (en) | 2006-01-19 | 2009-10-13 | International Machines Corporation | Dual-damascene process to fabricate thick wire structure |
JP2007210083A (en) | 2006-02-13 | 2007-08-23 | Hitachi Ltd | Mems element and its manufacturing method |
JP2007216368A (en) * | 2006-02-20 | 2007-08-30 | Sony Corp | Electromechanical element and electronic circuit device, and method for manufacturing the same |
WO2007103546A2 (en) | 2006-03-08 | 2007-09-13 | Wispry, Inc. | Micro-electro-mechanical system (mems) variable capacitors and actuation components and related methods |
FR2898597B1 (en) * | 2006-03-16 | 2008-09-19 | Commissariat Energie Atomique | ENCAPSULATION IN A HERMETIC CAVITY OF A MICROELECTRONIC COMPOUND, IN PARTICULAR A MEMS |
GB0605576D0 (en) * | 2006-03-20 | 2006-04-26 | Oligon Ltd | MEMS device |
JP4966370B2 (en) * | 2006-03-30 | 2012-07-04 | パルス・エムイーエムエス・アンパルトセルスカブ | Single-die MEMS acoustic transducer and manufacturing method |
JP4979283B2 (en) | 2006-06-29 | 2012-07-18 | 株式会社日立製作所 | Semiconductor device manufacturing method and semiconductor device |
JP4866683B2 (en) | 2006-08-25 | 2012-02-01 | 富士通セミコンダクター株式会社 | Semiconductor device manufacturing method, data creation apparatus, data creation method, and program |
JP4737140B2 (en) | 2006-10-20 | 2011-07-27 | セイコーエプソン株式会社 | MEMS device and manufacturing method thereof |
JP2008114354A (en) * | 2006-11-08 | 2008-05-22 | Seiko Epson Corp | Electronic device and its manufacturing method |
TWI324890B (en) * | 2006-12-18 | 2010-05-11 | Advanced Semiconductor Eng | Micro electro-mechanical system device and manufacturing method thereof |
US20080160749A1 (en) * | 2006-12-27 | 2008-07-03 | Texas Instruments Incorporated | Semiconductor device and method of forming thereof |
JP5123532B2 (en) | 2007-01-30 | 2013-01-23 | 太陽誘電株式会社 | Micro cantilever |
US7732299B2 (en) * | 2007-02-12 | 2010-06-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Process for wafer bonding |
WO2008103632A2 (en) * | 2007-02-20 | 2008-08-28 | Qualcomm Mems Technologies, Inc. | Equipment and methods for etching of mems |
CN101261963B (en) * | 2007-03-08 | 2010-12-29 | 诚佑科技股份有限公司 | Miniature electronic part and making method |
US7923790B1 (en) | 2007-03-09 | 2011-04-12 | Silicon Laboratories Inc. | Planar microshells for vacuum encapsulated devices and damascene method of manufacture |
US7544531B1 (en) | 2007-03-13 | 2009-06-09 | Sitime Inc. | Ground strap for suppressing stiction during MEMS fabrication |
JP2008221398A (en) | 2007-03-13 | 2008-09-25 | Oki Electric Ind Co Ltd | Micro electro mechanical system and manufacturing method of micro electro mechanical system |
CN101267689A (en) * | 2007-03-14 | 2008-09-17 | 佳乐电子股份有限公司 | Capacitor micro microphone chip |
JP4370339B2 (en) | 2007-03-23 | 2009-11-25 | Okiセミコンダクタ株式会社 | Manufacturing method of MEMS vibrator and MEMS vibrator |
US20090013449A1 (en) * | 2007-07-10 | 2009-01-15 | Colleen Kahn | Pacifier securing device |
JP4607153B2 (en) | 2007-07-12 | 2011-01-05 | 株式会社日立製作所 | Method for manufacturing micro electromechanical system element |
US8367451B2 (en) * | 2007-07-23 | 2013-02-05 | Wispry, Inc. | Method and structures for fabricating MEMS devices on compliant layers |
JP5412031B2 (en) | 2007-07-24 | 2014-02-12 | ローム株式会社 | MEMS sensor |
JP5474805B2 (en) | 2007-10-15 | 2014-04-16 | エプコス アクチエンゲゼルシャフト | Method for manufacturing a MEMS device on a substrate |
TW200938479A (en) * | 2007-10-22 | 2009-09-16 | Toshiba Kk | Micromachine device and method of manufacturing the same |
CN101417785A (en) | 2007-10-24 | 2009-04-29 | 佳世达科技股份有限公司 | Wafer-level sensing element packaging structure and method for producing the same |
CN101434376B (en) * | 2007-11-16 | 2011-10-19 | 微智半导体股份有限公司 | Method for manufacturing suspension micro electromechanical structure |
US7880246B2 (en) | 2007-11-29 | 2011-02-01 | Stichting Imec Nederland | Microstructure with enlarged mass and electrode area for kinetic to electrical energy conversion |
US7999335B2 (en) | 2007-12-05 | 2011-08-16 | Semiconductor Energy Laboratory Co., Ltd. | Micromachine and method for manufacturing the same |
JP4562762B2 (en) | 2007-12-06 | 2010-10-13 | Okiセミコンダクタ株式会社 | Capacitance type sensor and manufacturing method thereof |
US7692519B2 (en) | 2007-12-21 | 2010-04-06 | General Electric Company | MEMS switch with improved standoff voltage control |
JP5193639B2 (en) | 2008-03-19 | 2013-05-08 | 株式会社東芝 | Micromachine device and method of manufacturing micromachine device |
EP2107038B1 (en) * | 2008-03-31 | 2012-05-16 | Imec | Electrostatically actuatable MEMS device featuring reduced substrate charging |
US8310053B2 (en) | 2008-04-23 | 2012-11-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of manufacturing a device with a cavity |
WO2009133506A2 (en) * | 2008-04-29 | 2009-11-05 | Nxp B.V. | Mems device and manufacturing method |
US7993950B2 (en) | 2008-04-30 | 2011-08-09 | Cavendish Kinetics, Ltd. | System and method of encapsulation |
FR2932923B1 (en) | 2008-06-23 | 2011-03-25 | Commissariat Energie Atomique | HETEROGENEOUS SUBSTRATE COMPRISING A SACRIFICIAL LAYER AND METHOD FOR PRODUCING THE SAME |
JP2010021187A (en) * | 2008-07-08 | 2010-01-28 | Nec Electronics Corp | Method of designing semiconductor integrated circuit, design program, and method of manufacturing semiconductor integrated circuit |
US8148790B2 (en) * | 2008-07-08 | 2012-04-03 | Wispry, Inc. | Thin-film lid MEMS devices and methods |
DE102008040851A1 (en) | 2008-07-30 | 2010-02-04 | Robert Bosch Gmbh | Method for capping a MEMS wafer and MEMS wafer |
US20100065930A1 (en) | 2008-09-18 | 2010-03-18 | Rohm Co., Ltd. | Method of etching sacrificial layer, method of manufacturing MEMS device, MEMS device and MEMS sensor |
JP2010155306A (en) | 2008-12-26 | 2010-07-15 | Panasonic Corp | Microelectromechanical systems (mems) device and method of manufacturing the same |
WO2010083520A1 (en) | 2009-01-19 | 2010-07-22 | Wispry, Inc. | Reduced voltage mems electrostatic actuation methods |
US8957485B2 (en) * | 2009-01-21 | 2015-02-17 | Cavendish Kinetics, Ltd. | Fabrication of MEMS based cantilever switches by employing a split layer cantilever deposition scheme |
US8921726B2 (en) | 2009-02-06 | 2014-12-30 | Lg Chem, Ltd. | Touch screen and manufacturing method thereof |
ES2342872B1 (en) | 2009-05-20 | 2011-05-30 | Baolab Microsystems S.L. | CHIP THAT INCLUDES A MEMS PROVIDED IN AN INTEGRATED CIRCUIT AND CORRESPONDING MANUFACTURING PROCEDURE. |
JP2010280035A (en) * | 2009-06-04 | 2010-12-16 | Toshiba Corp | Mems device and method for manufacturing the same |
US8692364B2 (en) * | 2009-08-07 | 2014-04-08 | Nec Corporation | Semiconductor device and method for manufacturing the same |
US8569091B2 (en) | 2009-08-27 | 2013-10-29 | International Business Machines Corporation | Integrated circuit switches, design structure and methods of fabricating the same |
US8779886B2 (en) | 2009-11-30 | 2014-07-15 | General Electric Company | Switch structures |
US8458888B2 (en) | 2010-06-25 | 2013-06-11 | International Business Machines Corporation | Method of manufacturing a micro-electro-mechanical system (MEMS) |
US8432240B2 (en) | 2010-07-16 | 2013-04-30 | Telepath Networks, Inc. | Miniature magnetic switch structures |
CN102348155B (en) | 2010-07-30 | 2014-02-05 | 上海丽恒光微电子科技有限公司 | Micro-electromechanical microphone and manufacturing method thereof |
US8957747B2 (en) | 2010-10-27 | 2015-02-17 | Telepath Networks, Inc. | Multi integrated switching device structures |
US8138008B1 (en) | 2010-11-29 | 2012-03-20 | International Business Machines Corporation | Forming an oxide MEMS beam |
US20120133006A1 (en) | 2010-11-29 | 2012-05-31 | International Business Machines Corporation | Oxide mems beam |
JP2014200857A (en) * | 2013-04-01 | 2014-10-27 | 株式会社東芝 | Mems device and manufacturing method of the same |
US10414046B1 (en) | 2016-11-07 | 2019-09-17 | X Development Llc | Modular robot design |
-
2010
- 2010-12-20 US US12/973,381 patent/US8458888B2/en active Active
- 2010-12-20 US US12/973,422 patent/US8865497B2/en active Active
- 2010-12-20 US US12/973,147 patent/US8956903B2/en active Active
- 2010-12-20 US US12/973,333 patent/US8921144B2/en active Active
- 2010-12-20 US US12/973,430 patent/US8722445B2/en active Active
- 2010-12-20 US US12/973,285 patent/US8685778B2/en active Active
- 2010-12-20 US US12/973,235 patent/US8709264B2/en active Active
- 2010-12-21 US US12/974,854 patent/US9406472B2/en active Active
- 2010-12-23 US US12/977,850 patent/US9330856B2/en active Active
-
2011
- 2011-06-08 GB GB1300091.4A patent/GB2494360B/en active Active
- 2011-06-08 DE DE112011102130.5T patent/DE112011102130B4/en active Active
- 2011-06-08 CN CN201180025550.3A patent/CN102906010B/en active Active
- 2011-06-08 GB GB1300085.6A patent/GB2494359B/en not_active Expired - Fee Related
- 2011-06-08 DE DE112011102134.8T patent/DE112011102134B4/en active Active
- 2011-06-08 GB GB1300265.4A patent/GB2494600B/en not_active Expired - Fee Related
- 2011-06-08 KR KR1020127033926A patent/KR101800914B1/en active IP Right Grant
- 2011-06-08 WO PCT/US2011/039571 patent/WO2011162953A2/en active Application Filing
- 2011-06-08 WO PCT/US2011/039560 patent/WO2011162949A2/en active Application Filing
- 2011-06-08 KR KR1020127031138A patent/KR20130020685A/en not_active Application Discontinuation
- 2011-06-08 DE DE112011102135.6T patent/DE112011102135B4/en active Active
- 2011-06-08 WO PCT/US2011/039564 patent/WO2011162950A2/en active Application Filing
- 2011-06-08 KR KR1020127030991A patent/KR20130039733A/en not_active Application Discontinuation
- 2011-06-08 CN CN201180025549.0A patent/CN102906871B/en active Active
- 2011-06-08 CN CN201180025546.7A patent/CN102906009B/en active Active
- 2011-06-15 DE DE112011102136.4T patent/DE112011102136B4/en active Active
- 2011-06-15 GB GB1300041.9A patent/GB2494355B/en not_active Expired - Fee Related
- 2011-06-15 CN CN201180025557.5A patent/CN102906011B/en active Active
- 2011-06-15 CA CA2787130A patent/CA2787130C/en active Active
- 2011-06-15 CN CN201180025545.2A patent/CN102906008B/en active Active
- 2011-06-15 DE DE112011102124.0T patent/DE112011102124B4/en active Active
- 2011-06-15 CA CA2787161A patent/CA2787161A1/en not_active Abandoned
- 2011-06-15 WO PCT/EP2011/059880 patent/WO2011160985A2/en active Application Filing
- 2011-06-15 GB GB1300040.1A patent/GB2494824B/en active Active
- 2011-06-15 WO PCT/EP2011/059881 patent/WO2011160986A1/en active Application Filing
- 2011-06-24 TW TW100122300A patent/TWI538873B/en not_active IP Right Cessation
- 2011-06-24 CN CN201110174587.4A patent/CN102295265B/en active Active
- 2011-06-24 TW TW100122295A patent/TWI537204B/en active
- 2011-06-24 TW TW100122287A patent/TWI549899B/en active
- 2011-06-24 CN CN201110173720.4A patent/CN102295263B/en active Active
- 2011-06-24 CN CN201110174027.9A patent/CN102295264B/en active Active
-
2013
- 2013-02-15 US US13/768,246 patent/US9041128B2/en active Active
- 2013-04-23 US US13/868,147 patent/US9493341B2/en active Active
-
2014
- 2014-02-20 US US14/184,950 patent/US9352954B2/en active Active
- 2014-10-16 US US14/515,681 patent/US10173889B2/en active Active
- 2014-10-23 GB GBGB1418872.6A patent/GB201418872D0/en not_active Ceased
-
2015
- 2015-08-31 US US14/840,453 patent/US9493343B2/en active Active
- 2015-08-31 US US14/840,422 patent/US9862598B2/en active Active
- 2015-08-31 US US14/840,434 patent/US9764944B2/en active Active
- 2015-12-09 US US14/963,892 patent/US9637373B2/en active Active
- 2015-12-09 US US14/963,844 patent/US9828243B2/en active Active
- 2015-12-11 US US14/966,128 patent/US9624099B2/en active Active
-
2016
- 2016-05-06 US US15/148,130 patent/US9932225B2/en active Active
- 2016-07-18 US US15/212,888 patent/US9815690B2/en active Active
- 2016-07-18 US US15/212,896 patent/US9890039B2/en active Active
- 2016-07-20 US US15/215,182 patent/US10005661B2/en active Active
- 2016-08-17 US US15/238,854 patent/US11174160B2/en active Active
- 2016-10-04 US US15/284,868 patent/US9926191B2/en active Active
-
2017
- 2017-01-11 US US15/403,424 patent/US10011480B2/en active Active
- 2017-02-21 US US15/437,727 patent/US10011477B2/en active Active
- 2017-09-08 US US15/698,682 patent/US10308501B2/en active Active
- 2017-10-18 US US15/787,023 patent/US10414646B2/en not_active Expired - Fee Related
- 2017-10-23 US US15/790,515 patent/US10093537B2/en active Active
- 2017-11-03 US US15/802,789 patent/US10214416B2/en active Active
- 2017-11-03 US US15/802,801 patent/US10246319B2/en active Active
- 2017-11-09 US US15/807,715 patent/US10081540B2/en active Active
-
2018
- 2018-04-19 US US15/957,058 patent/US10315913B2/en active Active
- 2018-05-08 US US15/973,958 patent/US10584026B2/en active Active
- 2018-07-10 US US16/031,132 patent/US11021364B2/en active Active
- 2018-07-23 US US16/042,303 patent/US10618802B2/en active Active
-
2019
- 2019-01-03 US US16/239,132 patent/US10640364B2/en active Active
- 2019-02-08 US US16/270,905 patent/US10618803B2/en active Active
- 2019-04-10 US US16/379,982 patent/US10640365B2/en active Active
- 2019-04-25 US US16/394,138 patent/US10766765B2/en active Active
- 2019-06-21 US US16/448,533 patent/US10906803B2/en active Active
- 2019-09-24 US US16/580,133 patent/US11104572B2/en active Active
- 2019-09-24 US US16/579,983 patent/US11111138B2/en active Active
- 2019-09-30 US US16/587,185 patent/US11111139B2/en active Active
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI700239B (en) * | 2016-02-05 | 2020-08-01 | 台灣積體電路製造股份有限公司 | Semiconductor device and package and manufacturing method thereof |
Also Published As
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TW201213225A (en) | Planar cavity MEMS and related structures, methods of manufacture and design structures |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
MM4A | Annulment or lapse of patent due to non-payment of fees |