DE112011102135T5 - MEMS-Strukturen mit planarem Hohlraum und verwandte Strukturen, Herstellungsverfahren und Design-Strukturen - Google Patents

MEMS-Strukturen mit planarem Hohlraum und verwandte Strukturen, Herstellungsverfahren und Design-Strukturen Download PDF

Info

Publication number
DE112011102135T5
DE112011102135T5 DE112011102135T DE112011102135T DE112011102135T5 DE 112011102135 T5 DE112011102135 T5 DE 112011102135T5 DE 112011102135 T DE112011102135 T DE 112011102135T DE 112011102135 T DE112011102135 T DE 112011102135T DE 112011102135 T5 DE112011102135 T5 DE 112011102135T5
Authority
DE
Germany
Prior art keywords
layer
silicon layer
silicon
mems
oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE112011102135T
Other languages
English (en)
Other versions
DE112011102135B4 (de
Inventor
Thai Doan
George A. Dunbar
Zhong-Xiang He
Russell T. Herrin
Christopher V. Jahnes
Jeffrey C. Maling
Dinh Dang
William J. Murphy
John G. TWOMBLY
Anthony K. Stamper
Eric J. White
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of DE112011102135T5 publication Critical patent/DE112011102135T5/de
Application granted granted Critical
Publication of DE112011102135B4 publication Critical patent/DE112011102135B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B3/00Devices comprising flexible or deformable elements, e.g. comprising elastic tongues or membranes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00642Manufacture or treatment of devices or systems in or on a substrate for improving the physical properties of a device
    • B81C1/0065Mechanical properties
    • B81C1/00666Treatments for controlling internal stress or strain in MEMS structures
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B3/00Devices comprising flexible or deformable elements, e.g. comprising elastic tongues or membranes
    • B81B3/0018Structures acting upon the moving or flexible element for transforming energy into mechanical movement or vice versa, i.e. actuators, sensors, generators
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00134Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems comprising flexible or deformable structures
    • B81C1/0015Cantilevers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00349Creating layers of material on a substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00349Creating layers of material on a substrate
    • B81C1/00365Creating layers of material on a substrate having low tensile stress between layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00444Surface micromachining, i.e. structuring layers on the substrate
    • B81C1/00468Releasing structures
    • B81C1/00476Releasing structures removing a sacrificial layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00555Achieving a desired geometry, i.e. controlling etch rates, anisotropy or selectivity
    • B81C1/00619Forming high aspect ratio structures having deep steep walls
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00555Achieving a desired geometry, i.e. controlling etch rates, anisotropy or selectivity
    • B81C1/00626Processes for achieving a desired geometry not provided for in groups B81C1/00563 - B81C1/00619
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01HELECTRIC SWITCHES; RELAYS; SELECTORS; EMERGENCY PROTECTIVE DEVICES
    • H01H1/00Contacts
    • H01H1/0036Switches making use of microelectromechanical systems [MEMS]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01HELECTRIC SWITCHES; RELAYS; SELECTORS; EMERGENCY PROTECTIVE DEVICES
    • H01H57/00Electrostrictive relays; Piezo-electric relays
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01HELECTRIC SWITCHES; RELAYS; SELECTORS; EMERGENCY PROTECTIVE DEVICES
    • H01H59/00Electrostatic relays; Electro-adhesion relays
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01HELECTRIC SWITCHES; RELAYS; SELECTORS; EMERGENCY PROTECTIVE DEVICES
    • H01H59/00Electrostatic relays; Electro-adhesion relays
    • H01H59/0009Electrostatic relays; Electro-adhesion relays making use of micromechanics
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N30/00Piezoelectric or electrostrictive devices
    • H10N30/30Piezoelectric or electrostrictive devices with mechanical input and electrical output, e.g. functioning as generators or sensors
    • H10N30/304Beam type
    • H10N30/306Cantilevers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/01Switches
    • B81B2201/012Switches characterised by the shape
    • B81B2201/014Switches characterised by the shape having a cantilever fixed on one side connected to one or more dimples
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2203/00Basic microelectromechanical structures
    • B81B2203/01Suspended structures, i.e. structures allowing a movement
    • B81B2203/0118Cantilevers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2203/00Basic microelectromechanical structures
    • B81B2203/04Electrodes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0102Surface micromachining
    • B81C2201/0105Sacrificial layer
    • B81C2201/0109Sacrificial layers not provided for in B81C2201/0107 - B81C2201/0108
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0128Processes for removing material
    • B81C2201/013Etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0161Controlling physical properties of the material
    • B81C2201/0163Controlling internal stress of deposited layers
    • B81C2201/0167Controlling internal stress of deposited layers by adding further layers of materials having complementary strains, i.e. compressive or tensile strain
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0161Controlling physical properties of the material
    • B81C2201/0163Controlling internal stress of deposited layers
    • B81C2201/017Methods for controlling internal stress of deposited layers not provided for in B81C2201/0164 - B81C2201/0169
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/01Packaging MEMS
    • B81C2203/0136Growing or depositing of a covering layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2203/00Forming microstructural systems
    • B81C2203/01Packaging MEMS
    • B81C2203/0172Seals
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2115/00Details relating to the type of the circuit
    • G06F2115/04Micro electro-mechanical systems [MEMS]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01HELECTRIC SWITCHES; RELAYS; SELECTORS; EMERGENCY PROTECTIVE DEVICES
    • H01H57/00Electrostrictive relays; Piezo-electric relays
    • H01H2057/006Micromechanical piezoelectric relay
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/937Hillock prevention
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/42Piezoelectric device making
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/43Electric condenser making
    • Y10T29/435Solid dielectric type
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49105Switch making
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49121Beam lead frame or beam lead device
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/49126Assembling bases
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/4913Assembling to base an electrical component, e.g., capacitor, etc.
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/49155Manufacturing circuit on or in base
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/53Means to assemble or disassemble
    • Y10T29/5313Means to assemble electrical device

Abstract

Es werden Strukturen mikroelektromechanischer Systeme (MEMS) mit planarem Hohlraum, Herstellungsverfahren und Design-Strukturen bereitgestellt. Das Verfahren weist das Bilden mindestens eines Hohlraums (60a, 60b) eines mikroelektromechanischen Systems (MEMS), welcher eine planare Fläche aufweist, unter Anwendung eines reversen Damaszener-Verfahrens auf.

Description

  • Gebiet der Erfindung
  • Die Erfindung betrifft Halbleiterstrukturen und Herstellungsverfahren und insbesondere Strukturen mikroelektromechanischer Systeme (MEMS) mit planarem Hohlraum, Herstellungsverfahren und Design-Strukturen.
  • Hintergrund
  • IC-Schalter, die in integrierten Schaltungen verwendet werden, können aus Festkörperstrukturen (z. B. Transistoren) oder passiven Drähten (MEMS) gebildet werden. MEMS-Schalter werden typischerweise wegen ihrer nahezu idealen Isolierung, welche eine Anforderung für drahtlose Funkanwendungen ist, wo sie zur Modusumschaltung von Leistungsverstärkern (Power Amplifiers, PA) verwendet werden, und wegen ihres niedrigen Einfügeverlusts (d. h. Widerstands) bei Frequenzen von 10 GHz und höher eingesetzt. MEMS-Schalter können in einer Vielfalt von Anwendungen verwendet werden, hauptsächlich in analogen und Mischsignalanwendungen. Ein solches Beispiel sind Zellulartelefonchips, welche einen Leistungsverstärker (PA) und Schaltungen enthalten, die für den jeweiligen Sendemodus eingestellt werden. Integrierte Schalter auf dem Chip verbinden den PA mit den geeigneten Schaltungen, so dass nicht ein PA je Modus erforderlich ist.
  • In Abhängigkeit von der speziellen Anwendung und den speziellen Konstruktionskriterien können MEMS-Strukturen in vielen verschiedenen Formen vorkommen. Zum Beispiel kann ein MEMS in Form einer Kragarmstruktur realisiert werden. In der Kragarmstruktur wird ein Kragarm (eine frei hängende Elektrode mit einem befestigten Ende) durch Anlegen einer Stellspannung in Richtung einer feststehenden Elektrode gezogen. Die erforderliche Spannung, um die frei hängende Elektrode durch elektrostatische Kraft zu der feststehenden Elektrode zu ziehen, wird als Einzugsspannung bezeichnet, welche von verschiedenen Parametern abhängt, z. B. der Länge der frei hängenden Elektrode, dem Abstand oder der Lücke zwischen der frei hängenden und der feststehenden Elektrode oder der Federkonstante der frei hängenden Elektrode, welche eine Funktion der Materialien und ihrer Dicke ist. Alternativ könnte der MEMS-Arm eine Brückenstruktur sein, bei welcher beide Arme befestigt sind.
  • MEMS können auf zahlreiche Weisen unter Verwendung zahlreicher verschiedener Werkzeuge hergestellt werden. Im Allgemeinen werden dennoch die Methodiken und Werkzeuge angewendet, um kleine Strukturen mit Abmessungen im Mikrometermaßstab mit ungefähren Schalterabmessungen einer Dicke von 5 Mikrometern, eine Breite von 100 Mikrometern und einer Länge von 200 Mikrometern zu bilden. Auch sind viele der Methodiken, d. h. Technologien, die eingesetzt werden, um MEMS herzustellen, aus der Technologie der integrierten Schaltungen (IC-Technologie) entnommen worden. Zum Beispiel werden fast alle MEMS auf Wafern gebildet und werden in Material-Dünnschichten realisiert, die durch photolithographische Verfahren auf einem Wafer strukturiert werden. Speziell werden bei der Herstellung von MEMS drei Hauptbildungsblöcke angewendet: (i) Abscheiden von dünnen Materialdünnschichten auf einem Substrat, (ii) Aufbringen einer strukturierten Maske auf die Dünnschichten durch photolithographische Abbildung und (iii) gegenüber der Maske selektives Ätzen der Dünnschichten.
  • Zum Beispiel werden in MEMS-Schaltern des Kragarmtyps die feststehenden Elektroden und die frei hängende Elektrode typischerweise unter Anwendung einer Reihe von herkömmlichen photolithographischen, Ätz- und Abscheidungsverfahren hergestellt. In einem Beispiel wird, nachdem die frei hängende Elektrode gebildet ist, eine Schicht eines Opfermaterials, z. B. das aufgeschleuderte Polymer PMGI, hergestellt von Microchem, Inc., unter der MEMS-Struktur abgeschieden, um einen Hohlraum zu bilden, und über der MEMS-Struktur abgeschieden, um einen Hohlraum zu bilden. Der Hohlraum über der MEMS wird verwendet, um die Bildung einer Abdeckung, z. B. einer SiN-Kappe, zu unterstützen, um die MEMS-Struktur zu versiegeln. Dies führt jedoch zu verschiedenen Nachteilen. Zum Beispiel ist es bekannt, dass MEMS-Hohlräume, die mit aufgeschleuderten Polymeren wie PMGI gebildet werden, nicht planar sind. Nicht planare MEMS-Hohlräume führen jedoch zu Problemen, zum Beispiel mit Schwankungen des lithographischen Brennpunkts und der Zuverlässigkeit der Montage aufgrund der Rissbildung des Dielektrikums. Außerdem ist bei MEMS-Hohlräumen, die mit aufgeschleuderten Polymeren gebildet werden, eine Verarbeitung bei niedrigen Temperaturen erforderlich, um ein Zurückfließen oder eine Beschädigung des Polymers zu vermeiden; und das Polymer kann nach dem Austreiben organische (d. h. kohlenstoffhaltige) Rückstände in dem Hohlraum zurücklassen.
  • Dementsprechend besteht auf dem Fachgebiet ein Bedarf dafür, die oben beschriebenen Nachteile und Einschränkungen zu überwinden.
  • Kurzdarstellung
  • In einer ersten Erscheinungsform der Erfindung weist ein Verfahren das Bilden mindestens eines Hohlraums eines Mikroelektromechanischen Systems (MEMS) auf, welcher eine planare Fläche aufweist, wobei ein reverses Damaszener-Verfahren angewendet wird.
  • In einer anderen Erscheinungsform der Erfindung weist ein Verfahren zum Bilden einer planaren MEMS-Struktur das Bilden von Verdrahtungsstrukturen auf einem Substrat auf. Das Verfahren weist ferner das Bilden einer Siliciumschicht auf den Verdrahtungsstrukturen auf. Das Verfahren weist ferner das Bilden einer Oxidschicht auf der Siliciumschicht auf. Das Verfahren weist ferner das Durchführen eines reversen Damaszener-Verfahrens auf, derart, dass sich Ränder der Oxidschicht mit der Siliciumschicht überlappen. Das Verfahren weist ferner das Planarisieren der Oxidschicht und der Siliciumschicht auf. Das Verfahren weist ferner das Bilden zusätzlicher Schichten auf der planarisierten Oxidschicht und Siliciumschicht auf, z. B. von Elektroden und einer zweiten Siliciumschicht, welche über eine Durchkontaktierung mit der Siliciumschicht in Kontakt steht. Das Verfahren weist ferner das Bereitstellen einer Austreiböffnung in einer der zusätzlichen Schichten auf, um die zweite Siliciumschicht frei zu legen. Das Verfahren weist ferner das Herausätzen der Siliciumschicht und der zweiten Siliciumschicht auf, um mindestens einen ebenen unteren Hohlraum zu bilden.
  • In noch einer anderen Erscheinungsform der Erfindung weist eine planare MEMS-Struktur auf: einen unteren Hohlraum, welcher eine planare obere Oberfläche aufweist; einen oberen Hohlraum, welcher eine planare obere Oberfläche aufweist; eine Durchkontaktierung, welche den oberen Hohlraum mit dem unteren Hohlraum verbindet; Elektroden, welche in dem oberen und unteren Hohlraum ausgebildet sind, welche als Arme für die MEMS-Struktur fungieren; einen Draht, welcher in dem unteren Hohlraum ausgebildet ist; und eine Deckschicht, welche eine Austreiböffnung abdeckt, die verwendet wird, um den oberen Hohlraum und den unteren Hohlraum zu bilden.
  • In noch einer anderen Erscheinungsform der Erfindung weist ein Verfahren zur Verkleinerung einer Unebenheit in einer Siliciumschicht, die für eine MEMS-Struktur verwendet wird, das Bestimmen eines Abstands zwischen Drähten auf, die auf der Siliciumschicht gebildet werden. Das Verfahren weist ferner das Ätzen einer Oxidschicht um ein vorgegebenes Maß auf, um Schwankungen der Siliciumschicht zu minimieren.
  • In einer weiteren Erscheinungsform der Erfindung wird eine Design-Struktur bereitgestellt, die materiell in einem maschinenlesbaren Speichermedium zum Entwickeln, Herstellen oder Prüfen einer integrierten Schaltung verkörpert ist. Die Design-Struktur weist die Strukturen der vorliegenden Erfindung auf. In weiteren Ausführungsformen weist eine Hardware-Description-Language(HDL)-Design-Struktur, die auf einem maschinenlesbaren Datenspeicherungsmedium codiert ist, Elemente auf, welche, wenn sie in einem System des computerunterstützten Designs verarbeitet werden, eine von einem Computer ausführbare Darstellung des MEMS erzeugen, welche die Strukturen der vorliegenden Erfindung aufweist. In noch weiteren Ausführungsformen wird ein Verfahren zum Erzeugen eines funktionellen Design-Modells des MEMS in einem System des computerunterstützten Designs bereitgestellt. Das Verfahren weist das Erzeugen einer funktionellen Darstellung der strukturellen Elemente des MEMS auf.
  • Kurze Beschreibung der verschiedenen Ansichten der Zeichnungen
  • Die vorliegende Erfindung wird in der folgenden detaillierten Beschreibung unter Bezugnahme auf die angegebenen mehreren Zeichnungen durch nicht beschränkende Beispiele beispielhafter Ausführungsformen der vorliegenden Erfindung beschrieben.
  • 1 bis 23 und 26 bis 33 zeigen verschiedene Strukturen und zugehörige Verarbeitungsschritte gemäß der Erfindung;
  • 24a bis 24f zeigen strukturelle Draufsichten auf MEMS-Einheiten, die unter Anwendung der gezeigten Verfahren gemäß Erscheinungsformen der Erfindung hergestellt werden;
  • 25 zeigt verschiedene Topographie-Schaubilder (d. h. Rasterkraftmikroskopie-Daten), welche Daten für die Silicium-Unebenheits-Tiefe gg. den Oxidschliff zeigen;
  • 34 ist ein Flussdiagramm eines Design-Verfahrens, welches beim Design, bei der Herstellung und/oder bei der Prüfung von Halbleitern angewendet wird; und
  • 35a zeigt eine Struktur und ein Verfahren, durch welches eine Oxidnaht in abgeschiedenem Silicium aufgrund der nachfolgenden Topographie gemäß Erscheinungsformen der Erfindung verringert oder beseitigt wird (verglichen mit 35b, welche die Oxidnaht zeigt).
  • Detaillierte Beschreibung
  • Die Erfindung betrifft Halbleiterstrukturen und Herstellungsverfahren und insbesondere Strukturen mikroelektromechanischer Systeme (MEMS) mit planarem Hohlraum (z. B. mit flachen oder planaren Flächen), Herstellungsverfahren und Design-Strukturen. Vorteilhafterweise wird durch die Verfahren zum Bilden der Strukturen die Gesamtspannung auf der MEMS-Struktur verringert sowie Materialschwankungen der MEMS-Einheit verringert. In Ausführungsformen wird in Strukturen und Verfahren zum Bilden der planaren MEMS-Einheiten (z. B. mit flachen oder planaren Flächen) eine Opferschicht verwendet, um einen Hohlraum in Nachbarschaft zu den MEMS-Armen zu bilden. In weiteren Ausführungsformen wird ein zweistufiger MEMS-Hohlraum gebildet, wobei ein reverses Damaszener-Verfahren angewendet wird, um eine planare Struktur (z. B. mit flacher oder planarer Fläche) zu bilden. Die MEMS-Strukturen der vorliegenden Erfindung können unter anderen Einheiten zum Beispiel als Kontaktschalter mit einadrigem oder zweiadrigem Arm, Kondensatorschalter mit zweiadrigem Arm oder Luftspaltinduktor mit einadrigem oder zweiadrigem Arm verwendet werden.
  • 1 zeigt eine Anfangsstruktur und zugehörige Verarbeitungsschritte gemäß Erscheinungsformen der Erfindung. Die in den nächsten Absätzen offenbarte Struktur ist ein MEMS-Kondensatorschalter, obwohl die Verfahren und Strukturen auch auf andere MEMS-Schalter anwendbar sind, z. B. auf Schalter mit ohmschem Kontakt, bei welchen kein MEMS-Kondensator-Dielektrikum verwendet wird; MEMS-Beschleunigungsmesser usw. Die Struktur weist zum Beispiel ein Substrat 10 auf. Das Substrat 10 kann in Ausführungsformen eine beliebige Schicht einer Einheit sein. In Ausführungsformen ist das Substrat 10 ein Silicium-Wafer, der mit Siliciumdioxid oder einem anderen Isolatormaterial überzogen ist, welches dem Fachmann bekannt ist. Innerhalb des Substrats 10 ist eine Verbindung 12 bereitgestellt. Die Verbindung 12 kann zum Beispiel ein Wolfram- oder Kupferstift sein, welcher in einer auf herkömmliche Weise gebildeten Durchkontaktierung ausgebildet ist. Zum Beispiel kann die Verbindung 12 unter Anwendung eines beliebigen herkömmlichen lithographischen, Ätz- und Abscheidungsverfahrens gebildet werden, welches dem Fachmann zum Bilden von Stiften bekannt ist, z. B. eines Damaszener-Verfahrens. Die Verbindung 12 könnte mit anderen Verdrahtungsebenen, CMOS-Transistoren oder anderen aktiven Einheiten, passiven Einheiten usw. in Kontakt stehen, wie es auf dem Fachgebiet bekannt ist.
  • In 2 wird auf dem Substrat 10 eine Verdrahtungsschicht gebildet, um unter Anwendung herkömmlicher Abscheidungs- und Strukturierungsverfahren mehrere Drähte 14 zu bilden. Zum Beispiel kann die Verdrahtungsschicht auf dem Substrat mit einer Tiefe von etwa 0,05 bis 4 Mikrometern abgeschieden werden; obwohl für die vorliegende Erfindung auch andere Abmessungen denkbar sind. In Ausführungsformen wird die Verdrahtungsschicht 14 mit einer Tiefe von 0,25 Mikrometern abgeschieden. Danach wird die Verdrahtungsschicht strukturiert, um die Drähte (unteren Elektroden) 14 zu bilden, welche zwischen sich einen Drahtabstand (eine Lücke) 14a aufweisen. In Ausführungsformen kann das Drahtraum-Seitenverhältnis, welches durch das Verhältnis der Höhe des Drahts 14 zu dem Drahtabstand 14a bestimmt wird, Materialschwankungen (z. B. die Topographie) beeinflussen, wie in Bezug auf 25 noch detaillierter erörtert wird. Zum Beispiel könnte aus einem 50 nm hohen Draht 14 mit einem Abstand 14a von 1.000 nm ein niedriges Seitenverhältnis von 1:20 gebildet werden; und aus einem 500 nm hohen Draht 14 mit einem Abstand von 500 nm könnte ein hohes Seitenverhältnis von 1:1 gebildet werden. Diese Seitenverhältniswerte dienen nur Vergleichszwecken, und die Formanpassungsfähigkeit einer Opferdünnschicht 18 (3) bestimmt, welches Drahtraum-Seitenverhältnis erforderlich ist, wie hierin noch erörtert wird.
  • Mindestens einer der Drähte 14 steht mit der Verbindung 12 in Kontakt (direktem elektrischen Kontakt). In Ausführungsformen können die Drähte 14 aus Aluminium oder einer Aluminiumlegierung wie AlCu, AlSi oder AlCuSi gebildet werden; obwohl für die vorliegende Erfindung auch andere Verdrahtungsmaterialien denkbar sind. Zum Beispiel kann es sich bei den Drähten 14 unter anderen Verdrahtungsmaterialien um ein hitzebeständiges Metall wie Ti, TiN, TiN, Ta, TaN und W oder AlCu handeln. In Ausführungsformen können die Drähte 14 mit Si, z. B. 1%, dotiert sein, um zu verhindern, dass das Metall, z. B. Al, mit einem Schichtmaterial des oberen Hohlraums, z. B. Silicium, reagiert. In Ausführungsformen kann der Aluminiumteil des Drahts mit Cu, z. B. 0,5%, dotiert sein, um den Elektromigrationswiderstand des Drahts zu erhöhen. In Ausführungsformen könnten die Drähte aus reinen hitzebeständigen Metallen wie TiN, W, Ta usw. gebildet werden.
  • Die Oberflächenmorphologie des Drahts 14 wird durch die Oberflächenrauheit auf atomarer Ebene sowie die Gegenwart von Metallhügeln bestimmt. Metallhügel sind Höcker in dem Metall, typischerweise etwa 10 nm bis 1.000 nm breit und 10 nm bis 1.000 nm hoch. Für eine Aluminiumverdrahtung, die unterhalb und oberhalb in TiN eingekleidet ist, zum Beispiel 200 nm AlCu, welches unterhalb mit 10/20 nm Ti/TiN und oberhalb mit 30 nm TiN eingekleidet ist, könnte ein typischer Metallhügel 50 nm breit und 100 nm hoch sein. Bei MEMS-Kondensatoren, wobei der Draht 14 mit einem Dielektrikum überzogen ist und als untere Kondensatorplatte verwendet wird, verringert die Gegenwart von Metallhügeln oder ein hoher Wert der Oberflächenrauheit auf atomarer Ebene die Kapazitätsdichte, weil die obere Kondensatorplatte, welche aus dem MEMS-Arm gebildet wird, nicht in engem Kontakt zu der unteren Kondensatorplatte stehen kann, welche aus dem Draht 14 gebildet wird.
  • Die Oberflächenrauheit kann unter Verwendung eines Rasterkraftmikroskops (Atomic Force Microscope, AFM) oder einer optischen Profilmessvorrichtung gemessen werden, und es existieren verschiedene bekannte Verfahren zum Messen und quantitativen Erfassen der Breite und Höhe von Hügeln. In Ausführungsformen werden Hügel durch Messen der Höhe vom Minimum bis zum Maximum von Drahtbereichen, die typischerweise von 1 bis 10.000 Quadratmikrometern reichen, unter Verwendung eines AFM quantitativ erfasst, und die Oberflächenrauheit wird durch Berechnen des quadratischen Mittelwerts (RMS) der Rauheit in Bereichen mit oder ohne Hügel quantitativ erfasst. In einer Ausführungsform ist die Oberflächenrauheit die RMS-Rauheit eines 2-μm2-Bereichs ohne sichtbare Hügel.
  • Tabelle 1 fasst Metallhügel- und Oberflächenrauheitsdaten für eine Vielfalt von Drahtmaterialien zusammen, die unter Verwendung eines AFM gemessen wurden. Der quadratische Mittelwert (RMS) der Rauheit wurde in Bereichen ohne sichtbare Metallhügel in einem Bereich von ungefähr 2 μm2 gemessen. Der maximale Wert von der Spitze zum Tal für den Metallhügel wurde in einem geeigneten 10.000-μm2-Bereich gemessen. Die Optionen mit Draht aus reinem hitzebeständigem Metall wiesen bei Weitem die niedrigste Rauheit und die niedrigsten Hügel, jedoch den höchsten Widerstand auf. Drähte mit AlCu weisen einen viel niedrigeren Widerstand auf, jedoch eine viel höhere Rauheit und viel höhere Hügel als Drähte aus reinem hitzebeständigem Metall. Durch das Hinzufügen von ausreichend Ti unter und über das AlCu und das Tempern der Wafer bei 350°C bis 450°C für eine ausreichende Zeit, um das TiAl3-Silicid zu bilden, d. h. 400°C für 1 Stunde, entweder vor oder nach dem Strukturieren, wird die Höhe der Hügel vom Minimum zum Maximum dramatisch verringert, während der RMS der Oberflächenrauheit aufgrund des verringerten Aluminiumvolumens geringfügig steigt. In beispielhaften Ausführungsformen werden die Drähte 14 nach dem Strukturieren getempert und geätzt, um durch TiAl3 hervorgerufene Metallätzprobleme zu verringern. Dünneres Ti, z. B. 5 nm unter und über dem AlCu, wies nur einen minimalen oder gar keinen Effekt auf die Hügelverringerung auf; während 10 nm und 15 nm Ti die Hügel dramatisch verringerten und äquivalent waren. Wenn das Ti mit Aluminium reagiert, um TiAl3 zu bilden, wird die Dicke des Aluminiums (z. B. AlCu) in einem Verhältnis von ungefähr 3:1 verringert, d. h. für jede 10 nm Ti werden 30 nm Aluminium verbraucht, um TiAl3 zu bilden; und, um immer etwas nicht umgesetztes AlCu in dem Draht zu belassen, muss das Dickenverhältnis Ti:AlCu, wobei die Ti-Dicke die Schichten unter und über dem AlCu aufweist, niedriger als 1:3 sein. Dies bedeutet, dass für eine optimale Hügelverringerung und einen optimalen Drahtwiderstand der Bereich der Dicke des Ti, wie es abgeschieden wurde, mehr als 5% und weniger als 25% der Dicke des AlCu, wie es abgeschieden wurde, betragen, wobei Schwankungen der Dicke des Ti und AlCu, wie es abgeschieden wurde, berücksichtigt werden. TABELLE 1
    Verfahren (TiN = 32 nm für jede Schicht) AlCu Ta/TiN oder Ta Dicke (nm) Unteres und oberes Ti Dicke RMS Rauheit (nm) Maximum Spitze-Tal Hügel (nm) Widerstand (Ω/☐)
    TiN/AlCu/TiN 200 k. A. 4,6 148 0,18
    Ti/AlCu/Ti/TiN 200 5 6,8 119 0,24
    Ti/AlCu/Ti/TiN 200 10 6,4 43 0,32
    Ti/AlCu/Ti/TiN 200 15 6,2 46 0,42
    TiN 32 k. A. 2,3 27 100
    Ta/TiN 200/32 k. A. 2,4 29 2
  • Die Metallhügelbildung kann auch durch das Layout der Drähte hervorgerufen werden. Zum Beispiel weist ein durchgängiges Layout (26a) gewöhnlich sowohl eine größere Anzahl an Metallhügeln als auch größere Hügel auf als ein Layout, welches unter Verwendung von Schlitzen „S” (26b und 26c) oder Löchern „H” (26d) in schmale Leitungen aufgebrochen ist.
  • Spezieller zeigen 26a bis 26d Draufsichten auf Layouts der MEMS-Kondensatorplatten mit durchgängigen (26a), geschlitzten ”S” (26b und 26c) und gelochten ”H” (26d) Layouts. Beim gelochten (26d) Layout ”H” könnten Rauten (dargestellt), Achtecke, Kreise, Ovale, Quadrate, Plus-Formen oder beliebige Formen verwendet werden, die aus dem Layout ausgeschnitten werden und hier alle mit „H” bezeichnet werden. Die geschlitzten und gelochten Layouts sind beide so ausgestaltet, dass sie die Hügelbildung minimieren und nicht aufgrund des Entfernens des Metalls den effektiven Drahtwiderstand bedeutend erhöhen oder die Kondensatorplattenfläche verringern. Wenn ein geschlitztes Layout „S” verwendet wird (26b), wird die Schlitzbreite typischerweise minimiert, um nicht die Kondensatorplattenfläche zu verringern oder den effektiven Drahtwiderstand zu erhöhen. Zum Beispiel könnte eine Schlitzbreite von 1 μm und ein Abstand der Schlitze von 6 μm verwendet werden; oder ein ähnliches Verhältnis dieser Werte (d. h. 0,4 μm Schlitzbreite und 2,4 μm Abstand). Für die gelochte Version in 26d würde das durch die Löcher entfernte Metallvolumen auf etwa 20% oder weniger gehalten, um nicht wesentlich den effektiven Drahtwiderstand zu erhöhen oder die Kapazität zu verringern. Zum Beispiel könnten Löcher einer Fläche von 1 μm2 verwendet werden, welche 20% der Gesamtfläche des Drahts ausmachen.
  • Das durch Schlitzen oder Lochen der Drähte entfernte Metallvolumen wird auch durch die Neigung zum Bilden von Hügeln bestimmt. Zum Beispiel sind hitzebeständige Metalle für die Bildung von Hügeln nicht empfindlich und müssen möglicherweise nicht geschlitzt oder gelocht werden. Die Neigung zum Bilden von Hügeln in Aluminium oder Aluminiumlegierungen steigt, wenn die Drahtdicke erhöht wird und die Dicke des hitzebeständigen Deckmetalls (d. h. TiAl3/TiN, TiN usw.) erhöht wird. Für höhere Drähte, z. B. >= 1 μm, kann das Metallvolumen, das durch Schlitzen oder Lochen entfernt werden muss, höher sein, wobei für kürzere Drähte, z. B. <= 0,2 μm, das Metallvolumen, das durch Schlitzen oder Lochen entfernt werden muss, niedriger sein kann. Der Abstand ist als sich wiederholende Drahtbreite + Zwischenraum definiert. Für einen Abstand von 5 μm und einen Zwischenraum von 1 μm betrüge die Drahtbreite 4 μm.
  • Für Ausführungsformen betrüge die Drahtbreite zwischen den Schlitzen 4 μm und der Abstand vom vertikalen Ende der Drähte zum Rand der Drahtform ebenfalls 4 μm. Layouts, bei welchen der Schlitz-Algorithmus angewendet wird, wobei die Enden der Schlitze geschlossen sind, dargestellt in 26b, unterliegen der Hügelbildung am Ende der Schlitze aufgrund einer erhöhten lokalen Fläche oder anderen durch die Geometrie hervorgerufenen Effekten. Dies ist in 26e dargestellt, welche ein Layout mit geschlossenen Schlitzen mit gleichem Abstand sowohl zwischen den Schlitzen als auch zwischen den Schlitzen und den Enden der Drahtformen A1 zeigt. Um die Neigung zum Bilden von Hügeln an dieser Stelle zu verringern oder zu beseitigen, kann der Abstand zwischen dem vertikalen Ende der Schlitze und dem Ende der Drahtform auf weniger als die Breite der geschlitzten Drähte verringert werden, wie in 26f dargestellt, welche eine Drahtbreite A1 und Schlitzabstände zu dem Drahtrand oder Schlitzrand A2 und A3 zeigt, welche beide geringer als A1 sind. Dies gilt für orthogonale Schlitze (d. h. Schlitze, die in einer senkrechten Weise eines 90-Grad-Winkels enden) ebenso wie für gewinkelte Schlitze (d. h. Schlitze, die in einem 45-Grad-Winkel oder einem anderen Winkel enden), wie in 26 dargestellt. Ein anderes mögliches Problem, welches durch das Schlitzen von Drähten verursacht wird, ist die Bildung eines Triplepunkts bei der folgenden Siliciumabscheidung über einem nicht abgedeckten Schlitz. Wenn die Schlitze oder Löcher nicht abgedeckt sind, wie in 26c oder dem oberen Abschnitt der 26d dargestellt, kann durch die folgende Siliciumabscheidung ein Triplepunkt, der in 26c als „TP” markiert ist, über dem Ende des nicht abgedeckten Schlitzes gebildet werden, was zu einem Defekt in der Siliciumoberfläche führt, welcher sich bis zu der folgenden Verdrahtung oder anderen Ebenen fortpflanzen kann. Um diesen Defekt zu vermeiden, können die geschlitzten Enden gegebenenfalls abgedeckt oder geschlossen werden, wie in 26b dargestellt. Ein ähnlicher Triplepunktdefekt kann für ein gelochtes Design auftreten, welcher wiederum durch Schließen des Lochs beseitigt werden kann. Offene und geschlossene Löcher sind im oberen und unteren Abschnitt der 26d dargestellt.
  • In Abhängigkeit von der Strukturierung der Verdrahtung können sich in dem Opfermaterial, z. B. Silicium, zwischen und oberhalb der Räume zwischen den Drähten während späterer Verarbeitungsschritte Poren oder Nähte bilden, wie unten beschrieben. Eine Naht ist ein Bereich in dem Silicium in einer Lücke zwischen den darunter angeordneten Drähten oder einer anderen Topographie, welcher als Nebenprodukt des Siliciumabscheidungsprofils erzeugt wird. Diese Nähte können Verunreinigungen, z. B. Sauerstoff, enthalten und können in der Folge zu Problemen führen, entweder aufgrund der Gegenwart von oxidiertem Silicium oder der Nahtöffnung aufgrund von CMP-, nasschemischen, RIE- oder anderen nachgeschalteten Verfahren. Das heißt, wenn das Seitenverhältnis des Abstands zur Höhe der Drähte 14 hoch ist, können sich während folgender Abscheidungsverfahren in oberen Schichten Poren oder Nähte bilden. Diese Poren oder Nähte können die Topographie des Materials, z. B. Silicium, beeinträchtigen, insbesondere wenn während eines folgenden Verarbeitungsschritts unter- oder überpoliert wird oder wenn die Poren während der Abscheidung der folgenden Dünnschicht oxidieren. Alternativ ist, wenn für die Verdrahtungsebene 14 ein Damaszener-Verfahren oder reverses Damaszener-Verfahren angewendet wird, die Fläche im Wesentlichen planar, und folgende Schichten sind nicht für das Bilden von Poren empfindlich. Ein reverses Damaszener-Verfahren ist eines, wobei die Drähte abgeschieden und planarisiert werden, gefolgt von einem Schritt des Abscheidens und Planarisierens eines Dielektrikums, so dass die Drahtflächen frei liegen, jedoch ein planares Dielektrikum zwischen den Drähten vorliegt.
  • In 3 wird auf den mehreren Drähten 14 und frei liegenden Abschnitten des Substrats 10 eine Isolatorschicht (Dielektrikumsschicht) 16 gebildet. In Ausführungsformen ist die Isolatorschicht 16 ein Oxid, welches zu etwa 80 nm abgeschieden wird; obwohl für die vorliegende Erfindung auch andere Abmessungen denkbar sind. Die kombinierten Dicken der unteren MEMS-Kondensator-Isolatorschicht 16 und der folgenden oberen MEMS-Kondensator-Isolatorschicht 34, die in 11 dargestellt ist, bestimmt die Durchschlagspannung und die zeitabhängigen Dielektrikums-Durchschlagseigenschaften des MEMS-Kondensators. Für einen MEMS-Betrieb bei 50 V muss die Durchschlagspannung höher als 50 V, typischerweise höher als 100 V sein, um eine hohe Zuverlässigkeit des MEMS-Kondensators sicherzustellen. Eine kombinierte MEMS-Kondensator-Isolatordicke von 160 nm ist ausreichend, um eine hohe Zuverlässigkeit für einen 50-V-MEMS-Betrieb zu erhalten. Diese Isolatorschicht 16, welche nur erforderlich ist, wenn ein MEMS-Kondensator hergestellt wird, bildet das Dielektrikum der unteren Kondensatorplatte. Die Isolatorschicht 16 wirkt auch als Barriere zwischen dem Metall im Draht 14, z. B. Aluminium, und dem folgenden Material 18, z. B. Silicium. Silicium und Aluminium reagieren und bilden eine intermetallische Verbindung, welche schwierig zu entfernen ist und, wenn sie gebildet ist, die MEMS-Arm-Aktivierung blockieren kann, indem sie den Arm dagegen blockiert, während der Betätigung vollständig zu knicken. Die Bildung dieser intermetallischen Verbindung kann durch eine robuste Isolatorschicht 16 verhindert werden. Man beachte, dass die Isolatorschicht 16 bei Temperaturen abgeschieden werden muss, die mit der Aluminiumverdrahtung kompatibel sind, z. B. unter etwa 420°C, vorzugsweise unter etwa 400°C, was die Verwendung hoch formanpassungsfähiger Dielektrika ausschließt, z. B. durch chemische Flüssigphasenabscheidung (LPCVD) abgeschiedenes SiO2, welches bei viel höheren Temperaturen als etwa 420°C abgeschieden wird. Abscheidungsmöglichkeiten für die Isolatorschicht 16 sind eine oder mehrere aus plasmaunterstützter CVD (PECVD), subatmosphärischer CVD (SACVD), Atmosphärendruck-CVD (APCVD), CVD mit hochdichtem Plasma (HDPCVD), physikalischer Abscheidung aus der Gasphase (PVD) und Atomschichtabscheidung (ALD). Diese Schicht wird in Bezug auf 27a bis c noch detaillierter beschrieben.
  • Eine Schicht eines Hohlraum-Opfermaterials 18, zum Beispiel Silicium, Wolfram, Tantal, Germanium oder ein beliebiges Material, welches in der Folge gegenüber der Isolatorschicht 16 oder dem Draht 14, wenn die Isolatorschicht 16 fehlt, selektiv entfernt werden kann, zum Beispiel unter Verwendung von XeF2-Gas, wird auf der Isolatorschicht 16 abgeschieden; oder auf der Schicht 14, wenn die Isolatorschicht 16 nicht vorhanden ist. In Ausführungsformen wird für die Schicht 18 Silicium verwendet. Die Schicht 18 kann unter Anwendung einer beliebigen herkömmlichen Plasma-Gasphasenabscheidung (PVD), einer PECVD, einer schnellen thermischen CVD (RTCVD) oder einer LPCVD abgeschieden werden, welche bei Temperaturen erfolgt, die mit der Verdrahtung 14 kompatibel sind, z. B. < 420°C. In Ausführungsformen wird die Schicht 18 in einer Höhe von etwa 0,1 bis 10 Mikrometern abgeschieden, welche durch die erforderliche MEMS-Lücke bestimmt wird, und wird unter Anwendung herkömmlicher Schritte der Lithographie und des reaktiven Ionenätzens (RIE) strukturiert. In einem Beispiel wird eine Siliciumdicke von etwa 2,3 Mikrometern angewendet.
  • Ein übliches Ätzgas für Silicium ist SF6, wobei das SF6 mit anderen Gasen wie CF4, Stickstoff oder Argon verdünnt wird. Durch das Siliciumabscheidungsverfahren, welches angewendet wird, um die Siliciumschicht 18 abzuscheiden, können Nähte zwischen Drähten und an den Drahträndern erzeugt werden, wie oben beschrieben. Wenn diese Nähte oxidiert werden oder andere Verunreinigungen in sich aufweisen, sind sie während des Ätzschritts der Siliciumschicht 18 oder während des abschließenden Silicium-Hohlraum-Austreibätzens schwierig zu ätzen. Um zu vermeiden, dass nach dem Ätzen der Siliciumschicht 18 oxidierte Nähte auf dem Wafer zurückbleiben, kann eine Kombination aus Argonverdünnung und an den Wafer angelegter HF-Vorspannung angewendet werden, um gleichzeitig zu sputtern und die Fläche durch RIE zu ätzen. über den Räumen 14a zwischen den Drähten 14 können sich aufgrund der schlechten Stufenabdeckung oder Formanpassungsfähigkeit der Schicht 18 Poren 20 bilden. Die Breite der Pore 20, der Abstand vom Substrat 10 und der Abstand von der Fläche des Siliciums 20a werden durch das Seitenverhältnis des Drahts 14, die Formanpassungsfähigkeit der Siliciumabscheidung und die Form der Isolatorschicht 16 bestimmt.
  • 27a bis 27c zeigen verschiedene Formen der Isolatorschicht 16 über den Drähten 14. Die in 27a dargestellten Drähte 14 sind mit einem Unterschnitt des AlCu unter der oberen TiN/TiAl3-Schicht 14' gezeichnet. Dieser Unterschnitt tritt während der Metall-RIE-Bearbeitung oft auf und erhöht, wenn er vorhanden ist, die Schwierigkeit, eine gute Bedeckung der Seitenwand des Drahts 14 mit Isolatorschicht(en) 16 zu erhalten. 27a zeigt die Bildung der Isolatorschicht 16 unter Anwendung formanpassungsfähiger Verfahren wie zum Beispiel LPCVD, APCVD oder SACVD. Diese formanpassungsfähigen Abscheidungsverfahren sorgen für eine nahezu gleichmäßige Isolatordicke auf den oberen, Seiten- und unteren Flächen 16A, 16B und 16C. Diese formanpassungsfähigen Abscheidungsverfahren können, wenn sie bei Temperaturen erfolgen, die mit Verdrahtungen auf Aluminium- oder Kupferbasis kompatibel sind, z. B. unter 420°C, schlechte Kondensator-Dielektrikums-Eigenschaften aufweisen, zum Beispiel einen hohen Leckstrom, eine niedrige Durchschlagspannung oder eine schlechte TDDB-Zuverlässigkeit. Dieses Profil führt zu einer Stufenbildung 300 in der Pore 20. 27b zeigt die Bildung der Isolatorschicht 16 unter Anwendung der PECVD oder PVD. Dieses Profil führt zur Bildung eines „Brotlaib”- oder „doppelt abgeschrägten” Profils 305 in der Pore 20. Obwohl diese „Brotlaib”-Dünnschichten nicht formangepasst sind, können sie aufgrund ihrer Plasmaabscheidung ausgezeichnete Kondensator-Dielektrikums-Eigenschaften aufweisen. Um die Pore 20 zu verkleinern oder zu beseitigen, ist es wünschenswert, ein abgeschrägtes Profil zu haben, wie in 27c dargestellt, welches die Stufenabdeckung der Schicht 18 verbessert und die Pore 20 verkleinert oder beseitigt.
  • Unebenheiten 19 (vgl. z. B. 8 oder 9a) können sich über den Poren oder Nähten 20 auf der Fläche der Schicht 18 zwischen den Drähten 14 bilden. Die Poren 20 und Unebenheiten 19 bilden sich aufgrund des Abstands zwischen den Drähten 14, und sie können in Abhängigkeit von der Höhe der Schicht 18 sowie vom Abstand und/oder der Höhe der Drähte 14 variieren. Diese Unebenheiten 19 können während der folgenden Bearbeitung, z. B. der chemisch-mechanischen Bearbeitung, wie unten in Bezug auf 8 beschrieben, tiefer werden. Diese Unebenheiten 19 und Nähte können während der folgenden Bearbeitung oxidieren, z. B. wenn sie feuchter Luft ausgesetzt werden, bei einer Photoresist-Ablösung in oxidierender Umgebung oder einer Plasmaoxid-Abscheidung, und diese oxidierten Siliciumbereiche werden während des abschließenden Schritts des Austreibens und Entfernens des Siliciums nicht entfernt. Wenn dies geschieht, können diese oxidierten Siliciumrückstände, welche sich unter dem MEMS-Arm befinden, den MEMS-Arm dagegen blockieren, mit der unteren Elektrode (Draht) 14 in Kontakt zu kommen, was zu einem schlechten Auslösen führt. (Vgl. z. B. das Element 19a in 33.) Durch Abschrägen des Profils der Isolatorschicht 16 (27c) wird dieser Effekt verringert oder beseitigt, indem die Pore und die Unebenheit beseitigt werden, ebenso durch Beseitigen der Pore durch Verbessern der Lückenfüllung der Siliciumabscheidung. Das Profil kann abgeschrägt werden (27c), indem ein Oxid durch CVD mit hochdichtem Plasma als Teil der oder als gesamte Isolatorschicht 16 abgeschieden wird. Alternativ könnte dasselbe abgeschrägte Profil der Isolatorschicht 16 durch eine Isolatorabscheidung und ein oder mehrere Sputter-Ätzverfahren zum Zurückätzen und folgende Isolatorabscheidung(en) erzeugt werden. Alternativ kann, wie unten beschrieben, die Siliciumabscheidung durch In-situ-Sputtern der Silicium-Dünnschicht in der PVD-Siliciumabscheidungskammer modifiziert werden, um das Siliciumprofil auf 45 Grad abzuschrägen.
  • Die Isolatorschicht 16 über dem Draht 14 dient auch dazu, eine Reaktion, Legierungsbildung oder wechselseitige Diffusion zwischen dem Material des Drahts 14 und der Schicht (Hohlraummaterial) 18 zu blockieren. Wenn zum Beispiel der Draht 14 Aluminium enthält, kann das Aluminium mit dem Silicium reagieren, um ein Aluminiumsilicid zu bilden, welches während des folgenden Schritts des Austreibens oder Entfernens der Schicht 18 (Opferschicht) schwierig oder unmöglich zu entfernen ist. Diese Aluminiumsilicidbildung kann zum Beispiel in den oberen Drahtecken auftreten, weil die Isolatorschicht 16 ein rückläufiges Abscheidungsprofil aufweist (27b) oder nur eine geringe Bedeckung in der oberen Drahtecke aufweist (27c), was zu frei liegendem Aluminium bei der Abscheidung der Schicht 18 führt. Obwohl dieses Problem durch Erhöhen der Dicke der Isolatorschicht verringert oder beseitigt werden kann, ist das Erhöhen der Dicke wegen der damit verbundenen Verringerung der Kapazität des MEMS-Kondensators, der unter Verwendung des Drahts 14 als untere Platte gebildet wird, nicht immer möglich. Außerdem könnten Drahtflächen- oder Eckendefekte (nicht dargestellt) die Isolatorschicht 16 dagegen blockieren, das Aluminium vollständig zu überziehen. Diese Aluminium-Silicium-Reaktion kann zu vorspringenden nadelartigen Aluminiumsilicid-Elementen führen, welche eine Betätigung des MEMS-Arms blockieren oder teilweise blockieren können. Um diese Reaktion der Schichten 16 und 18 zu verhindern, kann eine formangepasste Oxidationsbarriere, z. B. ALD-Al2O3 (Aluminiumoxid), ALD-Ta2O5 (Tantalpentoxid) oder eine Kombination beider, abgeschieden werden. In einer beispielhaften Ausführungsform besteht die Schicht 16 aus 80 nm HDPCVD-Oxid, gefolgt von 15 nm ALD-Aluminiumoxid. ALD-Dünnschichten weisen äußerst langsame Abscheidungsgeschwindigkeiten auf und, obwohl sie allein als MEMS-Kondensator-Dielektrikum verwendet werden könnten, kann dies wegen der langen Abscheidungszeiten und hohen Herstellungskosten unpraktisch sein. Eine ALD-Aluminiumoxid-Dünnschicht weist eine Abscheidungsgeschwindigkeit von 1 nm je Minute auf, was bedeutet, dass es 80 Minuten dauert, um eine 80-nm-Dünnschicht abzuscheiden. Daher ist die Verwendung einer Kombination aus schnell abgeschiedenem SiO2 und langsam abgeschiedenem Aluminiumoxid optimal. Man beachte, dass das ALD-Aluminiumoxid oder eine ähnliche Dünnschicht unter den 80 nm des Oxids verwendet werden kann und auch unter der oberen MEMS-Elektrode 38 verwendet werden kann, um eine Reaktion des Siliciums mit der oberen MEMS-Elektrode zu blockieren.
  • In 3a ist ein optionaler Verarbeitungsschritt des Bildens eines Dielektrikumsstifts 16a (z. B. Oxidstifts) gemäß Erscheinungsformen der Erfindung dargestellt. Bei diesem optionalen Schritt kann der Oxidstift 16a vor dem Bilden der Abscheidungsisolatorschicht 16 gebildet werden. Zum Beispiel kann der Oxidstift 16a eine abgeschiedene PECVD-SiO2-Dünnschicht sein, welche unter Anwendung herkömmlicher Lithographie- und Ätzverfahren auf den Drähten 14 strukturiert und geätzt wird. Mit dieser Option könnte der Oxidstift 16a zuerst strukturiert und geätzt werden, gefolgt vom Strukturieren und Ätzen des Drahts 14; oder der Draht 14 könnte zuerst strukturiert und geätzt werden, gefolgt von der Abscheidung und dem Ätzen des Oxidstifts 16a. Durch das Strukturieren und Ätzen des Oxidstifts 16a vor dem Strukturieren und Ätzen des Drahts 14 wird die Erhöhung des Seitenverhältnisses 14a vor der Abscheidung der Isolatorschicht 16 vermieden, weil das Oxid zwischen Drähten 14 nicht während des Ätzens des Oxidstifts 16a geätzt wird. Außerdem könnte, wenn der Oxidstift 16a strukturiert und geätzt wird, nachdem die Drähte 14 strukturiert und geätzt werden, die RIE-Chemie auf Perfluorkohlenstoffbasis, die verwendet wird, um den Oxidstift 16a zu ätzen, auch die obere TiN-Schicht des Drahts 14 ätzen, was zu einer verschlechterten Fläche und verschlechterten elektrischen Ausbeute oder Zuverlässigkeit des MEMS-Kondensators führt. Der Oxidstift 16a bildet, wenn er in Bereichen, die von dem MEMS-Kondensator oder Kontaktkopf entfernt sind, über den MEMS-Stellelementen angeordnet wird, eine Schutzschicht während des MEMS-Betriebs, welche in Bereichen, wo der MEMS-Arm nicht in engen Kontakt mit der unteren Elektrode kommen muss, eine elektrische Bogenbildung des Leiters in dem MEMS-Arm zu der unteren Elektrode des Stellelements verhindern kann. Da in dem bevorzugten Verfahren der Oxidstift vor dem Strukturieren und Ätzen des Drahts 14 strukturiert und geätzt wird, ist es wünschenswert zu vermeiden, dass die Räume 14a zwischen den Drähten 14 den Oxidstift überschneiden. Nach dem Bilden des Oxidstifts 16a können die Isolatorschicht 16 und die Schicht 18 gebildet werden, wie oben beschrieben.
  • Als optionale Verarbeitungsschritte kann die Schicht 18 planarisiert werden, zum Beispiel unter Anwendung eines Verfahrens des chemisch-mechanischen Polierens (CMP), und anschließend kann gegebenenfalls Material (Silicium) auf der polierten Schicht 18 abgeschieden werden, um eine nahtlose Siliciumschicht auf der Fläche des unteren Silicium-MEMS-Hohlraums bereitzustellen. Man beachte, dass herkömmliche CMP- und anschließende Reinigungsverfahren, z. B. Bürstenreinigungsverfahren, Reinigungsverfahren mit verdünnter Fluorwasserstoffsäure (Dilute HF, DHF), Reinigungsverfahren mit gepufferter Fluorwasserstoffsäure (Buffered HF, BHF), kryogene Reinigungsverfahren usw. nach jedem CMP-Schritt zum Entfernen des auf der Siliciumfläche gebildeten nativen Oxids durchgeführt werden. Zum Beispiel wird, Bezug nehmend auf 4a, die Schicht 18 unter Anwendung eines herkömmlichen Abscheidungsverfahrens, zum Beispiel PVD, auf der Isolatorschicht 16 abgeschieden. Wie in 4a dargestellt, können in der Schicht 18 zwischen den Drähten 14 Poren 20 gebildet werden, wobei die Unebenheiten 19 über den Poren 20 gebildet werden. Wie in 4b dargestellt, wird die Schicht 18 zum Beispiel unter Anwendung eines CMP-Verfahrens planarisiert. In 4c wird eine zweite Materialschicht 22, z. B. Silicium, auf der planarisierten Schicht 18 abgeschieden. In 4d werden die Siliciumschichten 18 und 22 (welche nun eine einzige Schicht bilden, die hierin im Folgenden als Schicht 18 bezeichnet wird) strukturiert, wobei herkömmliche Schritte der Lithographie und des reaktiven Ionenätzens (RIE) angewendet werden. Durch dieses Verfahren der Siliciumabscheidung, des CMP und der zweiten Abscheidung werden die Unebenheiten 19 in der Siliciumfläche beseitigt, wird die Möglichkeit des Oxidierens der Naht 20 beseitigt und wird teilweise oder vollständig die Topographie auf der Siliciumfläche aufgrund der nachfolgenden Topographie des Drahts 14 und des Drahtzwischenraums 14a planarisiert.
  • Eine Gruppe beispielhafter Dicken wäre ein 250 nm hoher Draht 14, ein Zwischenraum 14a von 500 nm zwischen Drähten 14, eine anfängliche Abscheidungsdicke des Siliciums 18 von 2 Mikrometern, eine CMP-Entfernung des Siliciums von 400 nm über den Drähten 14, um die 250-nm-Stufe über den Drähten 14 zu planarisieren, und eine anschließende Siliciumabscheidung 22, die dick genug ist, um während der folgenden reversen Planarisierungsverfahren des Oxids, die in 5 bis 8 dargestellt sind, teilweise auf dem Wafer zu verbleiben. In einer beispielhaften Ausführungsform werden 200 nm Silicium von den Bereichen über den Drähten 14 und im Wesentlichen weniger als 50 nm in den Zwischenräumen zwischen den Drähten 14 entfernt, wodurch die Bereiche über den Drähten 14 und den Zwischenräumen 14a teilweise planarisiert werden.
  • Das Silicium-CMP wird gewöhnlich durchgeführt, um Tiefgraben-DRAM-Kondensatoren zu bilden, wie auf dem Fachgebiet bekannt. Bei dieser Art des Silicium-CMP wird das CMP-Verfahren optimiert, um die Selektivität gegenüber den Zwischenisolator-Dünnschichten (z. B. SiO2 oder Oxid) auf der Wafer-Fläche zu maximieren. D. h., die Silicium-CMP-Geschwindigkeit wird maximiert, und die Oxid-CMP-Geschwindigkeit wird minimiert, so dass die Selektivität für Silicium gegenüber dem Oxid 50:1 beträgt. Diese Art des Silicium-CMP-Verfahrens ist optimal für Silicium-Dünnschichten, welche unter Anwendung der CVD abgeschieden werden, kann jedoch bei Silicium-Dünnschichten zu Problemen führen, die unter Anwendung der PVD abgeschieden werden. PVD-Silicium-Dünnschichten, die mit herkömmlichen selektiven Silicium-CMP-Verfahren poliert werden, können Probleme mit Defekten in der PVD-Silicium-Dünnschicht aufweisen, welche bewirken können, dass die lokale Poliergeschwindigkeit verringert wird. Diese PVD-Siliciumdefekte, welche durch oxidiertes Silicium, andere Verunreinigungen oder die Silicium-Kornstruktur bedingt sein können, können bewirken, dass bei dem selektiven Silicium-CMP-Verfahren unterpolierte Punktdefekte auf der polierten Siliciumfläche zurückgelassen werden.
  • Um diese Punktdefekte während des Silicium-CMP zu vermeiden, kann ein weniger selektives oder nichtselektives Silicium-Polierverfahren angewendet werden, z. B. unter Verwendung einer SiO2-Polierchemie und Anwendung eines SiO2-Polierverfahrens statt einer Silicium-CMP-Polierchemie und eines Silicium-CMP-Polierverfahrens. Durch die Anwendung eines weniger selektiven Silicium-Polierverfahrens werden diese Oberflächen-Punktdefekte nach dem Polieren beseitigt. Ein Beispiel für ein selektives Silicium-Polieren ist ein basisches Medium, z. B. TMAH mit Siliciumdioxid-Schleifmittel mit einem pH-Wert, der hoch genug ist, um Silicium zu lösen, d. h. > 12, welches eine Silicium:SiO2-Selektivität von 50:1 aufweist; ein Beispiel für ein nichtselektives Silicium-Polieren ist ein basisches Medium, z. B. KOH mit einem pH-Wert < 12, der zu niedrig ist, um das Silicium zu lösen, unter Verwendung eines Siliciumdioxid-Schleifmittels. Dieses nichtselektive Silicium-CMP-Verfahren weist Silicium:SiO2-Selektivitäten von weniger als 50:1 auf, welche in einer beispielhaften Ausführungsform im Bereich von 2:1 bis 1:2 liegen.
  • Um ein Polieren in die Pore 20 zu vermeiden, ist es wünschenswert, dass die erste Siliciumabscheidung dick genug ist, um die Pore unterhalb der Oberfläche zu vergraben. Silicium ist für optische Wellenlängen des Lichts opak. Wenn in dem folgenden lithographischen Verfahren, das angewendet wird, um das Silicium zu strukturieren, optische Wellenlängen angewendet werden, sollten durch das Silicium-CMP-Verfahren entweder Ausrichtungsstrukturen bei Verwendung der Drahtebenentopographie oder teilweise gefüllte Ausrichtungsstrukturen bei Verwendung der Damaszener-Ebene 12 nicht vollständig planarisiert werden. Wenn bei den folgenden lithographischen Verfahren Infrarotlicht verwendet wird oder andere Verfahren angewendet werden, bei welchen Elemente unter dem Silicium erkannt werden können, sind diese Vorsichtsmaßnahmen nicht erforderlich.
  • Ein dünnes natives Oxid (z. B. SiO2) bildet sich auf jeder Siliciumfläche, die Luft oder Sauerstoff ausgesetzt ist, sogar bei Raumtemperatur. Wenn das Silicium während der folgenden Bearbeitung geätzt oder ausgetrieben wird, kann durch die Gegenwart dieses nativen Oxids entweder das Ätzen oder Austreiben blockiert werden, oder es kann als SiO2-Dünnschicht weniger Monoschichten auf dem Wafer verbleiben. Um dies zu vermeiden, sollte entweder die Siliciumfläche einer Wasserstoffpassivierung unterzogen werden, indem das Silicium Dampf, Plasma oder flüssiger Fluorwasserstoffsäure (HF) ausgesetzt wird, oder es sollte unmittelbar vor dem Abscheiden der zweiten Siliciumschicht 22 eine Vorreinigung, zum Beispiel eine Argon-Sputter-Vorreinigung mit HF-Vorspannung, durchgeführt werden, ohne den Wafer Luft oder Sauerstoff auszusetzen.
  • Bezug nehmend auf 5, wird ein Isolatormaterial (z. B. Oxid) 24 auf der Schicht 18 abgeschieden. Die Oxidabscheidung kann zum Beispiel ein herkömmliches formanpassungsfähiges Abscheidungsverfahren sein, wobei die Oxidschicht 24 mit einer Tiefe von ungefähr demselben Wert wie die Höhe des Siliciums 18 abgeschieden wird, z. B. etwa 2,3 μm für eine 2,3 Mikrometer dicke Schicht 18. Zum Beispiel kann es sich bei dem Abscheidungsverfahren um ein 400-°C-PECVD-Oxid handeln, welches unter Verwendung von TEOS oder Silan als Siliciumquelle und Sauerstoff oder N2O als Sauerstoffquelle abgeschieden wird, wie auf dem Fachgebiet bekannt ist. Wenn die Dicke der Oxidschicht 24 absichtlich dünner als die Höhe der Siliciumschicht 18 ist, wird die Fläche der Siliciumschicht 18 in dem anschließenden Oxid-CMP-Verfahren, das in 8 dargestellt ist, überpoliert und planarisiert. Wenn umgekehrt die Dicke der Oxidschicht 24 absichtlich dicker als die Höhe der Siliciumschicht 18 ist, wird die Fläche der Siliciumschicht 18 in dem anschließenden Oxid-CMP-Verfahren, das in 8 dargestellt ist, unterpoliert und unterhalb der Oxidfläche vergraben gelassen. Beide Verfahrensmöglichkeiten können in Abhängigkeit davon, wie wichtig die Minimierung des Überpolierens der Siliciumfläche 18 im Vergleich zum Planarisieren der Topographie der Oxidschicht 24 oder Siliciumfläche 18 von den Verdrahtungsebenen 14 ist, wünschenswert sein. In einer beispielhaften Ausführungsform beträgt die Siliciumschicht 18 etwa 2,3 Mikrometer, die Oxidschicht 24 beträgt etwa 2,1 Mikrometer, und der in 7 dargestellte optionale Schritt des Oxid-Zurückätzens weist das Ziel des vollständigen Entfernens des Oxids auf, d. h. > 2,1 Mikrometer. Dies führt dazu, dass bei dem folgenden Oxidpolierverfahren ferner die Siliciumschicht 18 planarisiert wird.
  • In 6 wird ein optionales reverses Ätzen (reverses Damaszener-Verfahren) gemäß Erscheinungsformen der Erfindung durchgeführt. Insbesondere wird ein Resist 26 auf der Oxidschicht 24 abgeschieden und strukturiert, um eine Öffnung 28 zu bilden, wobei die Resist-Ränder 26a die Ränder der darunter angeordneten Schicht 18 überlappen. Das heißt, der Resist 26 maskiert geringfügig die darunter angeordnete Schicht 18. Die Überlappung muss größer als 0 sein und kann zum Beispiel 3 Mikrometer betragen und wird minimiert, um die zum Planarisieren während des folgenden CMP-Verfahrens verbleibende Oxidschicht 24 zu verringern. Wenn die Überlappung negativ ist, wird beim folgenden RIE-Ätzen in den unteren Teil der Oxidschicht 24 geätzt, was zu einem tiefen Graben in Nachbarschaft zu der Siliciumschicht 18 führt, welcher zu Problemen führen könnte, z. B. Restmetall aus der folgenden Verdrahtungsebene innerhalb des tiefen Grabens, was zu Kurzschlüssen elektrischer Drähte in folgenden Ebenen führt, und welcher vermieden werden sollte. Wie dargestellt, ist die Öffnung eine reverse Abbildung der strukturierten Schicht 18.
  • Wie in 7 dargestellt, wird das Oxidmaterial 24 unter Anwendung herkömmlicher RIE-Verfahren geätzt. In Ausführungsformen führt das Ätzverfahren, wie in 7 dargestellt, zu einem „Bilderrahmen” 30, welcher die darunter angeordnete Schicht 18 umgibt. Wenn das Oxidmaterial 24 vollständig herunter bis zur Fläche der Schicht 18 geätzt wird, wird das Oxid-Überpolieren in Bereichen, die von der Schicht 18 entfernt sind, minimiert. Dies kann wünschenswert sein, um das Überpolieren der Schicht 18 zu minimieren, um ihre Abweichungen in der Dicke zu verringern; und um die Möglichkeit zu beseitigen, Restoxid über dem Silicium in dem MEMS-Kondensator oder Kontaktbereich zurückzulassen. Alternativ kann einiges Oxid über der Schicht 18 zurückgelassen werden, wie in 7 dargestellt.
  • In 8 wird das Oxidmaterial 24 planarisiert, z. B. so, dass es mit der darunter angeordneten Schicht 18 planar ist (z. B. eine nahezu flache oder planare Fläche bildet). In Ausführungsformen wird durch dieses Verfahren auch die darunter angeordnete Siliciumschicht 18 planarisiert, was in folgenden Verarbeitungsschritten vorteilhafter Weise zu einer planaren Hohlraumstruktur führt (welche z. B. flache oder planare Flächen aufweist). Das Planarisierungsverfahren kann zum Beispiel ein CMP-Verfahren sein. Unerwarteter Weise, und wie in Bezug auf 25 detaillierter beschrieben wird, können durch das Oxid-CMP Schwankungen der darunter angeordneten Schicht 18 minimiert werden; zum Beispiel können in Abhängigkeit von dem Verdrahtungsabstand durch das Polieren des Oxidmaterials 24 Unebenheiten zwischen den Drähten 14 (z. B. über dem Raum 14a, der zwischen den Drähten 14 gebildet ist) minimiert werden.
  • 25 zeigt verschiedene Topographie-Schaubilder (d. h. Rasterkraftmikroskopie-Daten) für die Silicium-Unebenheits-Tiefe gg. das Oxidpolieren der Fläche der Schicht 18, die in 8 dargestellt ist. Diese Schaubilder betreffen das Polieren der Oxidschicht 24, die zum Beispiel in 8 dargestellt ist. In diesem Beispiel kann die Unebenheit 19 in der Schicht 18 (vgl. z. B. 3 und 8) 250 nm (0,25 μm) hoch sein, welches die Dicke der Drähte 14 ist.
  • Die Schaubilder der 25 zeigen das CMP der Oxidschicht 24 für 30 Sekunden, 60 Sekunden und 90 Sekunden mit unterschiedlichem Verdrahtungsabstand 14a von 0,5 μm, 0,8 μm und 5,5 μm. Diese Schaubilder zeigen die unerwartete Wichtigkeit des Verdrahtungsabstands 14a der Drähte 14, um Topographieschwankungen der Schicht 18 zu minimieren. Zum Beispiel zeigt sich bei einem Schlitz (Abstand) von 0,5 μm und einem CMP des Oxids für 30 Sekunden eine Unebenheitstiefe von 2 nm in der Schicht 18, verglichen mit 5 nm und 10 nm für ein CMP des Oxids für 60 Sekunden bzw. 90 Sekunden. Auch zeigt sich bei einem Schlitz von 0,8 μm mit einem CMP des Oxids für 30 Sekunden eine Unebenheitstiefe der Schicht 18 von 30 nm, verglichen mit 2 nm und 8 nm für ein CMP des Oxids für 60 Sekunden bzw. 90 Sekunden. Außerdem zeigt sich bei einem Schlitz von 5,5 μm mit einem CMP des Oxids für 30 Sekunden eine Unebenheitstiefe von 170 nm, verglichen mit 40 nm und 10 nm für ein CMP des Oxids für 60 Sekunden bzw. 90 Sekunden. Diese Ergebnisse wurden nicht erwartet, da zu erwarten war, dass eine ansteigende CMP-Zeit des Oxids eine Optimierung, d. h. Verringerung der Unebenheitstiefe der Topographie der Schicht 18, zeigt. Diese Unebenheiten in der Schicht 18 replizieren sich unter dem MEMS-Arm, was zu einer MEMS-Arm-Unterseitentopographie führt. Außerdem kann die MEMS-Arm-Unterseitentopographie, welche sowohl aus abgeschiedenem Oxid als auch möglicherweise aus einer oxidierten Naht unter der Unebenheit besteht, eine schlechte Haftung an dem MEMS-Arm mit resultierendem Abblättern während des MEMS-Betriebs aufweisen. Dieses Abblättern kann aufgrund der Gegenwart von abblätterndem Oxid in dem MEMS-Hohlraum unter oder über dem MEMS-Arm zu einer katastrophalen Verschlechterung der MEMS-Kondensator-Ausbeute oder Zuverlässigkeit führen.
  • Dementsprechend weist ein Verfahren zur Verringerung einer Unebenheitstiefe oder der Schwankungen der Siliciumschicht, die für eine MEMS-Struktur verwendet wird, das Bestimmen eines Abstands zwischen Drähten auf, die auf der Siliciumschicht ausgebildet sind. Das Verfahren weist ferner das Ätzen einer Oxidschicht um ein vorgegebenes Maß auf, um die Schwankungen der Siliciumschicht zu minimieren. Das Ätzen für eine vorgegebene Zeit führt für jeden Abstand zu einer optimalen Struktur, verringert z. B. jegliche Schwankungen in der Siliciumschicht. Die Unebenheiten über der Schicht 18, welche sich über Nähten oder Poren in dem Silicium aufgrund der darunter angeordneten Topographie bilden, die durch die Lücke 14a in der Schicht 14 hervorgerufen wird, können die Quelle für Restoxid unter dem MEMS-Arm nach dem Austreiben oder Lösen sein. Zum Beispiel können die Oxidschichten 24 oder 34 unter Anwendung eines PECVD-Verfahrens abgeschieden werden, welches ein oxidierendes Plasma umfasst und gegebenenfalls bei etwa 350°C oder 400°C zur Oxidation der Unebenheit oder der Naht führt. Diese oxidierte Unebenheit oder diese oxidierte Naht CC, wie in 33 dargestellt, kann nach dem Austreiben des Siliciums auf der Unterseite des MEMS-Arms verbleiben, was zu einer Topographie unter dem MEMS-Arm führt, welche entweder teilweise den MEMS-Arm dagegen blockieren kann, mit der unteren Kondensatorelektrode (Draht) 14 in Kontakt zu kommen, oder während der Betätigung oder des Betriebs des MEMS-Arms zerfallen oder abfallen kann, was zu einer Beschädigung des Dielektrikums des MEMS-Kondensators führt. In der optionalen Ausführungsform, die in 4b, 4c und 4d beschrieben ist, wobei die Schicht 18 poliert und mit einer zweiten Siliciumschicht 22 abgedeckt wird, wird dieses Problem beseitigt.
  • Als ein optionaler Schritt, der in 9a dargestellt ist, kann das Oxidmaterial 24 in einer Dicke von etwa 3,3 μm abgeschieden werden, im Vergleich zu den 2,3 μm, die in 5 dargestellt sind. Für diese Ausführungsform ist die Oxidätztiefe ähnlich jener in 7 beschriebenen, ist jedoch ungefähr 1 μm tiefer und muss die Fläche der darunter angeordneten Siliciumschicht 18 frei legen. Die Unebenheit 19 kann z. B. über den Poren 20 ausgebildet sein, die in der Schicht 18 zwischen den Drähten 14 dargestellt sind. Wie in 9a dargestellt, wird das dicke Oxidmaterial 24 auf den Seiten der Schicht 18 abgeschieden, strukturiert und geätzt und durch CMP poliert. In 9b wird auf dem dicken Oxidmaterial 24 und der Schicht 18 zum Beispiel eine Siliciumschicht 32 abgeschieden. Wie bereits erwähnt, sollte auf der Fläche der Schicht 18 vor dem Abscheiden der folgenden Siliciumschicht 32, dargestellt in 9c, ein natives (oder überhaupt ein) Oxid vermieden werden.
  • In 9c wird die Siliciumschicht 32 (und Abschnitte des Oxidmaterials 24) planarisiert wobei herkömmliche Verfahren angewendet werden, wie zum Beispiel CMP, wodurch die Unebenheiten beseitigt oder minimiert werden können. In Ausführungsformen führt dieses Verfahren in nachfolgenden Verarbeitungsschritten vorteilhafter Weise zu einer planaren Hohlraumstruktur (z. B. flache oder planare Flächen). Diese zusätzlichen Schritte, d. h. Siliciumabscheidung, CMP, Abscheidung (4a bis 4c; 9a bis 9c) und das reverse Damaszener-Oxid-CMP-Überpolieren (6 bis 8) oder das nicht reverse Damaszener-Oxid-CMP-Überpolieren (5 und 8) bestimmen sowohl die Mikro- als auch die Makrotopographie des MEMS-Arms. Die Mikrotopographie des MEMS-Arms aufgrund von Unebenheiten über den Siliciumporen wird in Bezug auf 25 weiter erörtert.
  • Ein Beispiel für eine unerwünschte Makrotopographie sind gekrümmte Siliciumflächen 18a und 18b, welche in 9d und 9e dargestellt sind. 9d zeigt die Siliciumflächenkrümmung 18a aufgrund nicht optimierter Planarisierung und zeigt insbesondere ein Beispiel für eine unerwünschte Makrotopographie. Diese konvexe 18a oder konkave 18b Krümmung der Topographie in dem unteren Hohlraum-Opfermaterial 18 kann eine ,eingefrorene' Krümmung des gelösten MEMS-Arms und ein schlechtes Auslösen des MEMS bewirken, d. h. der MEMS-Arm kann um das Hohlraum-Opfermaterial 18 herum gekrümmt sein, was zu einer hohen Krümmung nach dem Lösen des Arms und zu einem schlechten Auslösen oder einer schlechten Kontaktfläche des MEMS-Arms führen kann. Die Krümmung der Siliciumfläche kann durch den Krümmungsradius ROC (Radius of Curvature) definiert werden. Ein Silicium-ROC von weniger als 1 cm ist wünschenswert, und größere ROC-Werte als 5 cm führen aufgrund der verringerten Kontaktfläche der MEMS-Kondensatorfläche und eines größeren Abstands zwischen den beiden MEMS-Kondensatorplatten zu einer Verringerung der Kapazität des MEMS-Kondensators um ungefähr 50%.
  • In 10a können, ausgehend von der Struktur der 8 oder 9c, in der Siliciumschicht 18 über den Verdrahtungen 14 optionale Gräben 33 gebildet werden. Um sicherzustellen, dass das Silicium einheitlich geätzt wird, kann auf den mit Resist strukturierten Wafern vor dem Siliciumätzen ein optionales Oxid-RIE-Verfahren durchgeführt werden. Außerdem kann mit oder ohne das optionale Oxid-RIE-Verfahren eine HF-Reinigung mit Photoresist auf dem Wafer durchgeführt werden, um an der Siliciumfläche vor dem Ätzen des Siliciums eine Wasserstoffpassivierung durchzuführen. In Ausführungsformen werden die Gräben 33 mit einer Tiefe von etwa 0,3 μm in der 2 Mikrometer hohen Schicht 18 (z. B. dem Hohlraum-Opfermaterial 18) gebildet; obwohl in Abhängigkeit von den Designparametern und insbesondere von der Höhe der Schicht 18 für die Erfindung auch andere Abmessungen denkbar sind.
  • Wie bei den erörterten Oxidstiften 16a in 3a ist es der Zweck dieser Damaszener-Oxidstifte oder Gräben 33, einen dielektrischen Stoßfänger zwischen den MEMS-Arm und die untere Drahtebene 14 zu bringen, um eine elektrische Bogenbildung aufgrund der engen Nachbarschaft der Drähte in dem MEMS-Arm und dem Draht 14 während des MEMS-Betriebs zu verhindern. Eine Bogenbildung könnte auftreten, wenn eine hohe Gleichspannung, d. h. 5 bis 100 V, an das MEMS-Stellelement angelegt wird, zum Beispiel in dem Draht 14. Um die Möglichkeit der elektrischen Bogenbildung zu vermeiden, könnte die folgende MEMS-Arm-Metallschicht in engem Kontakt zu dem Boden des Grabens 33 entfernt werden, wie in 10b und 10c dargestellt. Beim Oxidstift 33a ist die folgende MEMS-Arm-Metallschicht 38 aus dem Design herausgenommen, während beim Oxidstift 33b die Metallschicht 38 in dem Design verbleibt.
  • Die folgende Metallschicht 38, welche verwendet wird, um die untere Elektrode des MEMS-Arms zu bilden, kann entweder so strukturiert werden, dass sie den Oxidstift 33 bedeckt, oder so, dass sie ihn unbedeckt lässt. Wenn er unbedeckt ist, wird die Wahrscheinlichkeit der Bogenbildung oder einer anderen Dielektrikumsbeschädigung zwischen den Platten des Stellelements verringert; wenn er bedeckt ist, d. h. das Metall sich in den Oxidstift 33 hinunter erstreckt, kann die Effektivität des Oxidstifts beim Verringern der Bogenbildung oder Dielektrikumsbeschädigung des Stellelements herabgesetzt sein. Wenn der Oxidstift 33 nicht von der Metallschicht 38 bedeckt ist und aufgrund des gewählten Verfahrens eine Stufe hinunter in den Stift vorliegt, kann entlang der Seitenwand des Oxidstifts ein dünner Metallabstandhalter zurückbleiben. Da dieser Metallabstandhalter nicht mit der Elektrode 38 in Kontakt steht, ist er unwichtig.
  • Es kann eine nahezu rechtwinklige oder abgerundete untere Ecke des Oxidstifts verwendet werden. Um den Boden des Stifts abzurunden, was wünschenswert ist, wenn das folgende MEMS-Arm-Metall 38 über dem Stift vorliegt, kann die HF-Vorspannung auf dem Wafer während des Siliciumätzverfahrens auf Argon-SF6-Basis verringert oder weggelassen werden, und der Argonstrom kann verringert werden. Der Oxidstift 33 kann entweder vor oder nach dem reversen Hohlraum-Planarisierungsverfahren strukturiert und geätzt werden. Wenn dies danach erfolgt, werden die Tiefenschwankungen allein durch die Schwankungen der Siliciumätztiefe und nicht durch den reversen Hohlraum-Oxid-CMP-Planarisierungsschritt gesteuert. Wenn dies alternativ vor dem reversen Hohlraum-Oxidplanarisierungs-Oxidabscheidungsschritt erfolgt, liegt aufgrund der Schwankungen der CMP-Entfernung eine zusätzliche Komponente der Höhenschwankungen vor; er wird jedoch mit planarisiertem Oxid gefüllt oder teilweise gefüllt, wodurch die Trennung oder der Abstand der folgenden Metallebene 38 von der Stellelement-Metallebene 14 erhöht wird, wenn der Oxidstift von dem Metall bedeckt ist.
  • In 11 wird auf der Struktur der 10a die Abscheidung eines oberen Kondensatordielektrikums oder Oxids durchgeführt. Insbesondere kann bei diesem Abscheidungsschritt Oxidmaterial 34 in einer Höhe von etwa 80 nm abgeschieden werden; obwohl für die vorliegende Erfindung auch andere Abmessungen denkbar sind, wie zuvor bereits erörtert. Das MEMS-Kondensator-Dielektrikum weist, wenn der MEMS-Arm betätigt wird, Dielektrikumsschichten 16 und 34 auf, welche aufgrund der Oberflächenrauheit und Hügel der MEMS-Kondensator-Elektroden durch eine kleine Lücke getrennt sind. In den Oxidmaterialien 24 und 34 kann eine abgeschrägte Durchkontaktierung 36 zu dem darunter angeordneten Draht 14' ausgebildet sein. Die abgeschrägte Durchkontaktierung 36 kann unter Anwendung herkömmlicher Lithographie-, Ätz- und Reinigungsverfahren gebildet werden, welche dem Fachmann bekannt sind. Bei der abgeschrägten Durchkontaktierung sollte sorgfältig darauf geachtet werden, dass die darunter angeordnete TiN-, TiAl3- oder AlCu-Fläche nicht übermäßig oxidiert wird, was zu einem hohen Durchkontaktierungswiderstand führen kann. Gegebenenfalls kann das Ablösen des Photoresists nach dem Durchkontaktierungs-RIE bei niedriger Temperatur, d. h. 100°C, durchgeführt werden, um die Oxidierung zu minimieren. Alternativ könnte eine Damaszener-Wolframstift-Durchkontaktierung hergestellt werden, wie auf dem Fachgebiet bekannt ist. Durch die Verwendung einer abgeschrägten Durchkontaktierung 36 wird die CMP-Belastung der Siliciumfläche verringert, was zu geringeren Schwankungen der Dicke des Siliciums 18 führt, wodurch das Polieren oder Beschädigen des oberen MEMS-Kondensator-Isolators 34 vermieden wird sowie eine geringere Wahrscheinlichkeit des Bildens einer tiefen Unebenheit besteht. Da die Dicke der Siliciumschicht 18 die Einzugsspannung der MEMS-Einheit bestimmt, ist die Minimierung ihrer Schwankungen wünschenswert. Man beachte, dass die abgeschrägte Durchkontaktierung 36 außerhalb des Silicium-Hohlraum-Bereichs verwendet werden sollte, weil die Oxidätzbehandlung, die zu ihrer Herstellung angewendet wird, durch die Siliciumschicht 18 blockiert würde, wenn sie innerhalb des Silicium-Hohlraums angeordnet wäre. Wenn das folgende Metallabscheidungsverfahren, welches für den Draht 38 angewendet wird, eine schlechte Formanpassungsfähigkeit oder Seitenwandbedeckung aufweist, muss das Seitenverhältnis der abgeschrägten Durchkontaktierung niedrig sein, z. B. 0,5:1. Für einen 2 Mikrometer dicken Isolator 24 könnte eine 4 Mikrometer breite abgeschrägte Durchkontaktierung 36 verwendet werden. Wenn alternativ ein formanpassungsfähiges Aluminiumverfahren, d. h. ein PVD- oder CVD-Verfahren mit heißem Rückfluss, angewendet wird, könnte für die abgeschrägte Durchkontaktierung 36 ein höheres Seitenverhältnis verwendet werden.
  • In 12 wird über dem Oxidmaterial 34 ein Draht der Elektrode 38 gebildet und strukturiert und auch innerhalb der Durchkontaktierung 36 abgeschieden, damit er mit dem darunter angeordneten Draht 14' in Kontakt steht. Die Elektrode 38 kann auch in den Gräben 33 abgeschieden werden; zu Zwecken der Veranschaulichung ist die Elektrode jedoch nicht in dem Graben 33 der 12 dargestellt (obwohl die Elektrode 38 in den folgenden Figuren als in dem Graben ausgebildet dargestellt ist). In Ausführungsformen kann es sich bei der Elektrode zum Beispiel um AlCu handeln; obwohl für die Erfindung auch andere Materialien denkbar sind. In Ausführungsformen kann es sich bei der Elektrode 38 neben anderen Materialien zum Beispiel um TiN, TiN oder W, Ru, Pt, Ir handeln. Die Dicken dieser und anderer Elektroden und/oder Drähte können in Abhängigkeit von den speziellen Designparametern variieren. Zum Beispiel könnten Ti/AlCu/Ti/TiN-Schichten mit Dicken von 10 nm, 480 nm, 10 nm bzw. 32 nm verwendet werden, wodurch nach dem Tempern bei 400°C TiAl3 unter und über dem AlCu gebildet würde. Um etwaige Hügel zu minimieren, kann in Ausführungsformen eine optionale Ti-Schicht in direktem Kontakt mit Al abgeschieden und/oder gebildet werden, wie bereits erörtert. In diesem Fall sollten die Hügel auf der unteren Fläche des Drahts (Elektrode) 38 unterdrückt werden, im Gegensatz zu der oberen Fläche. Alternativ könnte die Elektrode 38 aus einem Edelmetall, z. B. Au; oder einem hitzebeständigen Metall, z. B. W oder Ta; oder ohne eine Ti-AlCu-Grenzfläche gebildet werden, z. B. Ti/TiN/AlCu/TiN.
  • In 13 wird über der Elektrode 38 formangepasst ein Isolatormaterial 40 abgeschieden. In Ausführungsformen ist das Isolatormaterial 40 ein unter Anwendung eines der oben beschriebenen Verfahren abgeschiedenes Oxid, welches in Abhängigkeit von den Anforderungen für die Federkonstante des Arms und das Verhältnis der Dicke des Oxids zum Metall in einer Höhe von etwa 0,5 bis 5 μm abgeschieden wird. In einer beispielhaften Ausführungsform ist das Isolatormaterial 400-°C-PECVD-2 μm-Oxid und weist eine gut kontrollierte Restspannung und Dicke auf. In Ausführungsformen werden in dem Isolatormaterial 40 abgeschrägte Durchkontaktierungen 42 gebildet, um Abschnitte der darunter angeordneten Elektrode 38 auf eine Weise ähnlich wie bei den zuvor gebildeten Durchkontaktierungen 36 frei zu legen. Alternativ könnten Wolframstift-Durchkontaktierungen hergestellt werden, mit dem Nachteil, dass die Schwankungen der Dicke der Schicht 40 aufgrund variabler CMP-Abtragung der Isolatorschicht 40 verschlechtert werden. Eine Variation in der Dicke oder Restspannung der Isolatorschicht 40 führt zu Schwankungen der Federkonstante und des Spannungsgradienten in dem gesamten MEMS-Arm, welche die Krümmung und Biegung des Arms negativ beeinflussen können.
  • Wie in 14 dargestellt, wird über der Isolatorschicht 40 eine obere Elektrode 44 gebildet und strukturiert und auch innerhalb der Durchkontaktierungen 42 abgeschieden, damit sie mit der unteren Elektrode 38 in Kontakt steht. In Ausführungsformen ist die obere Elektrode 44 aus denselben Materialien wie die untere Elektrode 38 gebildet; in einer beispielhaften Ausführungsform sind die oberen Elektroden 28 und 44 aus Ti/AlCu/Ti/TiN zusammengesetzt. Für Wolframstift-Durchkontaktierungen lehrt der Stand der Technik, dass die oberste TiN-Schicht nach dem Durchkontaktierungs-Ätzen auf den Drähten belassen werden sollte. Für die abgeschrägten Durchkontaktierungen, die mit diesen MEMS-Strukturen verwendet werden, kann es wünschenswert sein, die TiN-Schicht vor dem Abscheiden des Elektrodenmetalls 28 und 44, d. h. Ti/AlCu/Ti/TiN, vollständig zu entfernen, entweder durch Ätzen unter Verwendung einer TiN-RIE-Chemie, Sputtern unter Anwendung eines Argon-Sputterverfahrens oder eine Kombination von beidem, um die Möglichkeit für Ausreißer des Durchkontaktierungswiderstands nach oben zu beseitigen. In Ausführungsformen sollte das Metallvolumen der Elektroden 38 und 44 dasselbe oder im Wesentlichen dasselbe sein, um das Gesamtvolumen und die Spannung der Einheit auszugleichen und somit keine unnötigen Spannungen auf die Arme der MEMS-Strukturen zu bringen. Das Metallvolumen wird sowohl durch die Metalldicke als auch durch das Layout bestimmt. Wenn für die Elektroden 38 und 44 identische Layouts verwendet werden, weisen diese dasselbe Volumen auf, wenn ihre Dicken dieselben sind. Wenn für die untere Elektrode 38 ein geschlitztes oder gelochtes Layout verwendet wird, muss die obere Elektrode dünner gemacht werden, um die Metallvolumen in Übereinstimmung zu bringen. In Ausführungsformen kann die Dicke der unteren oder oberen Elektrode 44 erhöht oder verringert werden, um absichtlich einen Spannungsgradienten in den Arm zu bringen, welcher bewirken kann, dass der Arm nach dem Lösen nach oben oder unten gebogen wird; oder um die Armbiegung zu verändern, die von der sich ändernden Temperatur verursacht wird, wie oben erörtert. In den vorstehenden Ausführungen wird angenommen, dass die Elektroden 38 und 44 aus einer einzigen identischen Metalldünnschicht bestehen. In Wirklichkeit sind die Elektroden, wie oben erörtert, aus mehreren Metallschichten zusammengesetzt, jede mit anderem Wärmeausdehnungskoeffizient (Coefficient of Thermal Expansion, CTE) und anderen mechanischen Eigenschaften, und wenn das Layout oder die Dicke variiert wird, ist es nahezu unmöglich, ihre mechanischen Eigenschaften genau in Übereinstimmung zu bringen. Wenn der AlCu-Teil der Elektroden 38 und 44 viel dicker als die Komponenten aus hitzebeständigem oder anderem Metall ist, können der CTE und die anderen mechanischen Eigenschaften in erster Ordnung näherungsweise durch jene der AlCu-Dünnschicht angenähert werden.
  • Wenn die Layouts der oberen und unteren Elektroden 38 und 44 asymmetrisch oder unterschiedlich sind, könnte die Dicke der Elektrode mit einem niedrigeren Strukturfaktor (d. h. weniger Metall) erhöht werden, um das Metallvolumen auszugleichen. Ein Beispiel für eine asymmetrische obere und untere Elektrode ist in 28 dargestellt. In dieser Darstellung sind aus der unteren MEMS-Elektrode 200 Rautenformen (oder andere strukturierte Formen) entfernt, um die Wahrscheinlichkeit der Bildung von Metallhügeln zu verringern. Da die Fläche der unteren MEMS-Elektrode 200 geringer als die Fläche der oberen MEMS-Elektrode 210 ist, wäre das Metallvolumen in jeder Elektrode nicht ausgeglichen, wenn die Metalldicke für die Elektroden 200 und 210 identisch wäre. Der Ausgleich des Metallvolumens der oberen und unteren Elektrode ist sowohl für Kragarm- als auch für Brücken-MEMS-Arme wichtig, weil der Wärmeausdehnungskoeffizient (CTE) des Armmetalls, z. B. Aluminium, viel höher als der CTE von SiO2 ist.
  • In Ausführungsformen könnten MEMS-Elektroden mit verschiedenen Flächen teilweise ausgeglichen sein. Wenn zum Beispiel die untere MEMS-Arm-Elektrode 80% weniger Fläche als die obere MEMS-Arm-Elektrode aufwiese, könnte die untere Elektrode um 10% dicker gemacht werden, um das Metallvolumen in den beiden Elektroden teilweise wieder auszugleichen. Das absichtliche Schaffen eines Ungleichgewichts zwischen den Metallvolumen in den beiden Elektroden kann zu einem MEMS-Arm-Biegen nach dem Lösen oder Austreiben führen, welches bewirkt, dass der Arm nach oben oder unten in eine wünschenswerte Position gebogen wird, oder es kann das MEMS-Arm-Biegen über einen angewendeten Betriebstemperaturbereich, z. B. –55°C bis 125°C, oder einen beliebigen normalen Betriebstemperaturbereich für montierte Chips minimieren, wie unten beschrieben. Die MEMS-Hohlraum-Stelllücke wird vergrößert oder verkleinert, wenn sich der MEMS-Arm nach oben oder unten biegt; und die Krümmung des Arms, welche die Kontaktfläche verringern und die Kapazität herabsetzen kann, kann sich ändern, wenn sich der MEMS-Arm mit ändernder Temperatur ausdehnt oder zusammenzieht. Das Minimieren der MEMS-Arm-Biegung über Chip-Betriebstemperaturen ist wünschenswert, weil die Stellspannung umgekehrt proportional zu der MEMS-Hohlraum-Lücke ist.
  • Wenn die Bewegung des ausgetriebenen MEMS-Arms durch die Abdeckung eingeschränkt ist, entweder wegen des Abdeckungsniets AA, oder weil die Abdeckung an die Abdeckung BB gebunden ist (vgl. 31), löst der MEMS-Arm nicht wie erwartet aus und ist teilweise oder vollständig außer Funktion. Das in 16 dargestellte regressive Abdeckungsoxidprofil weist das größte Ausmaß der Regression in den Ecken der Durchkontaktierungen 42 und 48 auf. Um dieses zu verringern, können die Durchkontaktierungen innerhalb der Ecken des MEMS-Hohlraums 42 und 48 abgerundet oder angeschnitten werden, wie in 32 dargestellt, wodurch die Wahrscheinlichkeit verringert wird, dass das Abdeckungsoxid den MEMS-Arm heftet. 30e zeigt eine nicht regressive Siliciumabscheidung mit abgeschrägten Seitenwandprofilen für den oberen Silicium-Hohlraum. Dieses formanpassungsfähige Siliciumabscheidungsverfahren kann zum Beispiel erhalten werden, indem mehrere Schritte der PVD-Siliciumabscheidung und des Wafer-Zurückätzens mit HF-Vorspannung durchgeführt werden, vorzugsweise in situ, d. h. in derselben Kammer, oder ex situ, d. h. mit Transfer zwischen einer Abscheidungs- und Zurückätzkammer während der Siliciumabscheidung, um ein um ungefähr 45 Grad abgewinkeltes Siliciumabscheidungsprofil zu erhalten. Sobald der 45-Grad-Winkel erreicht ist, z. B. nach etwa 0,3 μm Nettoabscheidung über einem 0,3 μm hohen Element (3) oder nach etwa 1 μm Nettoabscheidung über einem viel tieferen Element (16), kann der Rest der Abscheidung entweder aus einer normalen nicht vorgespannten Silicium-Dünnschicht oder einer Kombination dickerer nicht vorgespannter Silicium-Dünnschichten mit weniger häufigen Zurückätzschritten bestehen, was erforderlich sein kann, um eine oxidierte Naht in dem Silicium aufgrund der darunter angeordneten Topographie zu beseitigen. Das Ziel dieser Siliciumabscheidungs-/Zurückätzverfahren ist es, sowohl eine regressive Überhangstruktur zu beseitigen als auch eine Naht in dem abgeschiedenen Silicium wegen der nachfolgenden Topographie zu verringern oder zu beseitigen (35a). (Diese wird mit 35b verglichen, welche eine Oxidnaht zu der Ecke der MEMS-Struktur zeigt.) In diesem nicht regressiven PVD-Siliciumabscheidungsverfahren wird eine Abscheidung bei niedrigerem Kammerdruck für die Boden- und Seitenwandabscheidung kombiniert, und es wird bei höherem Kammerdruck geätzt, wobei an den Wafer eine HF-Vorspannung angelegt wird, um das Ätzen der oberen Fläche und der Ecken zu maximieren. Diese Schritte der Niederdruckabscheidung und des Hochdruck-Zurückätzens werden nacheinander wiederholt, bis eine gewünschte Dicke erreicht ist. In einer beispielhaften Ausführungsform liegen die Werte für die Dicke des Abscheidungsschritts bei niedrigerem Druck, z. B. < 6 mTorr, und des Zurückätzschritts bei hohem Druck, z. B. > 10 mTorr, in der Größenordnung von 10 bis 50 nm für die Abscheidung und 5 bis 25 nm für das Ätzen, z. B. ist die Siliciumentfernung durch Zurückätzen geringer als die abgeschiedene Dicke, und, wie unten angeführt, die Dicke der ersten Siliciumschicht kann zum Beispiel auf 50 oder 100 nm erhöht werden, um ein Sputtern in die Ecken von Elementen zu vermeiden. Außerdem ermöglicht diese Sequenz eine erhöhte Dünnschichtdichte auf der Seitenwand und abgeschrägten Flächen. Anschließend wird die Oberfläche des Si minimiert, wobei das Maß der Oberflächenoxidation verringert wird. Alternativ könnte ein gleichzeitiges PVD-Siliciumabscheidungs- und Zurückätzverfahren angewendet werden, wobei das Sputter-Target zum Sputtern des Siliciums vorgespannt wird und der Wafer vorgespannt wird, um 45-Grad-Seitenwandwinkel zu erzeugen. Dies ist entscheidend, um eine stabile Austreibleistung des Si zu erreichen, da jede Oxidation die Austreibgeschwindigkeit des Si-Hohlraums verringert.
  • Der gewünschte 45-Grad-Eckenwinkel wird durch den wiederholten Argon-Sputter-Zurückätz-Schritt erhalten, und nachdem er erhalten ist, könnte das Siliciumabscheidungsverfahren zu einem normalen Abscheidungsverfahren ohne Argon-Sputter-Schritte zurückkehren. Dieses Siliciumabscheidungsverfahren mit Vorspannung könnte auch auf die untere Silicium-Hohlraum-Schicht 18 angewendet werden, um Poren und Nähte in dem Silicium zu vermeiden. Beim Sputter-Ätzen des Siliciums während des anfänglichen Dünnschicht-Abscheidungsschritts sollte vorsichtig gearbeitet werden, um das Sputtern von Isolator oder anderen Materialien von den Ecken der Elemente zu vermeiden. Die Ecke 405 in 30e könnte durch dieses In-situ- oder Ex-situ-Sputterverfahren auf 45 Grad angeschnitten werden, was zu einer Neuabscheidung der Oxidschicht 46 in dem Silicium führt, wodurch eine Schwierigkeit beim Silicium-Austreiben aufgrund der Gegenwart von SiO2 in dem Silicium entsteht. Um das Sputtern der frei liegenden Ecken während der anfänglichen Siliciumabscheidung zu vermeiden, kann eine anfängliche Siliciumschicht ohne Vorspannung abgeschieden werden, z. B. 50 nm oder 100 nm.
  • Wenn der gelöste MEMS-Arm erwärmt oder gekühlt wird, biegt er sich nach oben oder unten, da sich die Elektrode mit dem größeren Metallvolumen stärker als die Elektrode mit dem geringeren Metallvolumen ausdehnt oder zusammenzieht. 29 und Tabelle 2 zeigen quantitativ das Verbiegen eines MEMS-Brückenarms gegen die Temperatur für Arme, bei welchen das in 28 dargestellte Layout verwendet wird. Wie oben erwähnt, verbiegt sich der MEMS-Arm wegen der CTE-Unterschiede zwischen dem Oxid und dem Metall in dem Arm. Das vorherrschende Metall in dem Arm, z. B. Aluminium, weist eine Fließspannungstemperatur von 150°C bis 250°C auf. Die Fließspannungstemperatur tritt auf, wenn sich die Restspannung in dem Aluminium nicht mehr mit der Temperatur verändert, wie auf dem Fachgebiet bekannt ist. Bei der Fließspannungstemperatur, kann das Verbiegen entweder abflachen oder, typischer, die Richtung umkehren (29 Kurve B oder E). MEMS-Brückenarme mit ausgeglichenem Metallvolumen weisen ein minimales Verbiegen mit der Temperatur auf; Arme mit größerem Volumen der oberen Elektrode biegen mit steigender Temperatur nach oben; Arme mit größerem Volumen der unteren Elektrode biegen mit der Temperatur nach unten. Man beachte, dass, wenn das Biegen des MEMS-Brückenarms stark genug ist, der Arm durch die Abdeckung über dem MEMS-Arm oder die feststehende Elektrode unter dem MEMS-Arm beschränkt ist (29 Kurve A oder F). Das am meisten wünschenswerte Verhalten des MEMS-Arm-Biegens mit der Temperatur ist eines, wobei das gesamte Verbiegen aus den oben beschriebenen Gründen minimiert ist. Dies kann durch Verwendung von MEMS-Arm-Dicken erreicht werden, so dass das MEMS-Biegungsprofil über den interessierenden Temperaturbereich anfänglich ein Verbiegen nach oben und dann ein Verbiegen nach unten aufweist, d. h. 29 Kurve C; oder anders herum. Das Erreichen einer MEMS-Arm-Biegungskurve wie dieser kann erforderlich machen, dass das untere und obere Elektrodenvolumen absichtlich ins Ungleichgewicht gebracht wird.
  • In einer beispielhaften Ausführungsform beträgt das Strukturfaktorverhältnis der unteren Elektrode 38 zur oberen Elektrode 44 0,8:1; das Armoxid ist 2 μm dick, die untere Elektrode weist eine Gesamtdicke von 0,56 μm auf, wobei die Dicke des nicht umgesetzten AlCu 450 nm beträgt, und die untere Elektrode weist eine Gesamtdicke von 0,48 μm auf, wobei die Dicke des nicht umgesetzten AlCu 370 nm beträgt. Diese Kombination führt zu Elektroden 38 und 44 mit nicht ausgeglichenem Volumen, d. h. das Volumenverhältnis der Elektroden 38 und 44 beträgt 0,93:1, und zu einer minimierten Armverbiegung mit der Temperatur über den interessierenden Temperaturbereich, qualitativ ähnlich wie die Kurve C in 29. TABELLE 2
    Kurve Dickenverhältnis untere:obere Elektrode (Layoutfläche der unteren Elektrode ist 20% geringer als die der oberen Elektrode) Biegen bei Fließspannungspunkt des Metalls Kommentar
    A 1:1,5 +3 μm Biegen nach oben eingeschränkt durch Abdeckung
    B 1:1 +2,2 μm
    C 1:0,9 +0,8 μm
    D 1:0,8 –0,1 μm
    E 1:0,7 –1,0 μm
    F 1:0,5 –2 μm Weiteres Biegen nach unten eingeschränkt durch befestigte untere Elektrode
  • Dieses MEMS-Arm-Biegen nach dem Lösen kann zu zwei Problemen führen, wie oben erwähnt:
    • a. während des normalen Chipbetriebs, z. B. von etwa –55°C bis 125°C, vergrößert oder verkleinert das MEMS-Arm-Biegen die Stelllücke, was zu einer entsprechenden Veränderung der Stellspannung führt; und
    • b. wenn der gelöste MEMS-Arm auf hohe Temperaturen erwärmt wird (z. B. > 150°C, z. B. 400°C), was aufgrund der normalen Verarbeitung wahrscheinlich ist, nachdem das Opfermaterial ausgetrieben oder entfernt ist, biegt der gelöste MEMS-Arm aufgrund der unterschiedlichen Wärmeausdehnung zwischen der oberen und unteren MEMS-Arm-Elektrode und dem Armoxid nach oben, nach unten oder beides, und wenn die Verbiegung stark genug ist, ist er durch die Abdeckung über dem MEMS-Arm oder die feststehende Elektrode unter dem MEMS-Arm eingeschränkt. Durch die Einschränkung des MEMS-Arms während des Temperns kann eine unerwünschte Krümmung ,eingefroren' werden, was zu einem MEMS-Arm führt, der gekrümmt ist (also nicht flach). Ein gekrümmter MEMS-Arm weist eine verringerte Kontaktfläche auf, was zu einer verringerten Kapazität führt. Außerdem kann, wenn die Kraft, die von dem MEMS-Arm ausgeübt wird, der entweder gegen die feststehende Elektrode unter dem Arm oder die Abdeckung über dem Arm drückt, zu hoch ist, entweder der MEMS-Arm oder die Abdeckung brechen, was zu einem katastrophalen Versagen der MEMS-Einheit führt.
  • In 15 wird auf der oberen Elektrode 45 und frei liegenden Abschnitten des Isolatormaterials 40 ein Isolatormaterial 46 abgeschieden. In Ausführungsformen wird das Isolatormaterial 46 in einer Dicke von etwa 80 nm abgeschieden; obwohl für die vorliegende Erfindung auch andere Abmessungen denkbar sind. Um den MEMS-Arm auszugleichen, sollte das Isolatormaterial 46 über dem MEMS-Arm im Wesentlichen dieselbe Dicke wie das Isolatormaterial 34 unter dem MEMS-Arm aufweisen. Dieser Ausgleich der Dicke der Schichten 34 und 46 sollte jede weitere Abscheidung von Dielektrikum auf der Schicht 46 einschließen, welche während des folgenden Schritts der Versiegelung der Austreiböffnung durch Abscheidung von Dielektrikum erfolgt. Durch die Isolatormaterialien 34, 40 und 46 hindurch durch Strukturieren und Ätzen durch die Isolatoren hindurch wird eine Hohlraum-Durchkontaktierung 48 zu der darunter angeordneten Schicht 18 gebildet. In Ausführungsformen kann jegliches unerwünschte Oxid auf dem Silicium, z. B. ein natives Oxid, welches dadurch gebildet wird, dass das Silicium 18 der Luft ausgesetzt wird, vor der folgenden Siliciumabscheidung durch Reinigen entfernt werden, zum Beispiel unter Verwendung einer HF-Säure. Es ist wünschenswert, jedoch nicht erforderlich, dass der Seitenwandwinkel der Hohlraumdurchkontaktierung 48 abgeschrägt ist, um die Seitenwandbedeckung der folgenden Siliciumabscheidung zu verbessern und die Naht oder Pore in dem Silicium zu verringern.
  • In 16 wird auf der Struktur der 15 die Siliciumschicht 50 abgeschieden. In Ausführungsformen kann die Siliciumschicht 50 in einer Dicke von etwa 4 μm abgeschieden werden, obwohl für die vorliegende Erfindung auch andere Abmessungen denkbar sind. Wie in 16 dargestellt, wird die Siliciumschicht 50 so abgeschieden, dass sich die Topographie der Siliciumschicht 50 gemäß den darunter angeordneten Elementen ändert. Die Siliciumschicht 50 kann über den Durchkontaktierungen 42 und 48 ein regressives Profil zurücklassen. Während der folgenden Oxidabscheidung kann das Oxid die regressiven Strukturen in nietenartiger Weise füllen, so dass über den Durchkontaktierungen 42 und 48 ein nietenförmiger Oxidstift vorliegt. Dieses nietenförmige Oxidelement in der Abdeckung kann den MEMS-Arm nach dem Lösen heften. Um dieses Heften des MEMS-Arms zu vermeiden, muss entweder das Abscheidungsverfahren der Siliciumschicht 50 optimiert werden, um diese Form zu vermeiden (30e), oder es ist eine Siliciumschicht 50 erforderlich, die dick genug ist, um die Durchkontaktierungsöffnungen 42 und 48 abzuschnüren oder teilweise abzuschnüren (30d); eine Siliciumabscheidung, CMP und eine anschließende Siliciumabscheidung sind erforderlich, ähnlich wie zuvor für die Siliciumschicht 18 beschrieben, oder eine Kombination der obigen Alternativen. Auch steht die Siliciumschicht 50, wie in 16 dargestellt, durch die Durchkontaktierung 48 mit der darunter angeordneten Schicht 18 in Kontakt. In Ausführungsformen ist aufgrund der HF-Säure-Reinigung zwischen den beiden Siliciumschichten (z. B. der Schicht 18 und der Schicht 50) kein Oxid vorhanden. In möglichen Ausführungsformen weist die Siliciumschicht 50 eine Anfangsdicke von 3 Mikrometern auf, durchläuft eine CMP-Entfernung von 1 Mikrometer und weist eine zweite Siliciumabscheidung auf, um eine Dicke von 4 μm zu erreichen.
  • In einer möglichen Ausführungsform, die in 17 dargestellt ist, kann die Siliciumschicht 50 einem optionalen lithographischen und RIE-Verfahren unter Verwendung einer reversen Maske ähnlich dem oben beschriebenen unterzogen werden. Durch diese reverse Maske wird Photoresist über den Durchkontaktierungen 42 und 48 angeordnet, so dass, wenn das Silicium 50 unter Anwendung eines RIE- oder eines nasschemischen Siliciumätzverfahrens und durch anschließendes Ablösen des Resists und Reinigen zurückgeätzt wird, die Topographie für einen folgenden CMP-Schritt vorab reduziert würde. Die Formen der reversen Maske müssen die Durchkontaktierungsöffnungen 42 und 48 vollständig bedecken, so dass keine Gräben entlang ihren Seitenwänden geätzt werden, wie bereits in Bezug auf 6 beschrieben.
  • 18a zeigt das Strukturieren und Ätzen der Siliciumschicht 50 unter Anwendung von ähnlichen Verfahren wie jenen zuvor in Bezug auf 3 beschriebenen. In 18a wird die Siliciumschicht 50 einem CMP-Verfahren, um die Siliciumfläche zu planarisieren oder teilweise zu planarisieren, und anschließend einem Reinigungsverfahren unterzogen. Wie zuvor erwähnt, kann jedes Siliciumpolierverfahren angewendet werden, und wenn ein Verfahren mit geringer oder ohne Selektivität gegenüber SiO2 angewendet wird, wird die Wahrscheinlichkeit von Punktdefekten auf der Siliciumfläche beseitigt. In dieser Ausführungsform wird die Siliciumschicht 50 derart strukturiert, dass die Siliciumschicht 50 innerhalb der zuvor gebildeten Durchkontaktierung 48 und den gebildeten Gräben 46 bleibt. In Ausführungsformen kann die Siliciumschicht 50 unter Anwendung eines herkömmlichen CMP-Verfahrens mit oder ohne Strukturierungs- und Ätzverfahren mit reverser Maske planarisiert werden.
  • Sowohl für das CMP allein als auch für das Zurückätzen mit reverser Maske, gefolgt vom CMP, könnte nach einer HF-Reinigung eine optionale zweite Siliciumabscheidung durchgeführt werden. Alternativ kann die Abscheidung der Siliciumschicht 50 so optimiert werden, dass dadurch die Durchkontaktierungen 42 und 48 formangepasst gefüllt werden; oder die Durchkontaktierungen 42 und 48 abgeschnürt werden, wie oben und unten beschrieben. Hierdurch wird sichergestellt, dass sich die folgende Abdeckungsschicht 54 nicht in ein nietenartiges Element erstreckt, welches über den Durchkontaktierungen 42 und 48 gebildet wird und welches möglicherweise zu einem Drücken gegen einen MEMS-Arm führen kann, wie oben beschrieben. Auch führt dieses Verfahren in Ausführungsformen vorteilhafter Weise in folgenden Verarbeitungsschritten auch zu einer planaren oder im Wesentlichen planaren Hohlraumstruktur (z. B. zu flachen oder planaren Flächen).
  • Der optionale Schritt der 17 kann das folgende Ätzen/Planarisieren der Siliciumschicht 50 unterstützen. Man beachte, dass durch kein CMP oder keine andere Planarisierung der Siliciumschicht 50 alle Elemente auf dem Wafer vollständig planarisiert werden können, wenn für die folgende lithographische Ausrichtung eine optische Wellenlänge verwendet wird. Um eine vollständige Planarisierung zu vermeiden, könnten die Durchkontaktierungen 42 und 48 in Bereichen außerhalb der funktionellen integrierten Schaltung derart gestapelt sein, dass, selbst wenn das Silicium über den Durchkontaktierungen 42 und 48 planarisiert wird, es nicht über gestapelten Durchkontaktierungsstrukturen 42 und 48 planarisiert wird.
  • Wie in 19a dargestellt, kann das Oxidmaterial 52 derart planarisiert werden, dass über der Siliciumschicht 50 Oxid zurückbleibt (19a), oder es kann mit der darunter angeordneten Siliciumschicht 50 planar sein, ähnlich wie es zuvor in 8 gezeigt wurde. Ob die Oxidschicht 52 bis zur Fläche der Siliciumschicht 50 zurückgeätzt wird oder nicht, kann erforderlich sein, dass zusätzliches Dielektrikum abgeschieden wird, um die erforderliche Dicke der Oxidabdeckung über dem MEMS-Hohlraum zu bilden, wie unten beschrieben. Alternativ kann die Oxidschicht 52 teilweise planarisiert werden, wie in 19b dargestellt; oder unplanarisiert gelassen werden. Als optionaler Schritt sehr ähnlich wie der in 9a gezeigte, kann das Oxidmaterial in einer Dicke von etwa 5 μm abgeschieden werden, im Vergleich zu den 2,3 μm, wobei auf dem dicken Oxidmaterial zum Beispiel eine Si-Schicht abgeschieden wird. Die Si-Schicht (und Teile des Oxidmaterials 52) werden unter Anwendung herkömmlicher Verfahren planarisiert, zum Beispiel CMP. Durch das Abscheidungsverfahren des Oxidmaterials 52 sollten die Zwischenräume der Drahtebene 44 ausreichend gefüllt werden, so dass Poren in dem Oxid nicht die CMP-planarisierte Oxidfläche überschneiden, zum Beispiel durch Abscheiden der anfänglichen Oxiddünnschicht mit HDPCVD, um die Zwischenräume zu füllen, Abscheiden/Ätzen/Abscheiden von Oxid, oder von Oxid auf PECVD-TEOS-Basis, entweder für die anfängliche Oxidabscheidung oder für die gesamte Dünnschicht. Bei all diesen Ausführungsformen ist der in 18a dargestellte Schritt des Zurückätzens mit reverser Struktur optional.
  • Wenn die Siliciumschicht 50 nicht vollständig planarisiert wird, wie in 16 dargestellt, folgt die Oberfläche der Oxidschicht 52 der Oberflächentopographie der Siliciumschicht 50, wie in 19c dargestellt. Bei der nachfolgenden Topographie, die in 19c dargestellt ist, könnte in dem Oxid-CMP-Schritt, mit oder ohne den Schritt des reversen Damaszener-Zurückätzens, die Oberfläche der Oxidschicht 52 aufgrund der Gegenwart der Durchkontaktierungen 42 und 48 nicht vollständig planarisiert werden, wodurch ein Profil entstünde, das in 19d dargestellt ist. Man beachte, dass dem in 19d dargestellten Oberflächenprofil auch das in 19b dargestellte globale Profil überlagert sein könnte.
  • Wenn alternativ in dem optionalen Schritt des Zurückätzens des Oxids bis zu der Siliciumfläche der Siliciumschicht 50 hinunter geätzt wird, erstreckt sich das Oxid über den Durchkontaktierungen 42 und 48 bis unterhalb der Oberfläche der Siliciumschicht 50. Diese Topographie über den Durchkontaktierungen 42 und 48 könnte zu Gräben in der fertigen zerschnittenen Wafer-Oberfläche führen, welche Chip-Zuverlässigkeitsprobleme verursachen könnten, zum Beispiel aufgrund von Wasseransammlungen in den Gräben während Feuchtigkeits-Druck-Verspannungen der montierten Chips. Um dieses Problem zu vermeiden, könnte die Oxidschicht 52 in einer solchen Dicke abgeschieden werden, dass die Öffnungen über den Durchkontaktierungen 42 und 48 abgeschnürt werden; oder die Oxidschicht 52 könnte planarisiert werden, so dass die fertige Oberfläche planar ist, wie in 19a.
  • Alternativ könnte die Maske zum Zurückätzen mit reverser Struktur so modifiziert werden, dass Maskenöffnungen in Bereichen um die Durchkontaktierungen 42 und 48 herum entfernt werden. 19e zeigt eine Draufsicht auf den Hohlraum 50, die Durchkontaktierung 42 und die Durchkontaktierung 48. Wenn ein Verfahren des Zurückätzens mit reverser Struktur angewendet würde und dabei die Durchkontaktierungen 42 und 48 blockiert wären (19f), würde das Oxid um die Durchkontaktierungen 42 und 48 herum nicht geätzt (19g), und es wäre einfacher, die Oberfläche der Oxidschicht 52 zu planarisieren oder im Wesentlichen zu planarisieren. Durch die optionalen Oxid-CMP-Verfahren, die angewendet werden, um die Oxidschicht 52 zu planarisieren oder teilweise zu planarisieren, kann die Oberfläche verkratzt werden. Ein Beispiel für einen Oberflächenkratzer RR ist in 19H dargestellt. Diese Oberflächenkratzer können als Ausgangspunkte für Risse wirken, nachdem die MEMS-Hohlraum-Opferschichten 18 und 50 ausgetrieben oder entfernt sind. Um dieses Problem zu beseitigen, wird eine optionale zweite Abscheidung eines Dielektrikums oder Oxids durchgeführt, um die Schicht 400 abzuscheiden, die in 19h dargestellt ist.
  • In 20 ist ein Oxidmaterial 54, welches die Dicke der Abdeckung vor dem Austreiben des Siliciums bestimmt, auf der Oberfläche dargestellt. Das Oxidmaterial 54 kann vor dem Austreiben zum Beispiel eine Dicke von etwa 3 μm aufweisen. Wenn die Oxidschicht 52 über der Siliciumschicht 50 nicht entfernt oder nicht vollständig entfernt worden ist, bestimmt die Gesamt-Oxiddicke der Schichten 52 und 54 die Dicke der Abdeckung vor dem Austreiben des Siliciums. In Ausführungsformen wird eine Austreiböffnung 58 strukturiert und in der Oxidabdeckung geöffnet, wodurch ein Teil der darunter angeordneten Siliciumschicht 50 frei gelegt wird. Es versteht sich, dass in dem Oxidmaterial 54 mehr als eine Austreiböffnung 58 gebildet werden kann. Die Austreiböffnung 58 kann unter Anwendung herkömmlicher Lithographie- und Ätzverfahren gebildet werden, welche dem Fachmann bekannt sind. Alle der strukturierten Elemente, die in der vorliegenden Offenbarung beschrieben sind, werden unter Anwendung herkömmlicher Lithographiewerkzeuge, z. B. Stepper oder Werkzeuge für die Quasikontaktlithographie, unter Verwendung von Photomasken strukturiert, wie auf dem Fachgebiet bekannt ist. Bei der herkömmlichen Lithographie sind zusätzliche Elemente auf den Masken enthalten, um die Elementgröße, d. h. die Leitungsbreite, sowie die Überdeckung oder Überlagerung zwischen dem Element, das aktuell abgebildet wird, und Elementen früherer Ebenen auf dem Wafer zu messen. Diese zusätzlichen Elemente sind gewöhnlich in dem Schnittkanal zwischen den aktiven Chips angeordnet, obwohl sie auch innerhalb der Chips angeordnet sein können; oder es können aktive Chipelemente verwendet werden. Um das gedruckte Element an aktive Elemente innerhalb des aktiven Chips anzupassen, ist es wichtig, jedoch nicht notwendig, dass die Elemente der vorhergehenden Ebene dupliziert werden. Wenn zum Beispiel für die Austreiböffnung 58 eine Struktur außerhalb des aktiven Chips zum Messen der Elementgröße oder der Überlagerung verwendet wird, sollte sie über dem oberen Silicium-Hohlraum 50 und gegebenenfalls über den anderen Drähten innerhalb des Hohlraums gestapelt sein, so dass die Höhe über dem Wafer und die optischen Eigenschaften (d. h. die Reflexion) des gemessenen Elements dieselben wie innerhalb des aktiven Chips sind. Dies ist besonders wichtig für die Austreiböffnung 58, weil sie eine relativ geringe Breite aufweist und sich in Abhängigkeit von dem Verfahren zum Planarisieren des oberen Hohlraums der obere Hohlraum 1 μm oder mehr über der umgebenden Wafer-Oberfläche erstrecken kann, was zu Problemen beim Resist-Tonen der über dem Hohlraum gedruckten Austreiböffnung 58 führen kann, wenn die Austreiböffnungs-Resistbreite außerhalb des Hohlraums gemessen wird.
  • Die Breite und Höhe der Austreiböffnung 58 bestimmen die Materialmenge, die nach dem Austreiben des Siliciums abgeschieden werden sollte, um die Austreiböffnung abzuschnüren. Im Allgemeinen verringert sich die Materialmenge, die abgeschieden werden sollte, um die Austreiböffnung 58 abzuschnüren, wenn sich die Breite der Austreiböffnung verringert; und wenn das Seitenverhältnis der Austreiböffnung, welches das Verhältnis der Höhe zur Breite der Austreiböffnung ist, ansteigt. In Ausführungsformen weist eine 3 μm dicke Abdeckung vor dem Austreiben einen Durchmesser von 1 μm auf. In Ausführungsformen kann die Struktur und insbesondere die frei liegende darunter angeordnete Siliciumschicht 50 vor dem Austreiben des Siliciums mit einer HF-Lösung gereinigt werden. Wenn die Austreiböffnungen 58 ein zu hohes Seitenverhältnis aufweisen oder es zu wenige Austreiböffnungen gibt, ist es schwierig, das Hohlraum-Opfermaterial 18 und 50 auszutreiben. Die Austreiböffnung kann kreisförmig oder nahezu kreisförmig sein, um die Menge des nachfolgenden Materials zu minimieren, das benötigt wird, um sie abzuschnüren. In einer beispielhaften Ausführungsform weist die Austreiböffnung eine achteckige Form auf, wodurch die oben erörterten Rechenanforderungen minimiert werden.
  • Wenn die Abdeckung in Bezug auf die MEMS-Hohlraumfläche zu dünn ist, entweder nach dem Austreiben oder während einer beliebigen nachfolgenden Dünnschichtabscheidung, kann die Abdeckung über den evakuierten oder ausgetriebenen Hohlräumen aufgrund hoher Dünnschichtspannungen oder aufgrund dessen, dass sich der MEMS-Arm während des Temperns nach oben gegen die Abdeckung biegt, Risse bilden oder delaminieren. Zum Beispiel wäre ein Silicium-Hohlraum von 500 μm mal 500 μm, abgedeckt mit einer 1-μm-Oxidabdeckung, nach dem Austreiben oder nach den folgenden Abscheidungen von Versiegelungs-Dünnschichten aufgrund der Restspannung des Abdeckungsoxids oder der Versiegelungs-Dünnschichten für eine Rissbildung oder ein Delaminieren anfällig; oder weil der gelöste MEMS-Arm während des Temperns nach oben gegen die Abdeckung drückt. In einer beispielhaften Ausführungsform ist ungefähr 1 Mikrometer Oxidabdeckung je 10.000 μm2 Hohlraumfläche erforderlich, um eine Rissbildung in der Abdeckung nach dem Austreiben zu vermeiden.
  • In 21a werden die Siliciumschichten 50 und 18 über die Austreiböffnung 58 ausgetrieben oder abgelöst. In Ausführungsformen kann das Ablösen (z. B. Ätzen) unter Verwendung eines XeF2-Ätzmittels durch die Austreiböffnung 58 durchgeführt werden. Durch das Ätzen wird das gesamte Material (Silicium) abgelöst, wodurch ein oberer Hohlraum oder eine obere Kammer 60a und ein unterer Hohlraum oder eine untere Kammer 60b gebildet werden, und es ist gegenüber vielen anderen Materialien einschließlich SiO2 selektiv. Wie in dieser Darstellung gezeigt, weisen der obere Hohlraum 60a und der untere Hohlraum 60b aufgrund der vorherigen Ätzschritte der Siliciumschichten 18, 50 planare oder nahezu planare Wände auf. Eine optionale HF-Reinigung kann durchgeführt werden, um vor dem Austreiben des Siliciums das native Oxid zu entfernen und an der frei liegenden Siliciumfläche eine Wasserstoffpassivierung durchzuführen.
  • Wie in 21b und 21c dargestellt, können die Austreiböffnungen 58 an verschiedenen Stellen zu Abschnitten der oberen Siliciumschicht 50, der unteren Schicht 18 oder der oberen und unteren Siliciumschicht 50, 18 gebildet werden (um diese Abschnitte frei zu legen). Zum Beispiel werden, wie in 21b dargestellt, die Austreiböffnungen sowohl innerhalb als auch außerhalb der Hohlraum-Durchkontaktierungen 48 gebildet. Die Austreiböffnungen 58 sollten entweder rund oder nahezu rund sein, um die Menge des Isolators zu minimieren, die benötigt wird, um sie nach dem Austreiben abzuschnüren. Statt Kreisen können achteckige Formen benutzt werden, um die Austreib-Durchkontaktierungen zu zeichnen, um die Rechenleistung zu minimieren, die benötigt wird, um die Design-Daten zu verarbeiten, wie oben beschrieben. In dieser Ausführungsform ist die Ätzgeschwindigkeit der Siliciumschicht 50 im oberen Abschnitt 59a schneller als die der Siliciumschicht 18 im unteren Abschnitt 59b, wodurch sichergestellt wird, dass keine unnötige Spannung auf den unteren Abschnitt 59b gebracht wird, wie in 21d dargestellt. (Der obere Abschnitt 59a und der untere Abschnitt 59b bilden den oberen Hohlraum und den unteren Hohlraum der MEMS-Struktur.)
  • 21d und 21e zeigen detailliertere Querschnittsansichten der 21b und 21c. Wie in 21d dargestellt, werden die Austreiböffnungen 58 zu Abschnitten sowohl der oberen als auch der unteren Siliciumschicht 50, 18 gebildet. In dieser Ausführungsform stützt, wie in 21d zu sehen ist, die untere Schicht 18 tatsächlich den oberen Abschnitt 59a, da sie mit einer langsameren Geschwindigkeit geätzt wird. In 21e können die Austreiböffnungen 58 an verschiedenen Stellen gebildet werden, jedoch hauptsächlich zu der Schicht 18 (um die Schicht 18 frei zu legen). In dieser Ausführungsform ist die Ätzgeschwindigkeit der Schicht 18 im unteren Abschnitt 59b schneller als die der Siliciumschicht 50 im oberen Abschnitt 59a, was zu der Möglichkeit zusätzlicher Spannung auf einen MEMS-Arm 60 führt (z. B. kann der MEMS-Arm 60 teilweise oder vollständig reißen oder ausreißen).
  • Wenn das Austreiböffnungs-Layout so ausgestaltet ist, dass der untere Hohlraum 18 schneller ausgetrieben wird als der obere Hohlraum 50, zum Beispiel dadurch, dass die Austreiböffnungen außerhalb der Durchkontaktierungen (Hohlraum-Durchkontaktierungen) 48 angeordnet werden, wie in 21c dargestellt, kann der untere Hohlraum vor dem oberen Hohlraum ausgetrieben sein. Dies kann zu Rissbildungsproblemen führen, die mit Verspannungen in Zusammenhang stehen, wie in 21c dargestellt. Wenn die untere Hohlraumschicht 18 fast vollständig ausgetrieben ist, sich aber immer noch über die vollständige Höhe des Hohlraums erstreckt, und die Siliciumschicht 50 des oberen Hohlraums nicht vollständig ausgetrieben ist und sich über die volle Höhe des oberen Hohlraums erstreckt, kann durch die Verspannung aufgrund des Biegens der Abdeckung und des Arms nach oben Oxid 60 aus dem unteren Hohlraum ausgerissen werden, wie in 21c dargestellt. Aus diesen Gründen ist es wünschenswert, Austreiböffnungen derart über dem oberen Hohlraum anzuordnen, dass der obere Hohlraum vor dem unteren Hohlraum ausgetrieben wird.
  • Eine angeschnittene Ecke 405 des unteren Hohlraums A und oberen Hohlraums B ist in 21f dargestellt (vgl. auch z. B. 21b). Durch Anschneiden der Hohlraumecke kann die Spannung nach dem Austreiben des Siliciums verringert werden, was zu einer verringerten Möglichkeit der Rissbildung in der Dielektrikums-Dünnschicht aufgrund von Temperaturzyklen oder anderen Spannungen führt. Es ist ein 45-Grad-Anschnitt dargestellt; obwohl jeder beliebige Anschnittwinkel denkbar ist, einschließlich einer abgerundeten Ecke (ebenfalls durch die Bezugszahl 405 dargestellt). Wie bereits erwähnt, wird durch das Anschneiden statt des Abrundens von Ecken die Rechenkomplexität in Verbindung mit der Überprüfung verringert, dass das Layout nicht die minimalen Leitungs- und Abstandsregeln verletzt. Die Durchkontaktierungen 42 und 48 innerhalb des Hohlraums können ebenfalls angeschnitten werden, wie unten erörtert. In 21c können die Austreiböffnungen 58 an verschiedenen Stellen gebildet werden, wodurch die untere Schicht 18 frei gelegt wird. In dieser Ausführungsform ist die Ätzgeschwindigkeit der Schicht 18 im unteren Abschnitt 59a schneller als die der Siliciumschicht 50 im oberen Abschnitt 59b. Die Ecke einer jeden der Drahtebenen kann ebenfalls angeschnitten sein, 14, 38, 44, wie in 22 dargestellt, um die Gesamtspannung zu verringern.
  • Wie in 22 dargestellt, kann die Austreiböffnung 58 mit einem Material 62, z. B. einem Dielektrikum oder Metall, versiegelt werden. Wenn das Versiegelungsmaterial 62 innerhalb des Hohlraums auf dem Arm eine Dünnschicht abscheidet kann sie möglicherweise die Spannung des MEMS-Arms ins Ungleichgewicht bringen und auch in Bereichen um Durchkontaktierungen herum die Abdeckung mit dem Arm verbinden, wie hierin beschrieben und durch 250 in 31 dargestellt. Um dieses Problem zu vermeiden, sollten in Ausführungsformen, in welchen das Versiegelungsmaterial für die Austreiböffnungen innerhalb des Hohlraums abgeschieden wird, die Austreiböffnungen weit genug von den Durchkontaktierungen entfernt angeordnet sein, z. B. mehr als 1 Mikrometer oder in einer beispielhaften Ausführungsform mehr als 5 Mikrometer, so dass der gelöste MEMS-Arm durch die Abscheidung zur Versiegelung der Austreiböffnungen nicht mit der Abdeckung verbunden wird. Alternativ können die Austreiböffnungen in Hohlraumbereichen angeordnet sein, die von dem MEMS-Arm entfernt sind, so dass kein Austreiböffnungs-Versiegelungsmaterial auf dem gelösten MEMS-Arm abgeschieden wird. Die optionale Schicht 64 wird als nächste abgeschieden, um für eine hermetische Versiegelung zu sorgen. Die Schicht 64 könnte zum Beispiel eine 500-nm-PECVD-Siliciumnitrid-Dünnschicht sein, oder es könnten andere Dünnschichten sein, die dafür bekannt sind, dass sie für eine hermetische Versiegelung über der Oxidschicht 62 sorgen.
  • In 23a wird in der Struktur der 22 eine letzte Durchkontaktierung 66 geöffnet. In Ausführungsformen legt die Durchkontaktierung 66 die darunter angeordnete Elektrode 44 frei. In Ausführungsformen wird die Durchkontaktierung 66 unter Anwendung herkömmlicher Lithographie- und Ätzverfahren gebildet. In weiteren Ausführungsformen kann vor dem Bilden der Durchkontaktierung zum Beispiel eine optionale Polyimidschicht 68 auf der Nitrid-Deckschicht 64 abgeschieden werden. Ein Problem beim Bilden dieser letzten Durchkontaktierung ist ihre Höhe, welche aufgrund der Planarisierung des oberen Silicium-Hohlraums im Bereich von 6 bis 12 μm liegen kann. Länge Dielektrikums-RIE-Schritte führen zu Problemen für RIE-Werkzeuge, aufgrund einer Kammerüberhitzung oder anderer Probleme; oder einfach, weil sie Verfahrenszeiten mit nur wenigen Teilen pro Stunde aufweisen und teuer sind.
  • 23b und 23c zeigen alternative Verfahren zum Bilden der Durchkontaktierung. Zum Beispiel kann gleichzeitig mit der Austreiböffnung 58 eine Teil-Durchkontaktierung 66a gebildet werden. Nach dem Bilden der Austreiböffnung 58 (und dem folgenden Reinigen der Siliciumschicht 50, 18) kann die Austreiböffnung 58 mit einem dielektrischen Material 62 und einer Nitridkappe 64 versiegelt werden. Durch diese Option, wobei die letzte Durchkontaktierung 66 unter Anwendung von zwei getrennten Strukturierungs- und Ätzschritten gebildet wird, wird die gesamte erforderliche Ätzzeit zur Herstellung der MEMS-Einheit verringert und auch der Winkel der letzten Durchkontaktierung abgeschrägt, wodurch die Pb-freie Löthöcker-Lückenfüllung verbessert wird. In Ausführungsformen kann auf der Nitridkappe 64 ein optionales Polyimid- oder anderes Polymerbeschichtungsmaterial 68 abgeschieden werden, wie es auf dem Fachgebiet bekannt ist. Das dielektrische Material 62, die Nitridkappe 64 und das Polyimidmaterial 68 werden auch in der Teil-Durchkontaktierung 66a gebildet. Der verbleibende Abschnitt der Durchkontaktierung 66b kann dann durch Ätzen durch das dielektrische Material 62, die Nitridkappe 64 und das optionale Polyimidmaterial 68 bis zu der darunter angeordneten Elektrode 44 gebildet werden. Wie in dieser Darstellung angemerkt, weist die Teil-Durchkontaktierung 66a einen größeren Querschnitt als die Durchkontaktierung 66b auf. Zum Beispiel kann die Durchkontaktierung 66a etwa 60 Mikrometer breit sein (z. B. Durchmesser); während die Durchkontaktierung 66b eine kleinere Abmessung aufweist, z. B. 54 Mikrometer. Auch kann die Gesamthöhe der Durchkontaktierung (gebildet aus der Durchkontaktierung 66a und 66b) etwa 9 Mikrometer betragen. In Ausführungsformen öffnet sich das optionale Polyimid kleiner als die Oxidöffnung, z. B. 48 Mikrometer, um die Ecken der Oxid/Nitrid-Grenzfläche an der Drahtecke zu bedecken.
  • 24a bis 24f zeigen verschiedene Draufsichten auf die erfindungsgemäß hergestellten Strukturen. 24a bis 24c zeigen verschiedene Querschnittsansichten einer ersten erfindungsgemäßen Struktur, während 24d bis 24f verschiedene Querschnittsansichten einer zweiten erfindungsgemäßen Struktur zeigen. Insbesondere zeigt 24a eine Draufsicht auf eine Kragarmstruktur, welche einen oberen Hohlraum 200a und einen unteren Hohlraum 200b aufweist. Eine Hohlraum-Durchkontaktierung 210 erstreckt sich zwischen dem oberen Hohlraum 200a und dem unteren Hohlraum 200b. In Ausführungsformen ist die Hohlraum-Durchkontaktierung 210 eine ”U”- oder ”| |”-förmige Durchkontaktierung, obwohl für die vorliegende Erfindung auch andere Formen denkbar sind. Die Breite der Hohlraum-Durchkontaktierung 210 kann zum Beispiel etwa 0,1 bis 100 Mikrometer betragen, während eine Länge der Durchkontaktierung etwa 1 bis 1.000 Mikrometer beträgt. In einer beispielhaften Ausführungsform ist die Hohlraum-Durchkontaktierung 210 4 Mikrometer breit und 100 Mikrometer lang. Wie beschrieben, wird eine schmale Hohlraum-Durchkontaktierung, z. B. 2 μm breit, während der Abscheidung des oberen Silicium-Hohlraums abgeschnürt, wenn sie dick genug ist, z. B. 5 μm, wodurch die Ausdehnung des Abdeckungsoxids in die Durchkontaktierung verringert wird.
  • Die oberen und unteren Hohlräume 200a und 200b, welche hierin zuvor bereits beschrieben sind, können entweder dieselbe Größe oder unterschiedliche Größen aufweisen. Die CMP-Verarbeitung, die angewendet wird, um den planaren unteren Hohlraum zu bilden, der unter 200b dargestellt ist, kann zu einer Oberflächenkrümmung auf dem Hohlraumrand führen. Um zu vermeiden, dass diese Oberflächenkrümmung die Unterseite des MEMS-Arms krümmt, sollte die Hohlraum-Durchkontaktierung 48 so angeordnet werden, dass sich ihr innerer Rand jenseits der Krümmung und über dem ebenen Abschnitt des unteren Hohlraums befindet.
  • 24b zeigt auch die Hohlraum-Durchkontaktierung 210, welche sich zwischen dem oberen Hohlraum 200a und dem unteren Hohlraum 200b erstreckt. Außerdem zeigt 24b erste und zweite parallele Stellelemente 215. Ein Kondensatorkopf 220 wird in Beziehung zu den ersten und zweiten Stellelementen 215 bereitgestellt, welche gemäß Erscheinungsformen der Erfindung eine untere feststehende Kondensatorplatte sein können. Diese Drähte, d. h. 215 und 220, werden mit der Schicht 14 gebildet, wie in 22 dargestellt. Der Fachmann sollte erkennen, dass die ersten und zweiten Stellelemente (Elektroden) 215 die oben beschriebenen elektrischen Drähte sein können. Die ersten und zweiten Stellelemente (Elektroden) 215 führen nach der Betätigung, d. h. dem Anlegen einer ausreichenden Gleichspannung, zum Biegen eines MEMS-Arms.
  • 24c zeigt die Hohlraum-Durchkontaktierung 210, welche sich zwischen dem oberen Hohlraum 200a und dem unteren Hohlraum 200b erstreckt. Außerdem zeigt 24c erste und zweite parallele Stellelemente 215a. Ein Kondensatorarm und -kopf 220a wird in Beziehung zu den ersten und zweiten Stellelementen 215a bereitgestellt, welche gemäß Erscheinungsformen der Erfindung eine untere feststehende Kondensatorplatte sein können. Der Kondensatorarm und -kopf 220a erstreckt sich zwischen den ersten und zweiten Stellelementen 215a vom Rand des Hohlraums zu dem Kondensatorkopf. Der MEMS-Kondensator wird gebildet, wo das Element 220 in 24b das Element 220a in 24c überschneidet. Die Stellelemente 215a und der Kondensatorarm und -kopf 220a in 24c sind aus den Drähten 38 und 44 in 22 zusammengesetzt und sind, wie dargestellt, durch die Durchkontaktierungen 228 verbunden, wie unten beschrieben.
  • Außerdem zeigt 24c elektrische Durchkontaktierungen 228, welche mit dem unteren und oberen Draht des Kragarms verbunden sind. Die elektrischen Durchkontaktierungen 228 können auch mit dem Kondensatorarm 220a verbunden sein, welcher sich zwischen den Stellelementen 215a erstreckt. Diese Durchkontaktierungen sind unter 42 in 22 dargestellt.
  • Unter dem Arm sind Oxidstifte 225 bereitgestellt und können sich zu dem Kondensatorarm 220a sowie zu den Stellelementen 215a erstrecken. Diese Oxidstifte 225 könnten sich auch über den Stellelementen 215 in 21b befinden. 24c zeigt auch die Oxidstifte 225 unter dem Arm. Diese Oxidstifte sind das Element 33 in 22. Im Betrieb führen die Elektroden 215a nach der Betätigung zum Biegen eines MEMS-Arms. Im normalen MEMS-Betrieb wird zwischen den Stellelementen 21 und 215a eine Stellspannung angelegt. Zum Beispiel könnte das Stellelement 215 geerdet sein, und an das Stellelement 215a könnten 50 V angelegt werden; –25 V könnten an das Stellelement 215 angelegt werden, und 25 V könnten an das Stellelement 215a angelegt werden; 50 V könnten an das Stellelement 215 angelegt werden, und das Stellelement 215a könnte geerdet sein; usw. Diese MEMS-Layouts weisen vier getrennte Eingänge auf: unterer Kondensatoreingang, oberer Kondensatorausgang, unteres Stellelement und oberes Stellelement. Diese vier Elektroden könnten kombiniert werden, wie auf dem Fachgebiet bekannt ist. Zum Beispiel könnten das obere Stellelement 215a und der Kondensator 220a aus einem einzigen verbundenen Draht bestehen; das untere Stellelement 215 und der untere Kondensator 220 könnten aus einem einzigen Draht bestehen; oder beides. Für diese einfacheren Einheiten mit 2 oder 3 Eingängen müssten Wechselspannungs-Signal und Gleichspannungs-Betätigung entkoppelt werden, zum Beispiel durch Verwendung von Induktoren, die mit Masse verbunden sind, oder Gleichspannungen auf den Elektroden.
  • 24d bis 24f zeigen verschiedene Querschnittsansichten einer zweiten erfindungsgemäßen Struktur. Insbesondere zeigt 24d eine Draufsicht auf eine Kragarmstruktur, welche einen oberen Hohlraum 300a und einen unteren Hohlraum 300b aufweist. Eine Hohlraum-Durchkontaktierung 310 erstreckt sich zwischen dem oberen Hohlraum 300a und dem unteren Hohlraum 300b. In Ausführungsformen weist die Hohlraum-Durchkontaktierung 310 parallele Streifen auf, obwohl für die vorliegende Erfindung auch andere Formen denkbar sind. Die Breite der Hohlraum-Durchkontaktierung 310 kann zum Beispiel 0,1 bis 100 Mikrometer betragen, während eine Länge der Durchkontaktierung etwa 1 bis 1.000 Mikrometer beträgt. In einer beispielhaften Ausführungsform ist die Durchkontaktierung 310 4 Mikrometer breit und 100 Mikrometer lang.
  • 24e zeigt auch die Hohlraum-Durchkontaktierung 310, welche sich zwischen dem oberen Hohlraum 300a und dem unteren Hohlraum 300b erstreckt. Außerdem zeigt 24e erste, zweite und dritte Stellelemente 315. In Ausführungsformen verlaufen die ersten und zweiten Stellelemente parallel, und das dritte Stellelement ist ein unteres Stellelement. Ein Kondensatorkopf 320 befindet sich zwischen dem ersten und zweiten Stellelement und dem dritten (unteren) Stellelement. Der Kondensatorkopf 320 kann gemäß Erscheinungsformen der Erfindung eine untere feststehende Kondensatorplatte sein. Diese Drähte, d. h. 315 und 320, werden mit der Schicht 14 gebildet, wie in 22 dargestellt. Der Fachmann sollte erkennen, dass die ersten, zweiten und dritten Stellelemente (Elektroden) 315 die oben beschriebenen elektrischen Drähte sein können. Die ersten, zweiten und dritten Stellelemente 315 führen nach der Betätigung zum Biegen eines MEMS-Arms.
  • 24f zeigt die Hohlraum-Durchkontaktierung 310, welche sich zwischen dem oberen Hohlraum 300a und dem unteren Hohlraum 300b erstreckt. Außerdem zeigt 24f erste, zweite und dritte Stellelemente (Elektroden) 315a. Ein wird in Beziehung zu den ersten, zweiten und dritten Stellelementen (Elektroden) 315a bereitgestellt. Der Kondensatorarm und -kopf 320a erstreckt sich zwischen dem ersten und zweiten Stellelement 315a. Die Stellelemente 315a und der Kondensatorarm und -kopf 320a in 24f sind aus den Drähten 38 und 44 in 22 zusammengesetzt.
  • Außerdem zeigt 24f elektrische Durchkontaktierungen 328, welche mit dem unteren und oberen Draht des Kragarms verbunden sind. Die elektrischen Durchkontaktierungen 328 können auch mit dem Kondensatorarm 320a verbunden sein. Unter dem Arm sind Oxidstifte 325 bereitgestellt und können sich zu dem Kondensatorarm 320a sowie zu dem unteren Stellelement 315c erstrecken. Im Betrieb führen die ersten, zweiten und dritten Stellelemente (Elektroden) 315 nach der Betätigung zum Biegen eines MEMS-Arms. Insbesondere legt das untere Stellelement die Spannung an die Stellelemente (Elektroden) an.
  • In beiden Fällen weist der MEMS-Arm Metall/Isolator/Metall mit einer zusätzlichen dünnen Isolatorschicht unter und über dem Stapel auf, wenn die MEMS-Einheit ein Kondensator ist. In einer beispielhaften Ausführungsform wird eine untere und obere Metalldicke von 0,5 Mikrometern und eine Isolatordicke von 2 Mikrometern verwendet, mit einer 80-nm-Isolatorschicht über und unter dem Arm, wenn die Einheit ein Kondensator ist. Außerdem sind die Stellelemente 215 (24a bis 24c) oder die Stellelemente 315 (24d bis 24f) mit Masse verbunden, so dass, wenn die Stellspannung an die Stellelemente angelegt wird, der MEMS-Arm betätigt wird und sich nach unten biegt, wie auf dem Fachgebiet bekannt ist. Alternativ könnte die Stellspannung an die Stellelektroden in 24c und 24f angelegt werden, und die Stellelemente in 24b und 24c wären geerdet. In einer anderen Ausführungsform sind die Stellelemente und der Kondensator miteinander verbunden und müssen unter Verwendung eines Gleichspannungs-Erdschlusses, z. B. eines Induktors, geerdet werden.
  • 30a bis 30e zeigen die Topographie der Siliciumfläche 50 des oberen Hohlraums, nachdem ein Schritt einer nicht formanpassungsfähigen Siliciumabscheidung durchgeführt worden ist, durch welche die Öffnungen wegen der elektrischen Durchkontaktierung 42 und der Hohlraum-Durchkontaktierung 48 nicht abgeschnürt wurden. Durch eine PVD-Siliciumabscheidung ohne Vorspannung wird ein ,Brotlaib'-Profil gebildet, wie in 30a dargestellt und auf dem Fachgebiet bekannt ist. 30a bis 30e zeigen auch die Oxidstifte 16a. Die Siliciumschicht 50 bedeckt regressiv, d. h. mit einem Unterschnitt, die Seitenwände der Durchkontaktierungen, und, wenn ein MEMS-Hohlraum-Abdeckungsmaterial, wie z. B. SiO2, abgeschieden wird, füllt das Abdeckungsmaterial die regressive Öffnung über den Durchkontaktierungen 42 und 48, wie zuvor beschrieben. Durch diese Bildung einer regressiven Abdeckung, welche nach den Schritten der Abdeckungsbildung, des Silicium-Austreibens und der Hohlraumversiegelung in 16 dargestellt ist, kann die Abdeckung in nietenartiger Weise an den Arm geheftet werden, wenn sich der Arm nach dem Austreiben nach oben biegt, wobei das nietenförmige Element (250) in der Abdeckung gegen den Arm drückt, und/oder die nietenartige Abdeckungsstruktur mit dem Arm (255) verbunden wird (vgl. z. B. 31).
  • In 31 bis 33 und 35 ist auf der Oberfläche ein Oxidmaterial 54 dargestellt, welches die Dicke der Abdeckung vor dem Silicium-Austreiben bestimmt. In Ausführungsformen werden in der Oxidabdeckung Austreiböffnungen 58 geöffnet, wodurch ein Teil der darunter angeordneten Siliciumschicht 50 frei gelegt wird. Es versteht sich, dass in dem Oxidmaterial 54 mehr als eine Austreiböffnung 58 gebildet werden kann. Die Austreiböffnungen 58 können unter Anwendung herkömmlicher Lithographie- und Ätzverfahren gebildet werden, welche dem Fachmann bekannt sind. Die Breite und Höhe der Austreiböffnungen 58 bestimmen die Materialmenge, die nach dem Austreiben des Siliciums abgeschieden werden sollte, um die Austreiböffnung abzuschnüren, wie unten noch detaillierter beschrieben wird. Die Austreiböffnungen 58 können mit einem Material 62, z. B. einem Dielektrikum oder Metall, versiegelt werden, wie oben beschrieben.
  • 34 ist ein Flussdiagramm eines Design-Verfahrens, welches beim Design, bei der Herstellung und/oder Prüfung von Halbleitern angewendet wird. 34 zeigt ein Blockdiagramm eines beispielhaften Design-Flusses 900, der zum Beispiel beim Design, der Simulation, der Prüfung, dem Layout und der Herstellung einer Halbleiter-IC-Logik angewendet wird. Der Design-Fluss 900 weist Verfahren, Maschinen und/oder Mechanismen zum Verarbeiten von Design-Strukturen oder Einheiten zum Erzeugen von logisch oder auf andere Weise funktionell äquivalenten Verkörperungen der Design-Strukturen und/oder Einheiten, die oben beschrieben und in 1 bis 33 und 35 dargestellt sind. Die Design-Strukturen, die durch den Design-Fluss 900 verarbeitet und/oder erzeugt werden, können auf maschinenlesbaren Sende- oder Speichermedien zum Aufnehmen von Daten und/oder Befehlen codiert sein, die, wenn sie ausgeführt oder auf andere Weise auf einem Datenverarbeitungssystem verarbeitet werden, eine logisch, strukturell, mechanisch oder auf andere Weise funktionell äquivalente Verkörperung von Hardware-Komponenten, Schaltungen, Einheiten oder Systemen erzeugen können. Maschinen schließen, ohne darauf beschränkt zu sein, alle Maschinen ein, die in einem IC-Design-Verfahren verwendet werden, z. B. beim Entwerfen, Herstellen oder Simulieren einer Schaltung, einer Komponente, einer Einheit oder eines Systems. Maschinen können zum Beispiel einschließen: Lithographiemaschinen, Maschinen und/oder Geräte zum Erzeugen von Masken (z. B. Elektronenstrahl-Schreibvorrichtungen), Computer oder Geräte zum Simulieren von Design-Strukturen, alle Vorrichtungen, die beim Herstellungs- oder Prüfverfahren verwendet werden, oder alle Maschinen zum Programmieren funktionell äquivalenter Verkörperungen der Design-Strukturen in einem beliebigen Medium (z. B. eine Maschine zum programmieren eines programmierbaren Gate-Arrays).
  • Der Design-Fluss 900 kann in Abhängigkeit von der Art der entworfenen Verkörperung variieren. Zum Beispiel kann sich ein Design-Fluss 900 zum Bau einer anwendungsspezifischen integrierten Schaltung (Application Specific Integrated Circuit, ASIC) von einem Design-Fluss 900 zum Entwerfen einer Standardkomponente oder von einem Design-Fluss 900 zum Instanzieren des Designs in einem programmierbaren Array, zum Beispiel einem programmierbaren Gate-Array (PGA) oder einem feldprogrammierbaren Gate-Array (FPGA), angeboten von Altera®, Inc., oder Xilinx®, Inc., unterscheiden.
  • 34 veranschaulicht mehrere solche Design-Strukturen, einschließlich einer Eingangs-Design-Struktur 920, welche vorzugsweise durch ein Design-Verfahren 910 verarbeitet wird. Die Design-Struktur 920 kann eine Design-Struktur einer logischen Simulation sein, die durch ein Design-Verfahren 910 erzeugt und verarbeitet wird, um eine logisch äquivalente funktionelle Verkörperung einer Hardware-Einheit herzustellen. Die Design-Struktur 920 kann auch oder alternativ Daten und/oder Programmbefehle aufweisen, welche, wenn sie durch das Design-Verfahren 910 verarbeitet werden, eine funktionelle Verkörperung der physischen Struktur einer Hardware-Einheit erzeugen. Gleich, ob sie funktionelle und/oder strukturelle Design-Elemente verkörpert, die Design-Struktur 920 kann unter Anwendung des elektronischen computerunterstützten Designs (Electronic Computer-Aided Design, ECAD) erzeugt werden, realisiert z. B. durch einen Core-Entwickler/Designer. Wenn sie auf einem maschinenlesbaren Datenübertragungs-, Gate-Array- oder Speichermedium codiert ist, kann in dem Design-Verfahren 910 durch ein oder mehrere Hardware- und/oder Softwaremodule auf die Design-Struktur 920 zugegriffen werden und diese verarbeitet werden, um eine elektronische Komponente, eine Schaltung, ein elektronisches oder logisches Modul, eine Vorrichtung, eine Einheit oder ein System wie jene in 1 bis 33 und 35 dargestellten zu simulieren oder auf andere Weise funktionell zu verkörpern. So kann die Design-Struktur 920 Dateien oder andere Datenstrukturen aufweisen, z. B. für Menschen lesbaren und/oder maschinenlesbaren Quellcode, kompilierte Strukturen und von einem Computer ausführbare Code-Strukturen, die, wenn sie von einem Design- oder Simulations-Datenverarbeitungssystem verarbeitet werden, Schaltungen oder andere Ebenen des Hardware-Logik-Designs funktionell simulieren oder auf andere Weise verkörpern. Solche Datenstrukturen können Hardware-Description-Language(HDL)-Design-Einheiten oder andere Datenstrukturen aufweisen, welche an niederere HDL-Design-Sprachen wie Verilog und VHDL und/oder höhere Design-Sprachen wie C oder C++ angepasst oder mit diesen kompatibel sind.
  • In dem Design-Verfahren 910 werden vorzugsweise Hardware- und/oder Software-Module zum Synthetisieren, Umsetzen oder anderen Verarbeiten eines funktionellen Design/Simulations-Äquivalents der Komponenten, Schaltungen, Einheiten oder Logikstrukturen, die in 1 bis 33 und 35 dargestellt sind, verwendet und sind darin integriert, um eine Netzliste 980 zu erzeugen, welche Design-Strukturen wie die Design-Struktur 920 enthalten kann. Die Netzliste 980 kann zum Beispiel kompilierte oder auf andere Weise verarbeitete Datenstrukturen umfassen, welche eine Liste von Leitungen, diskreten Komponenten, Verknüpfungsgliedern, Steuerschaltungen, E/A-Einheiten, Modelle usw. umfasst, die die Verbindungen zu anderen Elementen und Schaltungen in einem IC-Design beschreibt. Die Netzliste 980 kann unter Anwendung eines iterativen Verfahrens synthetisiert werden, wobei die Netzliste 980 in Abhängigkeit von den Design-Spezifikationen und Parametern für die Einheit einmal oder mehrfach neu synthetisiert wird. Wie bei anderen hierin beschriebenen Design-Struktur-Typen kann die Netzliste 980 auf einem maschinenlesbaren Datenspeichermedium gespeichert oder in ein programmierbares Gate-Array programmiert werden. Das Medium kann ein permanentes Speichermedium wie ein Magnetplatten- oder Bildplattenlaufwerk, ein programmierbares Gate-Array, ein Compact-Flash-Speicher oder einen anderer Flash-Speicher sein. Außerdem oder alternativ kann das Medium ein System- oder Cache-Speicher, Pufferspeicherraum oder elektrisch oder optisch leitfähige Einheiten und Materialien sein, auf welche Datenpakete über das Internet oder andere geeignete Netzwerkmittel übertragen und dort zwischengespeichert werden können.
  • Das Design-Verfahren 910 kann Hardware- und Software-Module zum Verarbeiten einer Vielfalt von Typen von Eingabedatenstrukturen einschließlich der Netzliste 980 aufweisen. Solche Datenstrukturtypen können sich zum Beispiel in Bibliothekselementen 930 befinden und einen Satz häufig verwendeter Elemente, Schaltungen und Einheiten, z. B. Modelle, Layouts und symbolische Verkörperungen, für eine gegebene Herstellungstechnik (z. B. Knoten verschiedener Technologien, 32 nm, 45 nm, 90 nm usw.) aufweisen. Die Datenstrukturtypen können ferner Design-Spezifikationen 940, Charakterisierungsdaten 950, Verifikationsdaten 960, Design-Regeln 970 und Testdatendateien 985 aufweisen, welche eingegebene Testmuster, ausgegebene Testergebnisse und andere Testdaten aufweisen können. Das Design-Verfahren 910 kann zum Beispiel ferner mechanische Standard-Design-Verfahren wie die Spannungsermittlung, die thermische Analyse, die Simulation mechanischer Ereignisse, Verfahrenssimulationen für Vorgänge wie das Gießen, die Formgebung und das Gesenkpressen usw. aufweisen. Der Fachmann auf dem Gebiet des mechanischen Designs kann den Umfang möglicher mechanischer Design-Werkzeuge und Anwendungen erkennen, die im Design-Verfahren 910 genutzt werden, ohne vom Umfang und von der Idee der Erfindung abzuweichen. Das Design-Verfahren 910 kann auch Module zur Durchführung von Standard-Schaltungsentwicklungsverfahren, wie z. B. der Timing-Analyse, der Verifikation, der Entwurfsregelnprüfung, der Anordnungs- und Leitungsoperationen usw., aufweisen.
  • In dem Design-Verfahren 910 werden logische und physische Design-Werkzeuge, wie z. B. HDL-Kompilierer und Simulationsmodell-Errichtungswerkzeuge, verwendet und sind in dieses integriert, um die Design-Struktur 920 zusammen mit einigen oder allen der dargestellten unterstützenden Datenstrukturen sowie weiteren mechanischen Designs oder Daten (falls anwendbar) zu verarbeiten, um eine zweite Design-Struktur 990 zu erzeugen.
  • Die Design-Struktur 990 befindet sich in einem Datenformat, welches für den Austausch von Daten mechanischer Einheiten und Strukturen verwendet wird (z. B. von Informationen, die in einem IGES-, DXF-, Parasolid-XT-, JT-, DRG-Format oder irgendeinem anderen geeigneten Format zum Speichern oder Berechnen solcher mechanischen Design-Strukturen gespeichert sind), auf einem Speichermedium oder programmierbaren Gate-Array. Ähnlich der Design-Struktur 920 weist die Design-Struktur 990 vorzugsweise eine oder mehrere Dateien, Datenstrukturen oder andere für Computer codierte Daten oder Befehle auf, welche sich auf Übertragungs- oder Datenspeichermedien befinden und welche, wenn sie von einem ECAD-System verarbeitet werden, eine logisch oder auf andere Weise funktionell äquivalente Form einer oder mehrerer der Ausführungsformen der Erfindung erzeugen, die in 1 bis 33 und 35 dargestellt sind. In einer Ausführungsform kann die Design-Struktur 990 ein kompiliertes ausführbares HDL-Simulationsmodell umfassen, welches die in 1 bis 33 und 35 dargestellten Einheiten funktionell simuliert.
  • Bei der Design-Struktur 990 kann auch ein Datenformat, welches für den Austausch von Layout-Daten integrierter Schaltungen genutzt wird, und/oder ein symbolisches Datenformat verwendet werden (z. B. für Informationen, die in einem GDSII-(GDS2-), GL1-, OASIS-Format, Speicherabbilddatei-Format oder irgendeinem anderen geeigneten Format zum Speichern solcher Design-Datenstrukturen gespeichert sind). Die Design-Struktur 990 kann Informationen wie zum Beispiel symbolische Daten, Speicherabbilddateien, Testdatendateien, Design-Inhalts-Dateien, Herstellungsdaten, Layout-Parameter, Drähte, Metallebenen, Durchkontaktierungen, Formen, Daten zum Führen durch die Fabrikationslinie und andere Daten aufweisen, die von einem Hersteller oder anderen Entwickler benötigt werden, um eine Einheit oder Struktur herzustellen, wie sie oben beschrieben und in 1 bis 33 und 35 dargestellt sind. Die Design-Struktur 990 kann anschließend zu einer Stufe 995 übergehen, wo die Design-Struktur 990 zum Beispiel ans Tape-Out übergeben wird, für die Fabrikation freigegeben wird, für eine Maskierungsstelle freigegeben wird, einer anderen Design-Stelle zugesendet wird, zum Kunden zurückgesendet wird usw.
  • Das oben beschriebene Verfahren wird bei der Herstellung von IC-Chips angewendet. Die resultierenden IC-Chips können vom Hersteller in unbehandelter Waferform (also als einzelner Wafer, der mehrere nicht montierte Chips aufweist), als bloßer Chip oder in montierter Form vertrieben werden. Im letzteren Fall ist der Chip in einem Gehäuse für einen Chip (z. B. einem Kunststoffträger mit Zuleitungen, die an einer Hauptplatine oder einem Träger höherer Ordnung befestigt sind) oder in einem Gehäuse für mehrere Chips (z. B. einem Keramikträger, der Oberflächenverbindungen und/oder vergrabene Verbindungen aufweist) montiert. In jedem Fall wird der Chip dann als Teil (a) eines Zwischenprodukts, z. B. einer Hauptplatine, oder (b) eines Endprodukts mit anderen Chips, diskreten Schaltungselementen und/oder anderen Signalverarbeitungseinheiten integriert. Das Endprodukt kann ein beliebiges Produkt sein, welches IC-Chips aufweist, was von Spielzeugen und anderen einfachen Anwendungen bis zu hoch entwickelten Computerprodukten reicht, die eine Anzeigevorrichtung, eine Tastatur oder andere Eingabeeinheit und einen Zentralprozessor aufweisen.
  • Die hierin verwendete Terminologie dient nur der Beschreibung spezieller Ausführungsformen und soll die Erfindung nicht beschränken. Wie hierin verwendet, sollen die Singularformen „ein”, „eine” und „der”, „die”, „das” ebenso die Pluralformen einschließen, sofern dies nicht durch den Kontext eindeutig anders angezeigt ist. Es versteht sich ferner, dass mit den Begriffen „aufweist” und/oder „aufweisen”, wenn sie in dieser Beschreibung verwendet werden, das Vorliegen angegebener Eigenschaften, ganzer Zahlen, Schritte, Operationen, Elemente und/oder Komponenten beschrieben ist, jedoch nicht das Vorliegen oder das Hinzufügen ein oder mehrerer anderer Eigenschaften, ganzen Zahlen, Schritte, Operationen, Elemente, Komponenten und/oder Gruppen derselben ausgeschlossen wird.
  • Die entsprechenden Strukturen, Materialien, Handlungen und Äquivalente aller Mittel oder aus Schritt plus Funktion bestehender Elemente in den folgenden Patentansprüchen sollen, wo dies anwendbar ist, jede Struktur, jedes Material oder jede Handlung zur Durchführung der Funktion in Kombination mit anderen beanspruchten Elementen als speziell beansprucht einschließen. Die Beschreibung der vorliegenden Erfindung dient den Zwecken der Veranschaulichung und Beschreibung, soll aber nicht erschöpfend oder auf die Erfindung in der offenbarten Form beschränkt sein. Dem Fachmann werden viele Modifikationen und Variationen ersichtlich sein, die vorgenommen werden können, ohne vom Umfang und von der Idee der Erfindung abzuweichen. Die Ausführungsform wurde ausgewählt und beschrieben, um die Prinzipien der Erfindung und die praktische Anwendung bestmöglich zu erläutern und es anderen Fachleuten zu ermöglichen, die Erfindung für verschiedene Ausführungsformen mit verschiedenen Modifikationen zu verstehen, welche für die spezielle beabsichtigte Verwendung geeignet sind. Daher erkennt der Fachmann, obwohl die Erfindung in Form von Ausführungsformen beschrieben worden ist, dass die Erfindung mit Modifikationen und im Rahmen der Idee und des Umfangs der folgenden Patentansprüche ausgeführt werden kann.

Claims (26)

  1. Verfahren, aufweisend Bilden mindestens eines Hohlraums eines mikroelektromechanischen Systems (MEMS), welcher eine planare Fläche aufweist, unter Anwendung eines reversen Damaszener-Verfahrens.
  2. Verfahren nach Anspruch 1, wobei das reverse Damaszener-Verfahren Bilden eines Resists auf einer Isolatorschicht aufweist, welche strukturiert wird, um eine Öffnung mit Rändern zu bilden, die eine darunter angeordnete Siliciumschicht überlappen, welche verwendet wird, um einen des mindestens einen Hohlraums zu bilden, und Planarisieren der Isolatorschicht mit der darunter angeordneten Siliciumschicht.
  3. Verfahren nach Anspruch 2, wobei das Planarisieren von einem Abstand darunter angeordneter Drähte abhängt, um Unebenheiten zu minimieren.
  4. Verfahren nach Anspruch 2, wobei die Isolatorschicht ein Oxid ist, welches so geätzt wird, dass es einen Rand um die darunter angeordnete Siliciumschicht bildet.
  5. Verfahren nach Anspruch 4, wobei die Oxidschicht etwa 2,3 μm dick ist.
  6. Verfahren nach Anspruch 4, wobei die Oxidschicht etwa 3,3 μm dick ist.
  7. Verfahren nach Anspruch 6, ferner aufweisend Bilden einer zweiten Siliciumschicht auf der darunter angeordneten Siliciumschicht und Planarisieren der Isolatorschicht und der zweiten Siliciumschicht, um Unebenheiten zu minimieren, die in der darunter angeordneten Siliciumschicht gebildet werden.
  8. Verfahren nach Anspruch 2, ferner aufweisend: Bilden und Strukturieren einer Elektrodenschicht über der darunter angeordneten Siliciumschicht; und Bilden und Strukturieren einer Isolatorschicht über der Elektrodenschicht, wobei das Strukturieren Bilden einer Durchkontaktierung aufweist, um einen Teil der Elektrodenschicht frei zu legen.
  9. Verfahren nach Anspruch 8, ferner aufweisend: Bilden und Strukturieren einer oberen Elektrode auf der Isolatorschicht, wobei ein Teil der oberen Elektrode durch die Durchkontaktierung mit der Elektrode in Kontakt steht; Bilden einer Isolatorschicht über der oberen Elektrodenschicht; und Bilden einer Durchkontaktierung in der Isolatorschicht, um die darunter angeordnete Siliciumschicht frei zu legen.
  10. Verfahren nach Anspruch 9, ferner aufweisend Bilden einer oberen Siliciumschicht auf der Elektrode, der Isolatorschicht und in der Durchkontaktierung, um die darunter angeordnete Siliciumschicht zu kontaktieren.
  11. Verfahren nach Anspruch 10, ferner aufweisend Durchführen einer Reinigung auf der darunter angeordneten Siliciumschicht, die durch die Durchkontaktierung hindurch frei liegt, vor dem Bilden der oberen Siliciumschicht.
  12. Verfahren nach Anspruch 11, ferner aufweisend Bilden einer oberen Isolatorschicht und Durchführen eines zweiten reversen Damaszener-Verfahrens und Planarisieren des oberen Isolatormaterials und der oberen Siliciumschicht.
  13. Verfahren nach Anspruch 12, ferner aufweisend: Bilden eines oberen Isolatormaterials auf der oberen Siliciumschicht; Bilden einer Austreiböffnung in dem oberen Isolatormaterial; und Ablösen der oberen Siliciumschicht und der darunter angeordneten Siliciumschicht auch innerhalb der Durchkontaktierung, um einen oberen Hohlraum und einen unteren Hohlraum zu bilden, die eine planare Struktur aufweisen.
  14. Verfahren zum Bilden einer planaren MEMS-Struktur, aufweisend: Bilden von Verdrahtungsstrukturen auf einem Substrat; Bilden einer Siliciumschicht auf den Verdrahtungsstrukturen; Bilden einer Oxidschicht auf der Siliciumschicht; Durchführen eines reversen Damaszener-Verfahrens, so dass sich Ränder der Oxidschicht mit der Siliciumschicht überlappen; Planarisieren den Oxidschicht und der Siliciumschicht; Bilden zusätzlicher Schichten auf der planarisierten Oxidschicht und Siliciumschicht, aufweisend Elektroden und eine zweite Siliciumschicht, welche die Siliciumschicht durch eine Durchkontaktierung kontaktiert; und Bereitstellen einer Austreiböffnung in einer der zusätzlichen Schichten, um die zweite Siliciumschicht frei zu legen; Herausätzen der Siliciumschicht und der zweiten Siliciumschicht, um wenigstens einen unteren Hohlraum zu bilden.
  15. Verfahren nach Anspruch 14, wobei die zweite Siliciumschicht nach dem Durchführen eines reversen Damaszener-Verfahrens planarisiert wird.
  16. Verfahren nach Anspruch 15, wobei durch das Ätzen der zweiten Siliciumschicht ein oberer planarer Hohlraum gebildet wird.
  17. Verfahren nach Anspruch 14, wobei die Oxidschicht etwa 2,3 μm beträgt.
  18. Verfahren nach Anspruch 14, wobei die Oxidschicht etwa 3,3 μm beträgt.
  19. Verfahren nach Anspruch 14, wobei das Planarisieren von einem Abstand der Verdrahtungsstruktur abhängt.
  20. Planare MEMS-Struktur, aufweisend: einen unteren Hohlraum, welcher eine planare obere Oberfläche aufweist; einen oberen Hohlraum, welcher eine planare obere Oberfläche aufweist; eine Durchkontaktierung, welche den oberen Hohlraum mit dem unteren Hohlraum verbindet; Elektroden, welche in dem oberen und unteren Hohlraum ausgebildet sind und welche als Arme für die MEMS-Struktur fungieren; einen Draht, der in dem unteren Hohlraum ausgebildet ist; und eine Deckschicht, welche eine Austreiböffnung abdeckt, die verwendet wird, um den oberen Hohlraum und den unteren Hohlraum zu bilden.
  21. Verfahren zum Reduzieren einer Unebenheit in einer Siliciumschicht, die für eine MEMS-Struktur verwendet wird, das Verfahren aufweisend: Bestimmen eines Abstands zwischen Drähten, die auf der Siliciumschicht gebildet werden, und Ätzen einer Oxidschicht um ein vorgegebenes Maß, um die Schwankungen der Siliciumschicht zu minimieren.
  22. Hardware-Description-Language(HDL)-Designstruktur, die auf einem maschinenlesbaren Datenspeicherungsmedium codiert ist, wobei die HDL-Design-Struktur Elemente aufweist, welche, wenn sie in einem System des computerunterstützten Designs verarbeitet werden, eine durch eine Maschine ausführbare Verkörperung einer MEMS-Struktur erzeugen, wobei die HDL-Design-Struktur mindestens einen Hohlraum eines mikroelektromechanischen Systems (MEMS) aufweist, welcher eine planare Fläche aufweist, die unter Anwendung eines reversen Damaszener-Verfahrens gebildet wird.
  23. Design-Struktur nach Anspruch 22, wobei die Design-Struktur eine Netzliste aufweist.
  24. Design-Struktur nach Anspruch 22, wobei sich die Design-Struktur als ein Datenformat, welches für den Austausch von Layout-Daten integrierter Schaltungen verwendet wird, auf einem Speichermedium befindet.
  25. Design-Struktur nach Anspruch 22, wobei sich die Design-Struktur auf einem programmierbaren Gate-Array befindet.
  26. MEMS-Einheiten-Strukturen, bei welchen eine Silicium-Opferschicht verwendet wird, um einen Hohlraum in Nachbarschaft eines Arms zu bilden.
DE112011102135.6T 2010-06-25 2011-06-08 MEMS-Strukturen mit planarem Hohlraum und verwandte Strukturen, Herstellungsverfahren und Design-Strukturen Active DE112011102135B4 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US35862110P 2010-06-25 2010-06-25
US61/358,621 2010-06-25
PCT/US2011/039560 WO2011162949A2 (en) 2010-06-25 2011-06-08 Planar cavity mems and related structures, methods of manufacture and design structures

Publications (2)

Publication Number Publication Date
DE112011102135T5 true DE112011102135T5 (de) 2013-05-02
DE112011102135B4 DE112011102135B4 (de) 2017-12-28

Family

ID=44627207

Family Applications (5)

Application Number Title Priority Date Filing Date
DE112011102130.5T Active DE112011102130B4 (de) 2010-06-25 2011-06-08 Herstellungsverfahren für MEMS-Strukturen mit planarem Hohlraum und verwandte Strukturen
DE112011102135.6T Active DE112011102135B4 (de) 2010-06-25 2011-06-08 MEMS-Strukturen mit planarem Hohlraum und verwandte Strukturen, Herstellungsverfahren und Design-Strukturen
DE112011102134.8T Active DE112011102134B4 (de) 2010-06-25 2011-06-08 Verfahren zur Herstellung von MEMS-Strukturen mit planarem Hohlraum und verwandter Strukturen
DE112011102136.4T Active DE112011102136B4 (de) 2010-06-25 2011-06-15 Verfahren zum Ausbilden eines mikroelektromechanischen Systems
DE112011102124.0T Active DE112011102124B4 (de) 2010-06-25 2011-06-15 Mikroelektromechanisches System

Family Applications Before (1)

Application Number Title Priority Date Filing Date
DE112011102130.5T Active DE112011102130B4 (de) 2010-06-25 2011-06-08 Herstellungsverfahren für MEMS-Strukturen mit planarem Hohlraum und verwandte Strukturen

Family Applications After (3)

Application Number Title Priority Date Filing Date
DE112011102134.8T Active DE112011102134B4 (de) 2010-06-25 2011-06-08 Verfahren zur Herstellung von MEMS-Strukturen mit planarem Hohlraum und verwandter Strukturen
DE112011102136.4T Active DE112011102136B4 (de) 2010-06-25 2011-06-15 Verfahren zum Ausbilden eines mikroelektromechanischen Systems
DE112011102124.0T Active DE112011102124B4 (de) 2010-06-25 2011-06-15 Mikroelektromechanisches System

Country Status (8)

Country Link
US (45) US8709264B2 (de)
KR (3) KR20130039733A (de)
CN (8) CN102906871B (de)
CA (2) CA2787130C (de)
DE (5) DE112011102130B4 (de)
GB (6) GB2494600B (de)
TW (3) TWI549899B (de)
WO (5) WO2011162949A2 (de)

Families Citing this family (117)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8981876B2 (en) 2004-11-15 2015-03-17 Avago Technologies General Ip (Singapore) Pte. Ltd. Piezoelectric resonator structures and electrical filters having frame elements
CN102341341B (zh) * 2009-03-04 2014-04-30 Nxp股份有限公司 Mems器件
US9243316B2 (en) 2010-01-22 2016-01-26 Avago Technologies General Ip (Singapore) Pte. Ltd. Method of fabricating piezoelectric material with selected c-axis orientation
US8796904B2 (en) 2011-10-31 2014-08-05 Avago Technologies General Ip (Singapore) Pte. Ltd. Bulk acoustic resonator comprising piezoelectric layer and inverse piezoelectric layer
WO2011114628A1 (ja) * 2010-03-18 2011-09-22 パナソニック株式会社 Mems素子、およびmems素子の製造方法
KR101158200B1 (ko) * 2010-06-04 2012-06-19 삼성전기주식회사 광학식 손 떨림 보정장치 및 이의 제조 방법
CN102275857B (zh) * 2010-06-11 2014-04-16 上海丽恒光微电子科技有限公司 微机电装置及其制造方法
US8709264B2 (en) 2010-06-25 2014-04-29 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
EP2460762B1 (de) * 2010-12-06 2014-10-08 Nxp B.V. MEMS-Vorrichtung mit verringerter Haftung und Herstellungsverfahren
FR2970119B1 (fr) * 2010-12-30 2013-12-13 St Microelectronics Crolles 2 Sas Puce de circuits integres et procede de fabrication.
FR2970118B1 (fr) 2010-12-30 2013-12-13 St Microelectronics Crolles 2 Puce de circuits integres et procede de fabrication.
US8962443B2 (en) * 2011-01-31 2015-02-24 Avago Technologies General Ip (Singapore) Pte. Ltd. Semiconductor device having an airbridge and method of fabricating the same
US9490771B2 (en) 2012-10-29 2016-11-08 Avago Technologies General Ip (Singapore) Pte. Ltd. Acoustic resonator comprising collar and frame
US9401692B2 (en) 2012-10-29 2016-07-26 Avago Technologies General Ip (Singapore) Pte. Ltd. Acoustic resonator having collar structure
US9490418B2 (en) 2011-03-29 2016-11-08 Avago Technologies General Ip (Singapore) Pte. Ltd. Acoustic resonator comprising collar and acoustic reflector with temperature compensating layer
US8841733B2 (en) * 2011-05-17 2014-09-23 United Microelectronics Corp. Semiconductor device and method of fabricating the same
US9120667B2 (en) 2011-06-20 2015-09-01 International Business Machines Corporation Micro-electro-mechanical system (MEMS) and related actuator bumps, methods of manufacture and design structures
US8643140B2 (en) * 2011-07-11 2014-02-04 United Microelectronics Corp. Suspended beam for use in MEMS device
US8551859B2 (en) * 2011-11-10 2013-10-08 International Business Machines Corporation Biosensors integrated with a microfluidic structure
US8546240B2 (en) * 2011-11-11 2013-10-01 International Business Machines Corporation Methods of manufacturing integrated semiconductor devices with single crystalline beam
US8629036B2 (en) 2011-11-11 2014-01-14 International Business Machines Corporation Integrated semiconductor devices with amorphous silicon beam, methods of manufacture and design structure
US9105751B2 (en) * 2011-11-11 2015-08-11 International Business Machines Corporation Integrated semiconductor devices with single crystalline beam, methods of manufacture and design structure
US8673670B2 (en) * 2011-12-15 2014-03-18 International Business Machines Corporation Micro-electro-mechanical system (MEMS) structures and design structures
US8592876B2 (en) * 2012-01-03 2013-11-26 International Business Machines Corporation Micro-electro-mechanical system (MEMS) capacitive OHMIC switch and design structures
US9093264B2 (en) * 2012-04-20 2015-07-28 Applied Materials, Inc. Methods and apparatus for forming silicon passivation layers on germanium or III-V semiconductor devices
US9166271B2 (en) * 2012-06-01 2015-10-20 Purdue Research Foundation Tunable cavity resonator including a plurality of MEMS beams
US9452924B2 (en) 2012-06-15 2016-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS devices and fabrication methods thereof
US9450109B2 (en) 2012-06-15 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS devices and fabrication methods thereof
US9200973B2 (en) 2012-06-28 2015-12-01 Intel Corporation Semiconductor package with air pressure sensor
US9102517B2 (en) 2012-08-22 2015-08-11 International Business Machines Corporation Semiconductor structures provided within a cavity and related design structures
JP2014057125A (ja) * 2012-09-11 2014-03-27 Seiko Epson Corp 電子装置およびその製造方法、並びに発振器
US9385684B2 (en) 2012-10-23 2016-07-05 Avago Technologies General Ip (Singapore) Pte. Ltd. Acoustic resonator having guard ring
JP6247495B2 (ja) * 2012-11-26 2017-12-13 キヤノン株式会社 半導体装置、及びその製造方法
US9013012B2 (en) * 2013-03-05 2015-04-21 Stmicroelectronics Pte. Ltd. Self-sealing membrane for MEMS devices
US9573806B2 (en) * 2013-03-11 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS device structure with a capping structure
US9102519B2 (en) * 2013-03-14 2015-08-11 Infineon Technologies Ag Semiconductor devices and methods of forming thereof
US9969613B2 (en) * 2013-04-12 2018-05-15 International Business Machines Corporation Method for forming micro-electro-mechanical system (MEMS) beam structure
US9059679B2 (en) * 2013-04-23 2015-06-16 International Business Machines Corporation Tunable interconnect structures, and integrated circuit containing the same
US9419181B2 (en) * 2013-05-13 2016-08-16 Infineon Technologies Dresden Gmbh Electrode, an electronic device, and a method for manufacturing an optoelectronic device
US10703627B2 (en) 2013-06-27 2020-07-07 Soitec Methods of fabricating semiconductor structures including cavities filled with a sacrificial material
US8936960B1 (en) * 2013-07-02 2015-01-20 United Microelectronics Corp. Method for fabricating an integrated device
WO2015042700A1 (en) * 2013-09-24 2015-04-02 Motion Engine Inc. Mems components and method of wafer-level manufacturing thereof
EP3019442A4 (de) 2013-07-08 2017-01-25 Motion Engine Inc. Mems-vorrichtung und verfahren zur herstellung
FR3008690B1 (fr) * 2013-07-22 2016-12-23 Commissariat Energie Atomique Dispositif comportant un canal fluidique muni d'au moins un systeme micro ou nanoelectronique et procede de realisation d'un tel dispositif
ITTO20130651A1 (it) 2013-07-31 2015-02-01 St Microelectronics Srl Procedimento di fabbricazione di un dispositivo incapsulato, in particolare un sensore micro-elettro-meccanico incapsulato, dotato di una struttura accessibile, quale un microfono mems e dispositivo incapsulato cosi' ottenuto
WO2015013828A1 (en) 2013-08-02 2015-02-05 Motion Engine Inc. Mems motion sensor and method of manufacturing
KR101813905B1 (ko) * 2013-09-27 2018-01-02 인텔 코포레이션 반도체 패키지의 쓰루홀 구조의 향상된 배열
CN103678804A (zh) * 2013-12-11 2014-03-26 上海工程技术大学 一种mems开关仿真分析方法
JP6590812B2 (ja) 2014-01-09 2019-10-16 モーション・エンジン・インコーポレーテッド 集積memsシステム
US9385068B2 (en) * 2014-03-05 2016-07-05 Northrop Grumman Systems Corporation Stacked interconnect structure and method of making the same
WO2015154173A1 (en) 2014-04-10 2015-10-15 Motion Engine Inc. Mems pressure sensor
US9583294B2 (en) * 2014-04-25 2017-02-28 Analog Devices Global MEMS swtich with internal conductive path
US9748048B2 (en) 2014-04-25 2017-08-29 Analog Devices Global MEMS switch
US9384318B2 (en) * 2014-04-28 2016-07-05 Globalfoundries Inc. Mask error compensation by optical modeling calibration
US11674803B2 (en) 2014-06-02 2023-06-13 Motion Engine, Inc. Multi-mass MEMS motion sensor
EP3160897A4 (de) * 2014-06-27 2018-01-24 Intel Corporation Magnetische nanomechanische vorrichtungen zur kompensation von haftreibung
US9224858B1 (en) 2014-07-29 2015-12-29 Globalfoundries Inc. Lateral double-diffused metal oxide semiconductor field effect transistor (LDMOSFET) with a below source isolation region and a method of forming the LDMOSFET
US9446947B2 (en) * 2014-08-25 2016-09-20 Texas Instruments Incorporated Use of metal native oxide to control stress gradient and bending moment of a released MEMS structure
CN105439080B (zh) * 2014-08-28 2017-09-22 中芯国际集成电路制造(上海)有限公司 微机电系统器件及其形成方法
JP6336865B2 (ja) * 2014-09-09 2018-06-06 日立オートモティブシステムズ株式会社 物理量センサ
US10140407B2 (en) 2014-11-26 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method, device and computer program product for integrated circuit layout generation
WO2016090467A1 (en) 2014-12-09 2016-06-16 Motion Engine Inc. 3d mems magnetometer and associated methods
US10407299B2 (en) 2015-01-15 2019-09-10 Motion Engine Inc. 3D MEMS device with hermetic cavity
WO2016126517A1 (en) * 2015-02-05 2016-08-11 Cavendish Kinetics, Inc Dvc utilizing mims in the anchor
US10103070B2 (en) * 2015-03-27 2018-10-16 Globalfoundries Inc. Dynamic integrated circuit fabrication methods
US20160289062A1 (en) * 2015-03-31 2016-10-06 Qualcomm Technologies International, Ltd. Mems packaging
CN107709227A (zh) * 2015-04-21 2018-02-16 加泰罗尼亚理工大学 包括具有通过使用修改的通孔改善质量和可靠性的多层微机械结构的集成电路及其获得方法
JP6569850B2 (ja) * 2015-06-09 2019-09-04 株式会社村田製作所 Mems製造方法
DE102015212669B4 (de) * 2015-07-07 2018-05-03 Infineon Technologies Ag Kapazitive mikroelektromechanische Vorrichtung und Verfahren zum Ausbilden einer kapazitiven mikroelektromechanischen Vorrichtung
US9809450B2 (en) * 2015-08-27 2017-11-07 Invensense, Inc. CMOS-MEMS integration using metal silicide formation
KR20180037238A (ko) * 2015-08-28 2018-04-11 히타치가세이가부시끼가이샤 반도체 장치 및 그 제조 방법
US9617142B1 (en) * 2015-09-30 2017-04-11 Mems Drive, Inc. MEMS grid for manipulating structural parameters of MEMS devices
US9878899B2 (en) 2015-10-02 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for reducing in-process and in-use stiction for MEMS devices
US10338754B2 (en) * 2015-12-18 2019-07-02 Synaptics Incorporated Edge-effect mitigation for capacitive sensors
CN106904565B (zh) * 2015-12-22 2019-06-28 中芯国际集成电路制造(上海)有限公司 一种mems器件及其制备方法、电子装置
US10784832B2 (en) 2015-12-28 2020-09-22 Ningbo Semiconductor International Corporation Film bulk acoustic resonator and method of fabrication same
US9741817B2 (en) * 2016-01-21 2017-08-22 Tower Semiconductor Ltd. Method for manufacturing a trench metal insulator metal capacitor
WO2017134518A1 (en) 2016-02-04 2017-08-10 Analog Devices Global Active opening mems switch device
US9643838B1 (en) * 2016-02-05 2017-05-09 Taiwan Semiconductor Manufacturing Company Ltd Semiconductor device and package and manufacturing method thereof
US10770646B2 (en) * 2016-03-01 2020-09-08 Qualcomm Incorporated Manufacturing method for flexible PMUT array
KR20170133750A (ko) * 2016-05-26 2017-12-06 삼성전자주식회사 집적 회로의 설계를 위한 컴퓨터 구현 방법
WO2018030045A1 (ja) * 2016-08-08 2018-02-15 株式会社デンソー 力学量センサおよびその製造方法
JP6555238B2 (ja) * 2016-08-08 2019-08-07 株式会社デンソー 力学量センサおよびその製造方法
CN106298371A (zh) * 2016-08-30 2017-01-04 北京航天微电科技有限公司 一种基于表面半导体工艺的射频微机电开关及其制备方法
CN106298372A (zh) * 2016-09-07 2017-01-04 中国科学院微电子研究所 一种微纳机电开关及其制造方法
JP2018046230A (ja) * 2016-09-16 2018-03-22 イビデン株式会社 プリント配線板
JP2018046231A (ja) * 2016-09-16 2018-03-22 イビデン株式会社 プリント配線板
CN107039298B (zh) * 2016-11-04 2019-12-24 厦门市三安光电科技有限公司 微元件的转移装置、转移方法、制造方法、装置和电子设备
US10322928B2 (en) * 2016-11-29 2019-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-layer sealing film for high seal yield
DE102017104109A1 (de) * 2017-02-28 2018-08-30 Maschinenfabrik Reinhausen Gmbh Verfahren und Vorrichtung zur Überwachung von Kondensatordurchführungen für ein Wechselstromnetz
US10784833B2 (en) 2017-04-04 2020-09-22 Vanguard International Semiconductor Singapore Pte. Ltd. Lamb acoustic wave resonator and filter with self-aligned cavity via
US10403674B2 (en) * 2017-07-12 2019-09-03 Meridian Innovation Pte Ltd Scalable thermoelectric-based infrared detector
FR3070096B1 (fr) * 2017-08-08 2021-09-17 Commissariat Energie Atomique Procede de fabrication d'un dispositif de detection a deux substrats et un tel dispositif de detection
CN107423522A (zh) * 2017-08-14 2017-12-01 上海工程技术大学 一种高效仿真分析电磁开关性能的方法
DE102017120290B3 (de) 2017-09-04 2018-11-08 Infineon Technologies Ag Verfahren zum Prozessieren einer Schichtstruktur
CN109586680B (zh) * 2017-09-29 2021-09-03 安华高科技股份有限公司 用于声谐振器结构的经锚定聚合物封装
US10650978B2 (en) 2017-12-15 2020-05-12 Micron Technology, Inc. Methods of incorporating leaker devices into capacitor configurations to reduce cell disturb
WO2019155663A1 (ja) * 2018-02-09 2019-08-15 株式会社村田製作所 Memsデバイス
WO2019160674A1 (en) * 2018-02-19 2019-08-22 Applied Materials, Inc. Pvd titanium dioxide formation using sputter etch to halt onset of crystalinity in thick films
US11027967B2 (en) * 2018-04-09 2021-06-08 Invensense, Inc. Deformable membrane and a compensating structure thereof
CN112262101A (zh) 2018-04-09 2021-01-22 应美盛股份有限公司 环境保护的传感设备
US10759658B2 (en) 2018-12-10 2020-09-01 Texas Instruments Incorporated Hermetic vertical shear weld wafer bonding
CN111386000B (zh) * 2018-12-28 2021-08-31 财团法人工业技术研究院 微机电加热装置
CN110171799B (zh) * 2019-05-29 2024-04-09 苏州知芯传感技术有限公司 一种mems开关及其制作方法
CN110255489B (zh) * 2019-05-31 2022-07-22 武汉敏声新技术有限公司 一种三维单片集成传感器系统
CN110991069B (zh) * 2019-12-12 2023-09-12 神华北电胜利能源有限公司 基于湿基氧的锅炉效率计算方法、存储介质及电子设备
US11365117B2 (en) 2019-12-23 2022-06-21 Industrial Technology Research Institute MEMS device and manufacturing method of the same
US11939212B2 (en) 2019-12-23 2024-03-26 Industrial Technology Research Institute MEMS device, manufacturing method of the same, and integrated MEMS module using the same
CN111463530B (zh) * 2020-04-10 2022-04-05 昆山鸿永微波科技有限公司 一种带宽可调谐硅基滤波芯片
CN111591953B (zh) * 2020-05-07 2022-08-05 南京航空航天大学 针状微电极及其制备方法
CN111517275B (zh) * 2020-05-09 2023-06-02 中北大学 一种实用化射频mems开关双层牺牲层的制备方法
CN116390641A (zh) * 2020-06-18 2023-07-04 中国科学院微电子研究所 一种HfO2基铁电电容器的制备方法
CN111787474A (zh) * 2020-07-10 2020-10-16 瑞声科技(南京)有限公司 Mems声传感器
CN112374456B (zh) * 2020-11-12 2024-01-23 上海华虹宏力半导体制造有限公司 Mems器件的制造方法
CN113472308B (zh) * 2021-04-29 2022-11-22 广州乐仪投资有限公司 谐振器及其形成方法、电子设备
CN112977870B (zh) * 2021-05-20 2021-09-03 成都飞机工业(集团)有限责任公司 一种飞机部件装配闭角区铆接斜铆卡设计方法
CN116127887B (zh) * 2023-04-17 2023-07-07 东南大学 一种基于系统识别法的mems器件宏模型建模方法

Family Cites Families (157)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3004053A (en) 1958-03-28 1961-10-10 Director Of The Agency Of Ind Preparation of organosilanes employing alkyl aluminum halides
US3956903A (en) 1975-04-25 1976-05-18 Weil-Mclain Co., Inc. System for recovering and condensing vapors
US5278368A (en) 1991-06-24 1994-01-11 Matsushita Elec. Works, Ltd Electrostatic relay
JP3402642B2 (ja) 1993-01-26 2003-05-06 松下電工株式会社 静電駆動型リレー
US5324683A (en) 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
US5619061A (en) 1993-07-27 1997-04-08 Texas Instruments Incorporated Micromechanical microwave switching
US7550794B2 (en) * 2002-09-20 2009-06-23 Idc, Llc Micromechanical systems device comprising a displaceable electrode and a charge-trapping layer
US5665657A (en) * 1995-09-18 1997-09-09 Taiwan Semiconductor Manufacturing Company, Ltd Spin-on-glass partial etchback planarization process
US5693568A (en) 1995-12-14 1997-12-02 Advanced Micro Devices, Inc. Reverse damascene via structures
US5795829A (en) 1996-06-03 1998-08-18 Advanced Micro Devices, Inc. Method of high density plasma metal etching
US6116863A (en) 1997-05-30 2000-09-12 University Of Cincinnati Electromagnetically driven microactuated device and method of making the same
US6143638A (en) * 1997-12-31 2000-11-07 Intel Corporation Passivation structure and its method of fabrication
US6018184A (en) * 1998-01-22 2000-01-25 Micron Technology, Inc. Semiconductor structure useful in a self-aligned contact having multiple insulation layers of non-uniform thickness
GB9819817D0 (en) 1998-09-12 1998-11-04 Secr Defence Improvements relating to micro-machining
US6160230A (en) 1999-03-01 2000-12-12 Raytheon Company Method and apparatus for an improved single pole double throw micro-electrical mechanical switch
WO2000060652A1 (fr) 1999-03-30 2000-10-12 Citizen Watch Co., Ltd. Procede de fabrication d'un substrat a couches minces et substrat a couches minces fabrique selon ce procede
JP3387478B2 (ja) 1999-06-30 2003-03-17 セイコーエプソン株式会社 半導体装置およびその製造方法
US6359374B1 (en) 1999-11-23 2002-03-19 Mcnc Miniature electrical relays using a piezoelectric thin film as an actuating element
US6445106B1 (en) 2000-02-18 2002-09-03 Intel Corporation Micro-electromechanical structure resonator, method of making, and method of using
US7008812B1 (en) 2000-05-30 2006-03-07 Ic Mechanics, Inc. Manufacture of MEMS structures in sealed cavity using dry-release MEMS device encapsulation
EP1311863A4 (de) 2000-06-21 2003-07-30 Input Output Inc Beschleunigungsmesser mit gefalteten balken
US6352917B1 (en) 2000-06-21 2002-03-05 Chartered Semiconductor Manufacturing Ltd. Reversed damascene process for multiple level metal interconnects
US6473361B1 (en) * 2000-11-10 2002-10-29 Xerox Corporation Electromechanical memory cell
US20020096421A1 (en) 2000-11-29 2002-07-25 Cohn Michael B. MEMS device with integral packaging
US20020104990A1 (en) * 2000-12-19 2002-08-08 Dereus Dana Richard Across-wafer optical MEMS device and protective lid having across-wafer light-transmissive portions
KR100382732B1 (ko) * 2001-01-10 2003-05-09 삼성전자주식회사 반도체 소자의 실린더형 커패시터 제조 방법
US6898740B2 (en) 2001-01-25 2005-05-24 Hewlett-Packard Development Company, L.P. Computer system having configurable core logic chipset for connection to a fault-tolerant accelerated graphics port bus and peripheral component interconnect bus
DE60238752D1 (de) 2001-03-29 2011-02-10 Toyota Chuo Kenkyusho Kk Ein verfahren zum erzeugen einer hohlen struktur aus einer silizium-struktur
US6902947B2 (en) 2001-05-07 2005-06-07 Applied Materials, Inc. Integrated method for release and passivation of MEMS structures
US6808276B2 (en) 2001-05-08 2004-10-26 Axsun Technologies, Inc. Suspended high reflectivity coating on release structure and fabrication process therefor
US6780759B2 (en) * 2001-05-09 2004-08-24 Silicon Genesis Corporation Method for multi-frequency bonding
US6573822B2 (en) 2001-06-18 2003-06-03 Intel Corporation Tunable inductor using microelectromechanical switches
US6649852B2 (en) 2001-08-14 2003-11-18 Motorola, Inc. Micro-electro mechanical system
US6639488B2 (en) 2001-09-07 2003-10-28 Ibm Corporation MEMS RF switch with low actuation voltage
US6808954B2 (en) 2001-09-07 2004-10-26 Intel Corporation Vacuum-cavity MEMS resonator
US7033910B2 (en) * 2001-09-12 2006-04-25 Reveo, Inc. Method of fabricating multi layer MEMS and microfluidic devices
US6930364B2 (en) * 2001-09-13 2005-08-16 Silicon Light Machines Corporation Microelectronic mechanical system and methods
US6989603B2 (en) 2001-10-02 2006-01-24 Guobiao Zhang nF-Opening Aiv Structures
US6635506B2 (en) 2001-11-07 2003-10-21 International Business Machines Corporation Method of fabricating micro-electromechanical switches on CMOS compatible substrates
WO2003041133A2 (en) 2001-11-09 2003-05-15 Wispry, Inc. Electrothermal self-latching mems switch and method
US7943412B2 (en) 2001-12-10 2011-05-17 International Business Machines Corporation Low temperature Bi-CMOS compatible process for MEMS RF resonators and filters
US6943105B2 (en) 2002-01-18 2005-09-13 International Business Machines Corporation Soft metal conductor and method of making
JP3558066B2 (ja) * 2002-02-19 2004-08-25 ソニー株式会社 Mems素子とその製造方法、光変調素子、glvデバイスとその製造方法、及びレーザディスプレイ
US6701779B2 (en) 2002-03-21 2004-03-09 International Business Machines Corporation Perpendicular torsion micro-electromechanical switch
US6852926B2 (en) 2002-03-26 2005-02-08 Intel Corporation Packaging microelectromechanical structures
CN1229860C (zh) * 2002-04-30 2005-11-30 中芯国际集成电路制造(上海)有限公司 中空沟槽隔离物及其制造方法
JP3778128B2 (ja) 2002-05-14 2006-05-24 株式会社デンソー メンブレンを有する半導体装置の製造方法
US6902656B2 (en) 2002-05-24 2005-06-07 Dalsa Semiconductor Inc. Fabrication of microstructures with vacuum-sealed cavity
US7363099B2 (en) 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
US6897537B2 (en) 2002-06-13 2005-05-24 Wispry, Inc. Micro-electro-mechanical system (MEMS) variable capacitor apparatuses and related methods
US6686820B1 (en) 2002-07-11 2004-02-03 Intel Corporation Microelectromechanical (MEMS) switching apparatus
US7064637B2 (en) 2002-07-18 2006-06-20 Wispry, Inc. Recessed electrode for electrostatically actuated structures
US7429495B2 (en) 2002-08-07 2008-09-30 Chang-Feng Wan System and method of fabricating micro cavities
EP1398811B1 (de) 2002-09-16 2011-08-10 Imec Geschaltete Kapazität
US6789029B2 (en) 2002-10-18 2004-09-07 Motorola, Inc. Method and apparatus for signal extraction in an electronic sensor
US7019434B2 (en) 2002-11-08 2006-03-28 Iris Ao, Inc. Deformable mirror method and apparatus including bimorph flexures and integrated drive
US7180145B2 (en) 2002-12-13 2007-02-20 Wispry, Inc. Micro-electro-mechanical system (MEMS) variable capacitor apparatuses, systems and related methods
KR100497610B1 (ko) * 2003-02-14 2005-07-01 삼성전자주식회사 반도체 장치의 절연막 형성방법
US6928879B2 (en) 2003-02-26 2005-08-16 Robert Bosch Gmbh Episeal pressure sensor and method for making an episeal pressure sensor
US6798029B2 (en) 2003-05-09 2004-09-28 International Business Machines Corporation Method of fabricating micro-electromechanical switches on CMOS compatible substrates
US20040235297A1 (en) 2003-05-23 2004-11-25 Bih-Tiao Lin Reverse electroplating for damascene conductive region formation
US7075160B2 (en) 2003-06-04 2006-07-11 Robert Bosch Gmbh Microelectromechanical systems and devices having thin film encapsulated mechanical structures
US7215229B2 (en) 2003-09-17 2007-05-08 Schneider Electric Industries Sas Laminated relays with multiple flexible contacts
US7131105B2 (en) 2003-09-19 2006-10-31 Coventor, Inc. System and method for automatic mesh generation from a system-level MEMS design
JP4864307B2 (ja) 2003-09-30 2012-02-01 アイメック エアーギャップを選択的に形成する方法及び当該方法により得られる装置
JP4561072B2 (ja) * 2003-09-30 2010-10-13 株式会社日立製作所 Memsスイッチを有する半導体装置
DE10352001A1 (de) 2003-11-07 2005-06-09 Robert Bosch Gmbh Mikromechanisches Bauelement mit einer Membran und Verfahren zur Herstellung eines solchen Bauelements
US7585744B2 (en) * 2003-12-08 2009-09-08 Freescale Semiconductor, Inc. Method of forming a seal for a semiconductor device
US7352266B2 (en) 2004-02-20 2008-04-01 Wireless Mems, Inc. Head electrode region for a reliable metal-to-metal contact micro-relay MEMS switch
JP4377740B2 (ja) 2004-04-28 2009-12-02 株式会社東芝 圧電駆動型mems素子およびこの圧電駆動型mems素子を有する移動体通信機
US7381583B1 (en) 2004-05-24 2008-06-03 The United States Of America As Represented By The Secretary Of The Air Force MEMS RF switch integrated process
KR100541657B1 (ko) * 2004-06-29 2006-01-11 삼성전자주식회사 멀티 게이트 트랜지스터의 제조방법 및 이에 의해 제조된멀티 게이트 트랜지스터
JP4037394B2 (ja) 2004-09-16 2008-01-23 株式会社東芝 マイクロメカニカルデバイス
US20060067650A1 (en) * 2004-09-27 2006-03-30 Clarence Chui Method of making a reflective display device using thin film transistor production techniques
FR2875948B1 (fr) * 2004-09-28 2006-12-08 Commissariat Energie Atomique Composant d'encapsulation de micro-systeme electromecaniques integres et procede de realisation du composant
JP4907544B2 (ja) * 2004-10-27 2012-03-28 エプコス アクチエンゲゼルシャフト 電子デバイス
US7344907B2 (en) 2004-11-19 2008-03-18 International Business Machines Corporation Apparatus and methods for encapsulating microelectromechanical (MEM) devices on a wafer scale
CN101375197B (zh) 2004-12-09 2012-05-16 维斯普瑞公司 微电子机械系统(mems)电容器、电感器及相关系统和方法
DE102004061796A1 (de) * 2004-12-22 2006-07-13 Robert Bosch Gmbh Mikromechanisches kapazitives Sensorelement
US7348870B2 (en) 2005-01-05 2008-03-25 International Business Machines Corporation Structure and method of fabricating a hinge type MEMS switch
JP4724488B2 (ja) 2005-02-25 2011-07-13 日立オートモティブシステムズ株式会社 集積化マイクロエレクトロメカニカルシステム
WO2006123900A1 (en) 2005-05-18 2006-11-23 Samsung Electronics Co., Ltd. Terminal having display button and method of displaying using the display button
US8053850B2 (en) 2005-06-30 2011-11-08 Semiconductor Energy Laboratory Co., Ltd. Minute structure, micromachine, organic transistor, electric appliance, and manufacturing method thereof
US7417287B2 (en) 2005-07-01 2008-08-26 System General Corp. Electrostatic discharge device having controllable trigger voltage
US8071486B2 (en) 2005-07-18 2011-12-06 Teledyne Dalsa Semiconductor Inc. Method for removing residues formed during the manufacture of MEMS devices
US7294552B2 (en) 2005-08-29 2007-11-13 Delphi Technologies, Inc. Electrical contact for a MEMS device and method of making
US7394332B2 (en) 2005-09-01 2008-07-01 International Business Machines Corporation Micro-cavity MEMS device and method of fabricating same
JP4699172B2 (ja) 2005-10-25 2011-06-08 ルネサスエレクトロニクス株式会社 半導体装置
US7877866B1 (en) * 2005-10-26 2011-02-01 Second Sight Medical Products, Inc. Flexible circuit electrode array and method of manufacturing the same
US8043950B2 (en) 2005-10-26 2011-10-25 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
GB0522471D0 (en) 2005-11-03 2005-12-14 Cavendish Kinetics Ltd Memory element fabricated using atomic layer deposition
CN101360849B (zh) 2005-11-18 2013-05-15 莱里斯奥鲁斯集团 一种形成多层结构的方法
KR101092536B1 (ko) 2005-11-30 2011-12-13 삼성전자주식회사 압전형 rf 멤스 소자 및 그 제조방법
JP2007157511A (ja) 2005-12-06 2007-06-21 Hitachi Ltd マイクロエレクトロメカニカルシステムを用いたスイッチ
DE102005059905A1 (de) 2005-12-15 2007-06-28 Robert Bosch Gmbh Mikromechanisches Bauelement und Herstellungsverfahren
US7838321B2 (en) 2005-12-20 2010-11-23 Xerox Corporation Multiple stage MEMS release for isolation of similar materials
US7602068B2 (en) 2006-01-19 2009-10-13 International Machines Corporation Dual-damascene process to fabricate thick wire structure
JP2007210083A (ja) 2006-02-13 2007-08-23 Hitachi Ltd Mems素子及びその製造方法
JP2007216368A (ja) * 2006-02-20 2007-08-30 Sony Corp 電気機械素子、電子回路装置、およびこれらの製造方法
WO2007103546A2 (en) 2006-03-08 2007-09-13 Wispry, Inc. Micro-electro-mechanical system (mems) variable capacitors and actuation components and related methods
FR2898597B1 (fr) * 2006-03-16 2008-09-19 Commissariat Energie Atomique Encapsulation dans une cavite hermetique d'un compose microelectronique, notamment d'un mems
GB0605576D0 (en) * 2006-03-20 2006-04-26 Oligon Ltd MEMS device
ATE471635T1 (de) * 2006-03-30 2010-07-15 Sonion Mems As Akustischer einchip-mems-wandler und herstellungsverfahren
JP4979283B2 (ja) 2006-06-29 2012-07-18 株式会社日立製作所 半導体装置の製造方法および半導体装置
JP4866683B2 (ja) 2006-08-25 2012-02-01 富士通セミコンダクター株式会社 半導体デバイスの製造方法、データ作成装置、データ作成方法、およびプログラム
JP4737140B2 (ja) * 2006-10-20 2011-07-27 セイコーエプソン株式会社 Memsデバイスおよびその製造方法
JP2008114354A (ja) * 2006-11-08 2008-05-22 Seiko Epson Corp 電子装置及びその製造方法
TWI324890B (en) * 2006-12-18 2010-05-11 Advanced Semiconductor Eng Micro electro-mechanical system device and manufacturing method thereof
US20080160749A1 (en) * 2006-12-27 2008-07-03 Texas Instruments Incorporated Semiconductor device and method of forming thereof
JP5123532B2 (ja) 2007-01-30 2013-01-23 太陽誘電株式会社 マイクロカンチレバー
US7732299B2 (en) * 2007-02-12 2010-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Process for wafer bonding
KR20090125087A (ko) * 2007-02-20 2009-12-03 퀄컴 엠이엠스 테크놀로지스, 인크. 마이크로전자기계 시스템〔mems〕의 에칭장치 및 에칭 방법
CN101261963B (zh) * 2007-03-08 2010-12-29 诚佑科技股份有限公司 微型电子元件及其制造方法
US7923790B1 (en) 2007-03-09 2011-04-12 Silicon Laboratories Inc. Planar microshells for vacuum encapsulated devices and damascene method of manufacture
JP2008221398A (ja) 2007-03-13 2008-09-25 Oki Electric Ind Co Ltd 微小電気機械システムおよび微小電気機械システムの製造方法
US7544531B1 (en) 2007-03-13 2009-06-09 Sitime Inc. Ground strap for suppressing stiction during MEMS fabrication
CN101267689A (zh) * 2007-03-14 2008-09-17 佳乐电子股份有限公司 电容式微型麦克风的麦克风芯片
JP4370339B2 (ja) 2007-03-23 2009-11-25 Okiセミコンダクタ株式会社 Mems振動子の製造方法及びmems振動子
US20090013449A1 (en) * 2007-07-10 2009-01-15 Colleen Kahn Pacifier securing device
JP4607153B2 (ja) 2007-07-12 2011-01-05 株式会社日立製作所 微小電気機械システム素子の製造方法
US8367451B2 (en) 2007-07-23 2013-02-05 Wispry, Inc. Method and structures for fabricating MEMS devices on compliant layers
JP5412031B2 (ja) 2007-07-24 2014-02-12 ローム株式会社 Memsセンサ
JP5474805B2 (ja) 2007-10-15 2014-04-16 エプコス アクチエンゲゼルシャフト 基板上にmems素子を製造する方法
TW200938479A (en) * 2007-10-22 2009-09-16 Toshiba Kk Micromachine device and method of manufacturing the same
CN101417785A (zh) 2007-10-24 2009-04-29 佳世达科技股份有限公司 晶圆级感测元件的封装结构及其制造方法
CN101434376B (zh) * 2007-11-16 2011-10-19 微智半导体股份有限公司 悬浮微机电结构制造方法
US7880246B2 (en) 2007-11-29 2011-02-01 Stichting Imec Nederland Microstructure with enlarged mass and electrode area for kinetic to electrical energy conversion
US7999335B2 (en) 2007-12-05 2011-08-16 Semiconductor Energy Laboratory Co., Ltd. Micromachine and method for manufacturing the same
JP4562762B2 (ja) 2007-12-06 2010-10-13 Okiセミコンダクタ株式会社 静電容量型センサ及びその製造方法
US7692519B2 (en) 2007-12-21 2010-04-06 General Electric Company MEMS switch with improved standoff voltage control
JP5193639B2 (ja) * 2008-03-19 2013-05-08 株式会社東芝 マイクロマシン装置及びマイクロマシン装置の製造方法
EP2107038B1 (de) * 2008-03-31 2012-05-16 Imec Elektrostatisch betätigbare MEMS-Vorrichtung mit verringerter Substrataufladung
US8310053B2 (en) 2008-04-23 2012-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a device with a cavity
WO2009133506A2 (en) * 2008-04-29 2009-11-05 Nxp B.V. Mems device and manufacturing method
US7993950B2 (en) 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
FR2932923B1 (fr) 2008-06-23 2011-03-25 Commissariat Energie Atomique Substrat heterogene comportant une couche sacrificielle et son procede de realisation.
WO2010006065A2 (en) * 2008-07-08 2010-01-14 Wispry, Inc. Thin-film lid mems devices and methods
JP2010021187A (ja) * 2008-07-08 2010-01-28 Nec Electronics Corp 半導体集積回路の設計方法、設計プログラム、及び半導体集積回路の製造方法
DE102008040851A1 (de) 2008-07-30 2010-02-04 Robert Bosch Gmbh Verfahren zum Verkappen eines MEMS-Wafers sowie MEMS-Wafer
US20100065930A1 (en) 2008-09-18 2010-03-18 Rohm Co., Ltd. Method of etching sacrificial layer, method of manufacturing MEMS device, MEMS device and MEMS sensor
JP2010155306A (ja) 2008-12-26 2010-07-15 Panasonic Corp Memsデバイス及びその製造方法
WO2010083520A1 (en) 2009-01-19 2010-07-22 Wispry, Inc. Reduced voltage mems electrostatic actuation methods
US8957485B2 (en) * 2009-01-21 2015-02-17 Cavendish Kinetics, Ltd. Fabrication of MEMS based cantilever switches by employing a split layer cantilever deposition scheme
JP5474097B2 (ja) 2009-02-06 2014-04-16 エルジー・ケム・リミテッド タッチスクリーンおよびその製造方法
ES2342872B1 (es) 2009-05-20 2011-05-30 Baolab Microsystems S.L. Chip que comprende un mems dispuesto en un circuito integrado y procedimiento de fabricacion correspondiente.
JP2010280035A (ja) * 2009-06-04 2010-12-16 Toshiba Corp Memsデバイスとその製造方法
US8692364B2 (en) * 2009-08-07 2014-04-08 Nec Corporation Semiconductor device and method for manufacturing the same
US8569091B2 (en) 2009-08-27 2013-10-29 International Business Machines Corporation Integrated circuit switches, design structure and methods of fabricating the same
US8779886B2 (en) 2009-11-30 2014-07-15 General Electric Company Switch structures
US8709264B2 (en) 2010-06-25 2014-04-29 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
US8432240B2 (en) 2010-07-16 2013-04-30 Telepath Networks, Inc. Miniature magnetic switch structures
CN102348155B (zh) 2010-07-30 2014-02-05 上海丽恒光微电子科技有限公司 微机电麦克风及其制造方法
US8957747B2 (en) 2010-10-27 2015-02-17 Telepath Networks, Inc. Multi integrated switching device structures
US8138008B1 (en) 2010-11-29 2012-03-20 International Business Machines Corporation Forming an oxide MEMS beam
US20120133006A1 (en) 2010-11-29 2012-05-31 International Business Machines Corporation Oxide mems beam
JP2014200857A (ja) * 2013-04-01 2014-10-27 株式会社東芝 Mems装置及びその製造方法
US10414046B1 (en) 2016-11-07 2019-09-17 X Development Llc Modular robot design

Also Published As

Publication number Publication date
CN102295264B (zh) 2014-08-20
CN102906008B (zh) 2015-11-25
US10081540B2 (en) 2018-09-25
GB201418872D0 (en) 2014-12-03
WO2011162953A2 (en) 2011-12-29
DE112011102130T5 (de) 2013-03-28
US20160060099A1 (en) 2016-03-03
US20180257931A1 (en) 2018-09-13
US10173889B2 (en) 2019-01-08
US8709264B2 (en) 2014-04-29
US20200017355A1 (en) 2020-01-16
CN102906008A (zh) 2013-01-30
US20110314669A1 (en) 2011-12-29
US20110316099A1 (en) 2011-12-29
GB201300040D0 (en) 2013-02-20
US10011477B2 (en) 2018-07-03
US11104572B2 (en) 2021-08-31
US20180072568A1 (en) 2018-03-15
US10315913B2 (en) 2019-06-11
CA2787161A1 (en) 2011-12-29
KR20130020685A (ko) 2013-02-27
US8865497B2 (en) 2014-10-21
WO2011160985A3 (en) 2012-03-01
TW201213225A (en) 2012-04-01
GB201300265D0 (en) 2013-02-20
US9932225B2 (en) 2018-04-03
GB2494359B (en) 2015-01-14
US10414646B2 (en) 2019-09-17
US20170158490A1 (en) 2017-06-08
US20160099124A1 (en) 2016-04-07
TWI537204B (zh) 2016-06-11
US9862598B2 (en) 2018-01-09
DE112011102124T5 (de) 2013-05-02
US20110316098A1 (en) 2011-12-29
US20180346318A1 (en) 2018-12-06
CA2787130C (en) 2018-07-24
GB2494359A (en) 2013-03-06
GB2494355B (en) 2015-01-28
KR20130118228A (ko) 2013-10-29
CN102906009A (zh) 2013-01-30
US9624099B2 (en) 2017-04-18
CN102906009B (zh) 2016-01-06
WO2011162949A3 (en) 2012-07-19
US9406472B2 (en) 2016-08-02
US9926191B2 (en) 2018-03-27
US20180072566A1 (en) 2018-03-15
US20180072567A1 (en) 2018-03-15
US10214416B2 (en) 2019-02-26
US20190248644A1 (en) 2019-08-15
US9828243B2 (en) 2017-11-28
US20110316101A1 (en) 2011-12-29
US8458888B2 (en) 2013-06-11
GB2494355A (en) 2013-03-06
US10640365B2 (en) 2020-05-05
CN102906010A (zh) 2013-01-30
US20140166463A1 (en) 2014-06-19
US20150041932A1 (en) 2015-02-12
TWI549899B (zh) 2016-09-21
US10906803B2 (en) 2021-02-02
US20160325982A1 (en) 2016-11-10
US20200017356A1 (en) 2020-01-16
US10308501B2 (en) 2019-06-04
WO2011160986A1 (en) 2011-12-29
CN102906010B (zh) 2015-12-02
US20110315526A1 (en) 2011-12-29
DE112011102124B4 (de) 2015-11-26
US9352954B2 (en) 2016-05-31
US20130221454A1 (en) 2013-08-29
US20190152767A1 (en) 2019-05-23
US20160055282A1 (en) 2016-02-25
DE112011102134T5 (de) 2013-04-04
US20110316097A1 (en) 2011-12-29
US20160244321A1 (en) 2016-08-25
CN102906011A (zh) 2013-01-30
US9493341B2 (en) 2016-11-15
US9890039B2 (en) 2018-02-13
GB2494360A (en) 2013-03-06
US8685778B2 (en) 2014-04-01
US10618802B2 (en) 2020-04-14
GB201300091D0 (en) 2013-02-20
US20190169017A1 (en) 2019-06-06
CN102295263B (zh) 2015-06-10
US20160325986A1 (en) 2016-11-10
US20130234265A1 (en) 2013-09-12
TWI538873B (zh) 2016-06-21
US20180009658A1 (en) 2018-01-11
US20110315527A1 (en) 2011-12-29
US8921144B2 (en) 2014-12-30
GB2494824B (en) 2015-01-14
GB2494824A (en) 2013-03-20
TW201221465A (en) 2012-06-01
DE112011102136T5 (de) 2013-04-04
US10618803B2 (en) 2020-04-14
KR101800914B1 (ko) 2017-11-23
US20170022048A1 (en) 2017-01-26
US10005661B2 (en) 2018-06-26
US20180244514A1 (en) 2018-08-30
WO2011162950A2 (en) 2011-12-29
WO2011160985A2 (en) 2011-12-29
US20190233277A1 (en) 2019-08-01
DE112011102124T9 (de) 2015-10-08
US11111139B2 (en) 2021-09-07
CN102295263A (zh) 2011-12-28
CN102906011B (zh) 2016-02-10
TW201219293A (en) 2012-05-16
US8722445B2 (en) 2014-05-13
US10093537B2 (en) 2018-10-09
US9493343B2 (en) 2016-11-15
US9637373B2 (en) 2017-05-02
US11111138B2 (en) 2021-09-07
US10766765B2 (en) 2020-09-08
US10011480B2 (en) 2018-07-03
DE112011102134B4 (de) 2017-02-02
US20180319652A1 (en) 2018-11-08
US9764944B2 (en) 2017-09-19
GB2494360B (en) 2013-09-18
GB201300085D0 (en) 2013-02-20
US20110315528A1 (en) 2011-12-29
US8956903B2 (en) 2015-02-17
US10584026B2 (en) 2020-03-10
US20180057357A1 (en) 2018-03-01
DE112011102136B4 (de) 2019-03-14
US9330856B2 (en) 2016-05-03
WO2011162949A2 (en) 2011-12-29
KR20130039733A (ko) 2013-04-22
GB2494600B (en) 2015-02-25
CN102295264A (zh) 2011-12-28
DE112011102130B4 (de) 2019-03-14
DE112011102135B4 (de) 2017-12-28
US20160355392A1 (en) 2016-12-08
US11021364B2 (en) 2021-06-01
US9815690B2 (en) 2017-11-14
GB201300041D0 (en) 2013-02-20
US20160083245A1 (en) 2016-03-24
US11174160B2 (en) 2021-11-16
US20160325983A1 (en) 2016-11-10
WO2011162950A3 (en) 2012-05-24
US20160096721A1 (en) 2016-04-07
CN102295265A (zh) 2011-12-28
US9041128B2 (en) 2015-05-26
WO2011162953A3 (en) 2012-04-19
US20200024127A1 (en) 2020-01-23
US20180050903A1 (en) 2018-02-22
CA2787130A1 (en) 2011-12-29
CN102906871A (zh) 2013-01-30
CN102906871B (zh) 2015-11-25
US10640364B2 (en) 2020-05-05
CN102295265B (zh) 2014-12-17
US20190315619A1 (en) 2019-10-17
US10246319B2 (en) 2019-04-02
GB2494600A (en) 2013-03-13
US20170121170A1 (en) 2017-05-04
US20160060107A1 (en) 2016-03-03
US20110318861A1 (en) 2011-12-29

Similar Documents

Publication Publication Date Title
DE112011102135B4 (de) MEMS-Strukturen mit planarem Hohlraum und verwandte Strukturen, Herstellungsverfahren und Design-Strukturen
DE112012001816B4 (de) Mikro-elektromechanisches System (MEMS) und zugehörige Aktuator-Höcker, Herstellungsverfahren und Entwurfsstrukturen
DE102012223968B4 (de) Strukturen mit mikroelektromechanischem System (MEMS)
DE102012221818B4 (de) Strukturen und entwurfsstrukturen mikroelektromechanischer systeme (mems)

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R082 Change of representative

Representative=s name: LIFETECH IP SPIES DANNER & PARTNER PATENTANWAE, DE

Representative=s name: RICHARDT PATENTANWAELTE PARTG MBB, DE

Representative=s name: LIFETECH IP SPIES & BEHRNDT PATENTANWAELTE PAR, DE

Representative=s name: SPIES & BEHRNDT PATENTANWAELTE PARTG MBB, DE

R082 Change of representative

Representative=s name: LIFETECH IP SPIES DANNER & PARTNER PATENTANWAE, DE

Representative=s name: RICHARDT PATENTANWAELTE PARTG MBB, DE

Representative=s name: LIFETECH IP SPIES & BEHRNDT PATENTANWAELTE PAR, DE

Representative=s name: SPIES & BEHRNDT PATENTANWAELTE PARTG MBB, DE

R016 Response to examination communication
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: INTERNATIONAL BUSINESS MACHINES CORPORATION, ARMONK, N.Y., US

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: INTERNATIONAL BUSINESS MACHINES CORPORATION, ARMONK, NY, US

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNER: INTERNATIONAL BUSINESS MACHINES CORPORATION, ARMONK, N.Y., US

R082 Change of representative

Representative=s name: RICHARDT PATENTANWAELTE PARTG MBB, DE

Representative=s name: LIFETECH IP SPIES & BEHRNDT PATENTANWAELTE PAR, DE

Representative=s name: SPIES & BEHRNDT PATENTANWAELTE PARTG MBB, DE

R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: GLOBALFOUNDRIES US 2 LLC (N.D.GES.DES STAATES DELAWARE), HOPEWELL JUNCTION, N.Y., US

Owner name: GLOBALFOUNDRIES INC., KY

Free format text: FORMER OWNER: GLOBALFOUNDRIES US 2 LLC (N.D.GES.DES STAATES DELAWARE), HOPEWELL JUNCTION, N.Y., US

R082 Change of representative

Representative=s name: RICHARDT PATENTANWAELTE PARTG MBB, DE

Representative=s name: LIFETECH IP SPIES & BEHRNDT PATENTANWAELTE PAR, DE

Representative=s name: SPIES & BEHRNDT PATENTANWAELTE PARTG MBB, DE

R082 Change of representative

Representative=s name: LIFETECH IP SPIES & BEHRNDT PATENTANWAELTE PAR, DE

Representative=s name: SPIES & BEHRNDT PATENTANWAELTE PARTG MBB, DE

R082 Change of representative

Representative=s name: SPIES & BEHRNDT PATENTANWAELTE PARTG MBB, DE

R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R082 Change of representative

Representative=s name: SPIES & BEHRNDT PATENTANWAELTE PARTG MBB, DE