DE112008000974B4 - Durch Verformung verbesserte Halbleiterbauelemente und Verfahren zu deren Herstellung - Google Patents

Durch Verformung verbesserte Halbleiterbauelemente und Verfahren zu deren Herstellung Download PDF

Info

Publication number
DE112008000974B4
DE112008000974B4 DE112008000974T DE112008000974T DE112008000974B4 DE 112008000974 B4 DE112008000974 B4 DE 112008000974B4 DE 112008000974 T DE112008000974 T DE 112008000974T DE 112008000974 T DE112008000974 T DE 112008000974T DE 112008000974 B4 DE112008000974 B4 DE 112008000974B4
Authority
DE
Germany
Prior art keywords
layer
region
source region
source
layers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE112008000974T
Other languages
English (en)
Other versions
DE112008000974T5 (de
Inventor
James N. Pan
Sey-Ping Sun
Andrew M. Waite
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of DE112008000974T5 publication Critical patent/DE112008000974T5/de
Application granted granted Critical
Publication of DE112008000974B4 publication Critical patent/DE112008000974B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/938Lattice strain control or utilization

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

Verfahren zur Herstellung eines durch Verformung verbesserten Halbleiterbauelements (30) mit einem Halbleitersubstrat (36) mit einer Oberfläche (32), das ein darin ausgebildetes Sourcegebiete (103, 107) und ein Draingebiet (105, 109) aufweist, die durch ein Kanalgebiet (70, 72) in dem Halbleitersubstrat (36) getrennt sind, und mit einer Gateelektrode (66, 68), die über dem Kanalgebiet (70, 72) angeordnet ist, wobei das Verfahren die Schritte umfasst: Einbetten des verformungsinduzierenden Halbleitermaterials (102, 106) in das Sourcegebiet (103, 107) und das Draingebiet (105, 109); Abscheiden einer Schicht eines silizidbildenden Metalls auf Oberflächen des Sourcegebiets (103, 107) und des Draingebiets (105, 109) und Ausheizen zur Herstellung von Metallsilizidkontakten (112) direkt entlang der Oberflächen des Sourcegebiets (103, 107) und des Draingebiets (105, 109), so dass sich die Metallsilizidkontakte (112) in das Sourcegebiet (103, 107) und das Draingebiet (105, 109) zu einer Tiefe von 5 nm oder weniger erstrecken; selektives Abscheiden einer oder mehrerer Schichten aus...

Description

  • Technisches Gebiet
  • Die vorliegende Erfindung betrifft allgemein verformungsverbesserte Halbleiterbauelemente und Verfahren zu deren Herstellung und betrifft insbesondere Halbleiterbauelemente mit verformungsinduzierenden eingebetteten Gebieten und Verfahren zur Herstellung derartiger Halbleiterbauelemente, ohne dass eine Relaxation der Verformung hervorgerufen wird.
  • Hintergrund
  • Der Hauptanteil aktueller integrierter Schaltungen (IC's) wird unter Anwendung einer Vielzahl von miteinander verbundenen Feldeffekttransistoren (FET) bereitgestellt, die auch als Metall-Oxid-Halbleiter-Feldeffekttransistoren (MOSFET) oder einfach MOS-Transistoren bezeichnet werden. Ein FET enthält eine Gateelektrode als eine Steuerelektrode und voneinander beabstandete Source- und Drain-Elektroden, zwischen denen ein Stromfluss stattfinden kann. Eine Steuerspannung, die an der Gateelektrode angelegt ist, steuert den Stromfluss durch einen Kanal zwischen der Sourceelektrode und der Drainelektrode.
  • In der WO 2007/11 22 28 A1 wird ein Verfahren zur Herstellung eines Halbleiterbauteils beschrieben, in dem eine Siliziumschicht mit einer Dicke von 20 bis 40 nm abgeschieden wird.
  • In der US 6 180 469 B1 wird das selektive Abscheiden einer Metallschicht auf Source-/Draingebieten beschrieben, wobei insbesondere Nickelschichten selektiv auf freigelegten Bereichen eines HD-Gebiets abgeschieden werden.
  • In der EP 0 813 234 A2 wird eine Verfahren zur Herstellung eines Halbleiterbauteils beschrieben, in dem eine Siliziumschicht selektiv über freigelegten Teilen eines Halbleiterbereichs ausgebildet wird.
  • Ein wichtiger Parameter für MOS-Transistoren ist der Bauteilwiderstand („Ein-Widerstand”) vom Source zum Drain, wenn das Bauelement eingeschaltet ist. Der gesamte Ein-Widerstand ist die Summe des Kanalwiderstandes und des externen Widerstandes. Der Kanalwiderstand ist eine Funktion der Beweglichkeit der Majoritätsladungsträger in dem Bauteilkanal, wobei für ansonsten gleiche Parameter eine höhere Beweglichkeit zu einem geringeren Kanalwiderstand führt. Der externe Widerstand enthält eine Reihe von Komponenten, die u. a. den Widerstand durch jeweils das Sourcegebiet und das Draingebiet und den Kontaktwiderstand zu den Souce- und Draingebieten beinhalten. Es ist gut bekannt, die Beweglichkeit von Majoritätsladungsträgern zu verbessern, indem eine Verformung in dem Kanalgebiet hervorgerufen wird. Eine kompressive Längsverformung erhöht die Beweglichkeit von Löchern als Majoritätsladungsträger in dem Kanal eines p-Kanal-MOS-(PMOS)Transistors, und eine Zugverformung in Längsrichtung erhöht die Beweglichkeit der Elektronen als Majoritätsladungsträger im Kanal eines n-Kanal-MOS-(NMOS)Transistors. Derartige Kanalverformungen können hervorgerufen werden mittels eines verformungsinduzierenden monokristallinen Materials, das in den Source- und Draingebieten des Transistors eingebettet ist. Es ist auch bekannt, den externen Widerstand einschließlich des Widerstandes der Source- und Drain-Gebiete und des Kontaktwiderstandes der Source- und Drain-Gebiete zu verringern, indem eine Metallsilizidschicht gebildet wird, die entsprechend mit dem Sourcegebiet und dem Draingebiet in Kontakt ist. Nachteiligerweise führt die Herstellung einer Metallsilizidschicht auf den Source- und Draingebieten zu der Nebenwirkung, dass eine Relaxation der Verformung in dem Kanalgebiet, die durch das eingebettete Material hervorgerufen wird, erzeugt wird. Die Silizidbildung in den Source- und Draingebieten zur Verringerung des externen Widerstands wirkt somit konträr zur möglichen Verringerung des Kanalwiderstands, die durch das Einbetten eines verformungsinduzierenden Materials erreicht wird.
  • Es ist daher wünschenswert, ein durch Verformung verbessertes Halbleiterbauelement mit einem optimierten Gesamt-Ein-Widerstand bereitzustellen. Des weiteren ist es wünschenswert, Verfahren zur Herstellung eines durch Verformung verbesserten Halbleiterbauelements mit einem geringen Ein-Widerstand bereitzustellen. Ferner sind andere wünschenswerte Eigenschaften der vorliegenden Erfindung aus der folgenden detaillierten Beschreibung und den angefügten Patentansprüchen ersichtlich, wenn diese mit Bezug zu den begleitenden Zeichnungen und dem vorhergehenden technischen Gebiet und dem Hintergrund studiert werden.
  • Kurzer Überblick
  • Es wird bereitgestellt ein Verfahren zur Herstellung eines durch Verformung verbesserten Halbleiterbauelements mit einem Halbleitersubstrat mit einer Oberfläche, das ein darin ausgebildetes Sourcegebiete und ein Draingebiet aufweist, die durch ein Kanalgebiet in dem Halbleitersubstrat getrennt sind, und mit einer Gateelektrode, die über dem Kanalgebiet angeordnet ist, wobei das Verfahren die Schritte umfasst:
    Einbetten des verformungsinduzierenden Halbleitermaterials in das Sourcegebiet und das Draingebiet;
    Abscheiden einer Schicht eines silizidbildenden Metalls auf Oberflächen des Sourcegebiets und des Draingebiets und Ausheizen zur Herstellung von Metallsilizidkontakten direkt entlang der Oberflächen des Sourcegebiets und des Draingebiets, so dass sich die Metallsilizidkontakte in das Sourcegebiet und das Draingebiet zu einer Tiefe von 5 nm oder weniger erstrecken;
    selektives Abscheiden einer oder mehrerer Schichten aus leitendem Material das über den Metallsilizidkontakten angeordnet ist, wobei die eine oder die mehreren Schichten aus leitendem Material zumindest eine Schicht aus einem leitenden Material umfassen, wobei die eine oder die mehreren Schichten aus leitendem Material mit den Metallsilizidkontakten in Kontakt sind;
    danach, ohne die zumindest eine Schicht aus leitendem Material zu entfernen, Abscheiden einer Isolierschicht über der zumindest einen Schicht aus leitendem Material;
    Ätzen von Kontaktöffnungen durch die Isolierschicht; und
    Bilden in den Kontaktöffnungen metallisierter Kontakte, die physikalisch die Schicht aus dem leitenden Material kontaktieren.
  • Weiterhin wird bereitgestellt ein Verfahren zur Herstellung eines Halbleiterbauelements mit einem Halbleitersubstrat mit eine Oberfläche, mit einem PMOS-Transistor und einem NMOS-Transistor, wobei der PMOS-Transistor und der NMOS-Transistor jeweils ein Sourcegebiet, das in dem Halbleitersubstrat gebildet ist, ein Draingebiet, das in dem Halbleitersubstrat gebildet ist, und eine Gateelektrode aufweisen, die über einem Kanalgebiet gebildet ist, wobei das Verfahren die Schritte umfasst:
    Einbetten eines ersten verformungsinduzierenden Halbleitermaterials in dem Sourcegebiet und dem Draingebiet des PMOS-Transistors;
    Einbetten eines zweiten verformungsinduzierenden Halbleitermaterials in dem Sourcegebiet und dem Draingebiet des NMOS-Transistors;
    Abscheiden einer Schicht aus silizidbildendem Metall auf einer Oberfläche des Sourcegebiets und des Draingebiets und Aufheizen, um Metallsilizidkontakte direkt entlang eine Oberfläche des Sourcegebiets und des Draingebiets des PMOS-Transistors und des Sourcegebiets und des Draingebiets des NMOS-Transistors zu bilden, so dass sich die Metallsilizidkontakte in das Sourcegebiet und das Draingebiet zu einer Tiefe von 5 nm oder weniger erstrecken, um das Sourcegebiet und das Draingebiet des PMOS-Transistors und das Sourcegebiet und das Draingebiet des NMOS-Transistors zu kontaktieren, so dass eine in den Kanalgebieten durch eingebettete erste und zweite verformungsinduzierende Halbleitermaterialien in dem Sourcegebiet und dem Draingebiet induzierte Verformung nicht entspannt wird;
    selektives Abscheiden einer oder mehrerer leitender Schichten über den Metallsilizidkontakten, wobei die eine oder die mehreren Schichten aus leitendem Material zumindest eine Schicht aus einem leitenden Material umfassen, wobei die eine oder die mehreren Schichten aus leitendem Material mit den Metallsilizidkontakten in Kontakt sind;
    Abscheiden einer Schicht eines ersten verspannten isolierenden Materials über dem PMOS-Transistor; und
    Abscheiden einer Schicht eines zweiten verspannten isolierenden Materials über dem NMOS-Transistor.
  • Weiterhin wird bereitgestellt ein durch Verformung verbessertes Halbleiterbauelement mit:
    einem Halbleitersubstrat;
    einem Sourcegebiet mit einem Draingebiet, wovon jedes ein verformungsinduzierendes Halbleitermaterial, das in dem Halbleitersubstrat eingebettet ist, aufweist, wobei das Sourcegebiet und das Draingebiet durch ein verformtes Kanalgebiet getrennt sind;
    einer Gateelektrode, die über dem verformten Kanalgebiet angeordnet ist;
    Silizidkontakten, die sich in das Sourcegebiet und das Draingebiet zu einer Tiefe von 5 nm oder weniger erstrecken;
    einer stromlos plattierten leitenden Schicht, die über den Silizidkontakten angeordnet ist; und
    einer verspannten isolierenden Schicht, die über der Gateelektrode angeordnet ist.
  • Kurze Beschreibung der Zeichnungen
  • Die vorliegende Erfindung wird im Folgenden mit den nachfolgenden Zeichnungen beschrieben, wobei gleiche Bezugszeichen gleiche Elemente bezeichnen und wobei:
  • 1 bis 10 einen Querschnitt eines durch Verformung verbesserten MOS-Bauelements und Verfahren zur deren Herstellung gemäß diverser Ausführungsformen zeigen;
  • 11 bis 14 im Zusammenhang mit den 1 bis 8 im Querschnitt ein durch Verformung verbessertes MOS-Bauelement und Verfahren zu dessen Herstellung gemäß alternativer Ausführungsformen zeigen; und
  • 15 und 16 im Zusammenhang mit den 1 bis 10 oder den 1 bis 14 im Querschnitt ein durch Verformung verbessertes MOS-Bauelement und Verfahren zu dessen Herstellung gemäß noch weiterer Ausführungsformen zeigen.
  • Detaillierte Beschreibung
  • Die folgende detaillierte Beschreibung ist lediglich anschaulicher Natur und soll die Erfindung oder die Anwendung und die Verwendungszwecke der Erfindung nicht beschränken. Ferner ist nicht beabsichtigt, dass eine Beschränkung im Hinblick auf eine angegebene oder implizierte Theorie erfolgt, die in dem vorhergehenden technischen Gebiet, dem Hintergrund, dem kurzen Überblick oder in der folgenden detaillierten Beschreibung präsentiert wird.
  • 1 bis 16 zeigen ein verspanntes MOS-Bauelement 30 und Verfahrensschritte zur Herstellung eines derartigen MOS-Bauelements gemäß diversen Ausführungsformen. In diesen anschaulichen Ausführungsformen ist das verspannte MOS-Bauelement 30 ein komplementäres MOS-(CMOS)Bauelement, das hier durch einen einzelnen NMOS-Transistor 31 und durch einen einzelnen PMOS-Transistor 33 dargestellt ist. Wie aus der folgenden Beschreibung hervorgeht, richten sich die diversen Ausführungsformen an die Herstellung eines NMOS-Transistors mit einer verbesserten Beweglichkeit und/oder eines PMOS-Transistors, der ebenfalls eine höhere Beweglichkeit besitzt, um ein Halbleiterbauelement mit verbesserten Eigenschaften im Hinblick auf den Ein-Widerstand zu erreichen. Der Fachmann erkennt, dass die Erfindung auf ein CMOS-Bauelement, auf ein Einzel-Kanal-NMOS-Bauelement oder auf ein Einzelkanal-PMOS-Bauelement anwendbar ist. Eine integrierte Schaltung, die aus verspannten MOS-Bauelementen gemäß einer Ausführungsform hergestellt ist, kann eine große Anzahl von Bauelementen, etwa des Bauelements 30, enthalten und kann sowohl verspannte als auch nicht verspannte PMOS-Transistoren und verspannte und nicht verspannte NMOS-Transistoren enthalten.
  • Diverse Schritte bei der Herstellung von MOS-Transistoren sind gut bekannt und so wird der Kürze halber eine Vielzahl konventioneller Schritte lediglich kurz erwähnt oder die Erläuterung wird hierin vollständig weggelassen, ohne dass diese bekannten Prozessdetails erwähnt werden. Obwohl der Begriff „MOS-Bauelement” eigentlich ein Bauelement bezeichnet, dass eine Metall-Gate-Elektrode und einen Oxid-Gate-Isolator besitzt, wird der Begriff hierin durchwegs verwendet, um ein beliebiges Halbleiterbauelement zu bezeichnen, das eine leitende Gateelektrode (unabhängig davon, ob diese Metall oder ein anderes leitendes Material enthält) aufweist, die über einem Gateisolator (aus Oxid oder einem anderen Isolationsmaterial) angeordnet ist, der wiederum über einem Halbleitersubstrat angeordnet ist.
  • Wie in 1 im Querschnitt gezeigt ist, beginnt die Herstellung des verspannten MOS-Bauelements 30 gemäß einer Ausführungsform mit dem Bereitstellen eines Halbleitersubstrats 36, das eine Oberfläche 32 besitzt. Das Halbleitersubstrat ist ein beliebiges monokristallines Halbleitermaterial, und ist vorzugsweise ein monokristallines Siliziumsubstrat, wobei die Begriffe „Siliziumsubstrat” und „Siliziumschicht” hierin verwendet werden, um die relativ reinen Siliziummaterialien zu bezeichnen, die typischerweise in der Halbleiterindustrie verwendet werden. Das Halbleitersubstrat 36 wird im Weiteren zur vereinfachten Diskussion, jedoch ohne Einschränkung, als ein Siliziumsubstrat bezeichnet. Der Fachmann erkennt, dass das Halbleitersubstrat 36 auch aus anderen Halbleitermaterialien aufgebaut sein kann, etwa beispielsweise Silizium/Germanium (SiGe), Siliziumkohlenstoff (SiC), Galliumarsenid und dergleichen. Das Siliziumsubstrat 36 kann eine Siliziumvollscheibe (nicht gezeigt) sein oder eine dünne Schicht aus Silizium 34 auf einer isolierenden Schicht 35 (was üblicherweise als Silizium-auf-Isolator oder SOI bezeichnet wird), die wiederum auf einer Siliziumträgerscheibe 37 angebracht ist. Vorzugsweise ist das Halbleitersubstrat 36, wie es hier dargestellt ist, eine SOI-Scheibe, ohne jedoch darauf einschränkend zu sein. Unabhängig davon, ob ein monokristallines Silizium oder ein anderes monokristallines Halbleitermaterial verwendet wird, zeichnet sich das monokristalline Material, das das Substrat 36 und insbesondere die dünne Schicht 34, wenn das Substrat ein SOI-Substrat ist, bildet, durch eine Gitterkonstante aus, die mit der kristallinen Struktur dieses Materials verknüpft ist.
  • Um ein CMOS-Bauelement herzustellen, werden Bereiche der dünnen Siliziumschicht 34 mit p-Dotiermitteln (einer p-Wanne 38 bzw. einem p-Potentialtopf) für die Herstellung von n-MOS-Transistoren 31 dotiert, und andere Bereiche werden mit einem n-Dotiermittel (einer n-Wanne 39 bzw. einen n-Potentialtopf) für die Herstellung von p-Kanal-MOS-Transistoren 33 dotiert. Die p-Wanne und die n-Wanne können zur Erzeugung der geeigneten Leitfähigkeit beispielsweise mittels Ionenimplantation dotiert werden. Eine flache Grabenisolation (STI) 40 oder eine andere elektrische Isolierung ist in dem Halbleitersubstrat ausgebildet und erstreckt sich vorzugsweise durch die dünne Schicht aus Silizium 34 bis zu der isolierenden Schicht 35, um individuelle Bauelemente elektrisch zu trennen, wie dies für die einzurichtende Schaltungsfunktion erforderlich ist. Wie gut bekannt ist, gibt es viele Prozesse, die zur Herstellung der STI angewendet werden können, so dass dieser Prozess nicht detailliert beschrieben werden muss. Im Allgemeinen enthält die STI einen flachen Graben, der in die Oberfläche des Halbleitersubstrats geätzt wird und der nachfolgend mit einem isolierenden Material gefüllt wird. Nachdem der Graben mit dem isolierenden Material gefüllt ist, wird die Oberfläche für gewöhnlich mittels beispielsweise chemisch-mechanischer Einebnung (CMP) eingeebnet.
  • Gemäß 1 ist eine Schicht eines Gateisolators 60 an der Oberfläche der dünnen Siliziumschicht 34 gebildet. Der Gateisolator kann ein thermisch aufgewachsenes Siliziumdioxid sein, das hergestellt wird, indem das Siliziumsubstrat in einer oxidierenden Umgebung erhitzt wird, oder kann ein abgeschiedenes Isolatormaterial sein, etwa Siliziumoxid, Siliziumnitrid, ein Isolator mit einer hohen Dielektrizitätskonstante, etwa HfxSiyOz, oder dergleichen. Abgeschiedene Isolationsmaterialien können beispielsweise durch chemische Dampfabscheidung (CVD) durch chemische Dampfabscheidung unter geringem Druck (LPCVD) oder durch plasmaunterstützte chemische Dampfabscheidung (PECVD) aufgebracht werden. Wie in 1 gezeigt ist, ist der Gateisolator 60 ein thermisch aufgewachsenes Siliziumdioxid, das nur an der Oberfläche der Siliziumschicht aufwächst. Das Gateisolatormaterial besitzt typischerweise eine Dicke von 1 bis 10 Nanometer (nm). In einigen Anwendungen ist es vorteilhaft, den Gateisolator abzuscheiden, insbesondere, wenn das Halbleitersubstrat nicht Silizium als das Halbleitermaterial aufweist. Gemäß einer Ausführungsform wird eine Schicht aus einem die Gateelektrode bildenden Material 62, etwa in Form von polykristallinem Silizium, auf der Schicht des Gateisolatormaterials aufgebracht. Zur einfacheren Erläuterung, ohne jedoch einschränkend zu sein, wird die Schicht aus Gateelektroden bildendem Material im Weiteren als eine polykristalline Siliziumschicht bezeichnet, obwohl der Fachmann weiß, dass Metalle oder andere leitende Materialien ebenfalls verwendet werden können. Die Schicht aus polykristallinem Silizium wird vorzugsweise als undotiertes Polysilizium abgeschieden und wird nachfolgend mittels Ionenimplantation dotiert. Das polykristalline Siliziummaterial kann beispielsweise bis zu einer Dicke von ungefähr 100 bis 120 nm mittels LPCVD durch Wasserstoffreduktion und Silan aufgebracht werden. Eine Schicht 64 aus Hartmaskenmaterial, etwa Siliziumoxid, Siliziumnitrid oder Siliziumoxinitrid wird auf der Oberfläche des polykristallinen Siliziums aufgebracht. Das Hartmaskenmaterial kann bis zu einer Dicke von ungefähr 50 nm ebenfalls durch LPCVD aufgebracht werden.
  • Die Schicht aus polykristallinem Silizium 62 und die Schicht des Hartmaskenmaterials 64 werden photolithographisch strukturiert, um MOS-Gateelektroden 66 und 68 zu bilden, wie dies im Querschnitt in 2 gezeigt ist. Die Gateelektrode 66 liegt über dem Bereich der p-Wanne 38 der dünnen Siliziumschicht 34, der einen Kanal 70 des NMOS-Transistors 31 an der Oberfläche 32 bildet. In ähnlicher Weise ist die Gateelektrode 68 über jenem Bereich der n-Wanne 39 angeordnet, der einen Kanal 72 des PMOS-Transistors 33 ebenfalls an der Oberfläche 32 bildet. Das polykristalline Silizium kann das gewünschte Strukturmuster mittels beispielsweise Plasmaätzung in einer Cl- oder HBr/O2-Chemie geätzt werden, und die Hartmaske kann beispielsweise durch Plasmaätzung in einer CHF3-, CF4- oder SF6-Chemie geätzt werden.
  • Nach der Strukturierung der Gateelektrode wird gemäß einer Ausführungsform eine dünne Schicht 74 aus Siliziumoxid thermisch auf den gegenüberliegenden Seitenwänden 75 und 85 der Gateelektrode 66 aufgewachsen und eine dünne Schicht 76 aus Siliziumoxid wird auf den gegenüberliegenden Seitenwänden 77 und 87 der Gateelektrode 68 thermisch aufgewachsen, indem die polykristallinen Siliziumgateelektroden in einer oxidierenden Umgebung erhitzt werden. Die Schichten 74 und 76 können bis zu einer Dicke von ungefähr 2 bis 5 nm aufgewachsen werden. Die Gateelektroden 66 und 68 und die Schichten 74 und 76 werden als Ionenimplantationsmasken verwendet, um Sourceerweiterungsgebiete 81 und Drainerweiterungsgebiete 82 des NMOS-Transistors 31 und um Sourceerweiterungsgebiete 83 und Drainerweiterungsgebiete 84 des PMOS-Transistors 33 zu bilden. Die Source- und Drain-Erweiterungsgebiete des NMOS-Transistors 31 können durch Implantation von Arsenionen erzeugt werden, und die Source- und Drain-Erweiterungegebiete des PMOS-Transistors 33 können durch die Implantation von Borionen hergestellt werden. Das mögliche Erfordernis für Source- und Drain-Erweiterungebiete und ein Verfahren zu deren Herstellung sind gut bekannt und müssen hierin nicht weiter detaillierter erläutert werden. Es ist gut bekannt, obwohl dies nicht dargestellt ist, dass eine Schicht aus strukturiertem Photolack verwendet werden kann, um das p-Wannengebiet während der Implantation der Source- und Drain-Erweiterungsgebiete des p-Transistors abzudecken, und dass eine weitere Schicht aus strukturiertem Photolack verwendet werden kann, um das n-Wannengebiet während der Implantation der Source- und Drain-Erweiterungsgebiete des NMOS-Transistors abzudecken.
  • Gemäß einer Ausführungsform, wie sie in 3 gezeigt ist, werden Seitenwandabstandshalterelemente 79 über der dünnen Oxidschicht 74 an den gegenüberliegenden Seitenwänden 75, 85, gebildet und es werden Seitenwandabstandshalter 80 über der dünnen Oxidschicht 76 an den gegenüberliegenden Seitenwänden 77, 87 der Gateelektroden 66 bzw. 68 gebildet. Die Seitenwandabstandshalter können ein isolierendes Material, etwa Siliziumnitrid, Siliziumoxid oder dergleichen hergestellt werden, indem eine Schicht des isolierenden Materials über den Gateelektroden abgeschieden wird und indem nachfolgend die Schicht anisotrop geätzt wird, beispielsweise durch reaktive Ionenätzung (RIE) unter Anwendung einer CHF3-, einer CF4- oder einer SF6-Chemie. Die Seitenwandabstandshalter 79 und 80, die Gateelektroden 66 und 68, die Hartmaske auf den Gateelektroden und die STI 40 werden als eine Ätzmaske verwendet, um Vertiefungen und Gräben 92 und 94 in dem Siliziumsubstrat zueinander beabstandet und selbstjustiert zu den gegenüberliegenden Rändern der n-Kanalgatelektrode 66 zu ätzen, und um Vertiefungen 96 und 98 beabstandet zueinander und selbstjustiert zu dem gegenüberliegenden Rändern der p-Kanal-Gateelektrode 68 zu ätzen. Die Vertiefungen erstrecken sich durch die Source- und Drain-Erweiterungsgebiete und schneiden die Endbereiche der Kanäle 70 und 72. Die Vertiefungen können anisotrop geätzt werden, beispielsweise durch reaktive Ionenätzung unter Anwendung einer HBr/O2- oder Cl-Chemie. Wenn das verspannte MOS-Bauelement 30 auf einem SOI-Substrat hergestellt wird, werden die Vertiefungen in die dünne Siliziumschicht 34 geätzt, wobei diese sich nicht über die gesamte Dicke der Schicht erstrecken. Zumindest ein dünner Bereich der Schicht 34 wird an der Unterseite der Vertiefungen beibehalten, um als Keimplatz bei einem nachfolgenden epitaktischen Aufwachsschritt zu dienen.
  • Das Verfahren gemäß einer Ausführungsform geht weiter, indem eine Maskenschicht 100, etwa eine Schicht aus Siliziumdioxid, abgeschieden und strukturiert wird, wie in 4 gezeigt ist. Die Maskenschicht kann beispielsweise bis zu einer Dicke von ungefähr 50 nm durch LPCVD aus einer Tetraethylorthosilikat (TEOS) Quelle aufgebracht werden. Die Maskenschicht 100 wird beispielsweise unter Anwendung konventioneller Photolackstruktierungs- und Ätzschritte strukturiert, um die Schicht an dem PMOS-Transistor 33 zu entfernen, während diese Schicht über dem NMOS-Transistor 31 beibehalten wird.
  • Wie in 5 gezeigt ist, geht das Verfahren gemäß dieser Ausführungsform weiter, indem die Vertiefungen 96 und 98 mit einem monokristallinen Halbleitermaterial 102 gefüllt werden, das eine Gitterkonstante besitzt, die größer ist als die Gitterkonstante der aufnehmenden dünnen Siliziumschicht 34. Vorzugsweise ist das Halbleitermaterial 102 ein selektiv aufgewachsenes eingebettetes epitaktisches Siliziumgermaniummaterial (SiGe) mit ungefähr 10 bis 25 Atomprozent an Germanium, wobei das Germanium im Silizium entsprechende Gitterplätze besetzt. Das epitaktische Wachstum des eingebetteten Halbleitermaterials beginnt an dem verbleibenden dünnen Bereich der Siliziumschicht 37 an der Unterseite der Vertiefungen 96 und 98. Das Maskenmaterial 100, die Seitenwandabstandshalter 80, das Hartmaskenmaterial 67 und die STI 40 verhindern bzw. verzögern das selektive Aufwachsen in Gebieten, die nicht die Vertiefungen 96 und 98 sind. Verfahren zum epitaktischen Aufwachsen von Materialien, etwa von SiGe, auf einem Siliziumbasismaterial in selektiver Weise sind gut bekannt und werden nicht beschrieben. SiGe besitzt eine größere Gitterkonstante als Silizium und erzeugt eine kompressive Längsverformung in dem Transistorkanal 72, wie dies durch die Pfeile 172 angezeigt ist. Die kompressive Längsverspannung erhöht die Beweglichkeit der Löcher als Majoritätsladungsträger in dem Kanal und verbessert somit das Leistungsverhalten des p-Kanal-MOS-Transistors 34. Das SiGe-Material kann mit einem Dotiermittel, das eine p-Leitfähigkeit hervorruft, dotiert werden, etwa durch Bor, um ein Sourcegebiet 103 und ein Draingebiet 105 zu erzeugen, die elektrisch mit dem Sourceerweiterungebiet 83 bzw. dem Drainerweiterungsgebiet 84 des PMOS-Transistors 33 verbunden sind. Die Dotierung kann mittels einer in-situ-Dotierung während des selektiven epitaktischen Aufwachsens oder nachfolgend mittels Ionenimplantation bewerkstelligt werden.
  • Die in den 4 und 5 gezeigten Schritte zum Verspannen des Kanals des PMOS-Transistors 33 können mit geeigneten Änderungen wiederholt werden, um die Beweglichkeit von Elektronen als Majoritätsladungsträger im Kanal 70 des NMOS-Transistors 31 zu verbessern, wie in den 6 und 7 gezeigt ist. Die strukturierte Maskenschicht 100 wird entfernt und es wird, wie in 6 gezeigt ist, eine Maskenschicht 104 abgeschieden und strukturiert, um die Schicht vom NMOS-Transistor 31 zu entfernen, während die Schicht über dem PMOS-Transistor 33 bleibt. Die Schicht 104 kann eine ähnliche Zusammensetzung wir die Maskenschicht 100 aufweisen und kann in ähnlicher Weise abgeschieden und strukturiert werden.
  • Wie in 7 gezeigt ist, geht das Verfahren gemäß dieser Ausführungsform weiter, indem die Vertiefungen 92 und 94 mit einem monokristallinen Halbleitermaterial 106 gefüllt werden, das eine Gitterkonstante besitzt, die kleiner ist als die Gitterkonstante der dünnen Siliziumbasisschicht 34. Vorzugsweise ist das Halbleitermaterial 106 ein selektiv aufgewachsenes eingebettetes epitaktisches Siliziumkohlenstoffmaterial (SiC) mit ungefähr 1 bis 4 Atomprozent an Kohlenstoff, wobei der Kohlenstoff Silizium an Gitterplätzen ersetzt. Das epitaktische Aufwachsen des eingebetteten Halbleitermaterials beginnt an dem verbleibenden dünnen Bereich der Siliziumschicht 34 an der Unterseite der Vertiefungen 92 und 94. Das Maskenmaterial 104, die Seitenwandabstandshalter 79, das Hartmaskenmaterial 64 und die STI 40 verhindern bzw. verzögern das selektive Aufwachsen in Gebieten, die nicht die Vertiefungen 92 und 94 sind. Verfahren zum epitaktischen Aufwachsen von Materialien, etwa von SiC, auf einem Siliziumbasismaterial in selektiver Weise sind gut bekannt und wurden daher hierin nicht beschrieben. SiC besitzt eine kleinere Gitterkonstante als Silizium und erzeugt eine Zuglängsverspannung in dem Transistorkanal 70, wie dies durch die Pfeile 170 angegeben ist. Die Zuglängsverspannung erhöht die Beweglichkeit von Elektronen als Majoritätsladungsträger in dem Kanal und verbessert somit das Leistungsverhalten des NMOS-Transistors 31. Das SiC-Material kann mit einem Dotiermittel mit n-Leitfähigkeit versehen werden, etwa mit Arsen oder Phosphor, um ein Sourcegebiet 107 und ein Draingebiet 109 herzustellen, die entsprechend mit dem Sourceerweiterungsgebiet 81 und dem Drainerweiterungsgebiet 82 des NMOS-Transistors 31 verbunden sind. Die Dotierung kann durch eine in-situ-Dotierung während des selektiven epitaktischen Aufwachsens oder nachfolgend mittels Ionenimplantation bewerkstelligt werden. Der Fachmann erkennt, dass die in den 6 und 7 dargestellten Verfahrensschritte auch vor den Verfahrensschritten ausgeführt werden können, die in den 4 und 5 gezeigt sind.
  • Gemäß einer Ausführungsform geht das Verfahren weiter, indem die Maskenschicht 104 und die Seitenwandabstandshalter 79 und 80 entfernt werden. Die Schicht aus Hartmaskenmaterial 64 kann ebenfalls teilweise vollständig zu diesem Zeitpunkt entfernt werden, obwohl in dieser beispielhaften Ausführungsform die Hartmaske nicht entfernt wird. Gemäß dieser Ausführungsform werden neue Seitenwandabstandshalter 108 an den gegenüberliegenden Seitenwänden 75 und 85 der Gateelektrode 66 gebildet und es werden neue Seitenwandabstandshalter 110 an den gegenüberliegenden Seitenwänden 77 und 87 der Gateelektrode 68 gebildet, wie dies in 8 gezeigt ist. Die neuen Seitenwandabstandshalter können beispielsweise durch Abscheiden einer Schicht eines isolierenden Materials, etwa Siliziumoxid, Siliziumnitrid und dergleichen, mittels PECVD oder LPCVD und durch anisotropes Ätzen der Schicht, beispielsweise durch reaktive Ionenätzung, gebildet werden. In konventionellen Verarbeitungstechniken wird nun eine dicke Metallsilizidschicht an der Oberfläche der Source- und Drain-Gebiete hergestellt und diese würde sich in das Volumen dieser Gebiete erstrecken. Das dicke Silizid wurde als notwendig erachtet, um den externen Widerstand der Transistoren zu verringern, indem der Widerstand entlang den Source- und Draingebieten verringert wird und indem der Kontaktwiderstand zu den Source- und Draingebieten reduziert wird. Es wurde jedoch festgestellt, dass die Ausbildung dicker Metallsilizidschichten eine Entspannung der Verspannungen hervorruft, die auf die Kanalgebiete durch das eingebettete SiGe-Material und/oder SiC-Material hervorgerufen werden, wodurch die Steigerung der Beweglichkeit, die ansonsten durch das eingebettete Material erreich würde, verringert wird. Probleme, die mit dicken Metallsilizidschichten verknüpft sind, können vermieden werden gemäß einer Ausführungsform der Erfindung, indem stattdessen eine dünne Metallsilizidschicht 112 bereitgestellt wird, die mit dem Sourcegebiet und dem Draingebiet in Kontakt ist und die möglicherweise mit einigen der Gateelektroden in Kontakt ist (wie dies für die gewünschte Schaltungsfunktion erforderlich ist), in Stellen, an denen die Hartmaskenschicht ggf. entfernt wurde. Mit „einer dünnen Metallsilizidschicht” ist eine Schicht aus Metallsilizid gemeint, die sich in die Soure- und Draingebiete bis zu einer Tiefe von ungefähr 5 nm oder weniger erstreckt. Die dünne Metallsilizidschicht wird durch Abscheiden einer Schicht aus einem silizidbildenden Metall (nicht gezeigt) und durch das Erzeugen einer Reaktion des Metalls mit freiliegendem Silizium (SiGe und/oder SiC in den Source- und Draingebeiten und mit möglicherweise polykristallinen Gateelektroden) gebildet, indem beispielsweise eine Aufheizung durch schnelles thermisches Ausheizen (RTA) erfolgt. Das silizidbildende Metall kann beispielsweise Kobalt, Nickel, Rhenium, Ruthenium oder Palladium oder Legierungen dieser Metalle sein und ist vorzugsweise Kobalt oder Nickel. Das silizidbildende Metall kann beispielsweise durch Sputter-Abscheidung mit einer Dicke von ungefähr 5 bis 30 nm aufgebracht werden. Unter Anwendung einer dünnen Schicht eines silizidbildenden Metalls kann die Silizidschicht 112 so gebildet werden, dass diese sich hinab bis zu einer Tiefe von weniger als ungefähr 5 nm in den Source- und Draingebieten erstreckt. Es wurde erkannt, dass derartige Metallsilizidschichten eine vernachlässigbare Wirkung auf das Verringern des verformungsinduzierenden Effekts des eingebetteten epitaktischen Materials besitzen. Bereiche des silizidbildenden Metalls, die nicht mit freiliegendem Silizium in Kontakt sind, beispielsweise das silizidbildende Metall, das auf den Seitenwandabstandshaltern oder der STI 40 aufgebracht wird, reagiert nicht während der RTA um ein Silizid zu bilden und dieses Material kann nachfolgend durch Nassätzung in einer H2O2/H2SO4- oder einer HNO3/HCl-Lösung entfernt werden.
  • Da die Metallsilizidschicht dünner ist, ist es schwierig, einen zuverlässigen elektrischen Kontakt zu den Source- und Draingebieten auf der Grundlage konventioneller Technologien für Kontaktelemente bzw. Pfropfen zu erhalten. In der konventionellen Technologie für Kontaktelemente (nicht gezeigt) wird eine Schicht aus dielektrischem Material, etwa CVD-Siliziumoxid, über dem Metallsilizid und den Gateelektrodenstrukturen abgeschieden. Das dielektrische Material wird dann eingeebnet mittels einer chemisch-mechanischen Einebungs-(CMP)-Technik und es wird dann eine Kontaktöffnung durch das dielektrische Material hindurch geätzt, um einen Bereich des Metallsilizids freizulegen. Sodann würde in konventionellen Verfahren eine Kontaktschicht, etwa eine Schicht aus Titan, durch die Kontaktöffnungen hindurch auf die Oberfläche des Metallsilizids, das auf den Source- und Draingebieten gebildet ist, abgeschieden. An das Abscheiden des Titans würde sich die Herstellung einer leitenden Barrierenschicht, etwa einer Titannitridschicht, anschließen und danach würde ein Wolframelement bzw. Pfropfen hergestellt. Das Aufheizen der Ti/TiN/W-Kontaktelementsstruktur würde die Ausbildung einer dicken Titansilizidschicht hervorrufen, die die Verspannung in den eingebetteten Source- und Drain-Gebieten relaxieren würde. Des weiteren kann die TiN-Schicht einen relativ hohen Schichtwiderstand insbesondere in kleineren Kontaktöffnungen besitzen. Gemäß einer Ausführungsform des vorliegenden Verfahrens wird die Problematik, die mit der konventionellen Kontaktpfropfentechnologie verknüpft ist, vermieden, indem eine Schicht aus leitendem Material selektiv abgeschieden wird, so dass diese mit den dünnen Metallsilizidschichten 112 in Kontakt ist. Vorzugsweise wird eine dünne Saatschicht 114 selektiv auf dem dünnen Metallsilizidschichten 112 aufgebracht und anschließend werden dickere Schichten 116 aus Metall oder anderen leitenden Materialien selektiv auf der Saatschicht aufgebracht, wie dies in 9 gezeigt ist. Die Saatschicht 114 ist beispielsweise eine Schicht aus Palladium mit einer Dicke im Bereich von 1 atomaren Monoschicht bis ungefähr 3 nm. Die Schicht aus Palladium wird vorzugsweise durch stromlose Abscheidung aus einer Lösung aus Palladium-Atzetat und Essigsäure abgeschieden. Vorzugsweise ist die leitende Materialschicht 116, die selektiv auf der Saatschicht aufgebracht wird, eine Kobalt- und Wolframmetallschicht. Es können auch Bor und/oder Phosphor der Schicht 116 hinzugefügt werden, um die Gleichmäßigkeit und die Selektivität des Abscheidens und die Beständigkeit zu verbessern. Die dickere Schicht 116 wird vorzugsweise selektiv durch stromlose Abscheidung aus einer Lösung mit einer Lösung aus Kobaltsulfatheptahydrat, Ammoniumtungstat und Natriumhypophosphit mit einer möglichen Hinzugabe von Puffermitteln, Komplexbildnern und ph-Ausgleichssubstanzen abgeschieden. Chemikalien, etwa Dimethylaminboran können als Quelle für Bor hinzugefügt werden. Andere stromlose Abscheidelösungen für diese Anwendung, wovon einige geschützte Marken sind, sind von den Zulieferern für Anlagen zur stromlosen Abscheidung erhältlich. Unter Anwendung einer derartigen Lösung kann die dickere Metallschicht 116 selektiv auf die Saatschicht 114 mit einer Dicke von ungefähr 20 bis 50 nm in ungefähr 15 bis 40 Minuten mit einer Temperatur der Lösung von ungefähr 65 Grad C bis ungefähr 75 Grad C aufgebracht werden. Die genaue Abscheidezeiten und Temperaturen hängen von der speziellen Abscheidelösung und der speziellen Abscheideanlagen, die verwendet wird ab. In einem bevorzugten Verfahren ist die Saatschicht 114 vorzugsweise ein Palladiummaterial, das durch einen stromlosen Abscheideprozess aufgebracht wird, und die dickere Schicht 116 ist eine Metallschicht mit zumindest Kobalt und Wolfram, wobei zu beachten ist, dass obwohl diese Materialien und Prozesse bevorzugt sind, die Erfindung nicht auf die Materialien oder auf einen stromlosen Abscheideprozess eingeschränkt ist. Andere leitende Materialien und selektive Abscheidetechniken können ebenfalls verwendet werden. Beispielsweise können die dickeren Schichten reines Wolfram sein, das mittels einer selektiven CVD-Technik aufgebracht wird.
  • Gemäß einer Ausführungsform geht das Verfahren in konventioneller Weise weiter, wie dies in 10 gezeigt ist, indem eine isolierende Schicht 118 abgeschieden wird. Die isolierende Schicht 118, die häufig auch als schichtinternes Dielektrikum (ILD) bezeichnet wird, kann beispielsweise eine Schicht aus Siliziumoxid aufweisen, das durch eine der chemischen Dampfabscheidetechniken aufgebracht wird. Die Oberfläche der isolierenden Schicht 118 wird vorzugsweise, etwa durch CMP, eingeebnet und es werden Kontaktöffnungen 120 durch die eingeebnete Schicht geätzt, um Bereiche der dickeren abgeschiedenen leitenden Schicht 116 über den Source- und Draingebieten freizulegen. Die Kontaktöffnungen 120 werden mit Metall 122, etwa Aluminium oder Kupfer oder Legierungen davon oder durch ein anderes leitendes Material, etwa dotiertes kristallines Silizium, gefüllt, um Kontakte zu der leitenden Metallschicht und damit wiederum zu den Source- und Draingebieten herzustellen. Diese Verfahrensschritte zur Herstellung und Strukturierung von ILD-Schichten und zum Füllen von Kontaktöffnungen sind dem Fachmann auf dem Gebiet der Herstellung von Halbleiterbauelementen gut bekannt und müssen daher nicht detailliert beschrieben werden. Obwohl dies nicht dargestellt ist, können weitere Schichten aus einem dielektrischen Zwischenschichtmaterial, zusätzliche Schichten einer Verbindungsmetallisierung und dergleichen aufgebracht und strukturiert werden, um die geeignete Schaltungsfunktion der herzustellenden integrierten Schaltung zu erreichen.
  • Gemäß einer alternativen Ausführungsform beginnt das Verfahren zur Herstellung eines durch Verformung verbesserten MOS-Bauelements 30 zum Ausführen der gleichen Verfahrensschritte, wie sie in den 1 bis 8 gezeigt sind. Nach der Herstellung der dünnen Metallsilizidschicht 112 (siehe 8) wird eine Schicht eines isolierenden Materials 124 aufgebracht und strukturiert, wie in 11 gezeigt ist. Die Schicht aus isolierendem Material kann beispielsweise eine Schicht aus Siliziumoxid sein, dass mittels eines CVD-Prozesses aus einer TEOS-Quelle aufgebracht wird. Die schicht aus isolierendem Material kann durch konventionelle Photolackstrukturierungs- und Ätzschritte strukturiert werden. Das strukturierte isolierende Material wird von PMOS-Transistor 33 entfernt und wird über dem NMOS-Transistor 31 beibehalten.
  • Wie in 12 gezeigt ist, geht diese Ausführungsform weiter, indem in selektiver Weise eine kompressiv verspannte leitende Metallnitridschicht 126 für den elektrischen Kontakt mit der Metallsilizidschicht auf dem Sourcegebiet 103 und dem Draingebiet 105 des PMOS-Transistors 33 aufgebracht wird. Das leitende Metallnitrid kann beispielsweise ein Nitrid aus Titan, Vanadium, Kobalt oder Nickel sein. Das leitende Metallnitrid kann beispielsweise durch einen stromlosen Prozess aufgebracht werden. Die Abscheideparameter können so eingestellt werden, dass das Nitrid als eine kompressive verspannte dielektrische Schicht aufgebracht wird. Z. B. kann die Abscheidetemperatur und die Zusammensetzung der Reaktanten in geeigneter Weise eingestellt werden. Des weitere kann eine UV-Ausheizung nach der Abscheidung angewendet werden, um die Verspannungsbedingungen einzustellen.
  • Die strukturierte isolierende Schicht 124 wird entfernt und es wird eine weitere isolierende Schicht 128 aufgebracht und strukturiert, wie in 13 gezeigt ist. Die isolierende Schicht 128 kann ein Material ähnlich zu dem Material der isolierenden Schicht 124 sein. Die isolierende Schicht 128 wird strukturiert und geätzt mittels konventioneller Photolackstruktuierungen und Ätzung, um die Schicht über dem NMOS-Transistor 31 zu entfernen und um die Schicht über dem PMOS-Transistor 33 beizubehalten.
  • Wie in 14 gezeigt ist, geht das Verfahren gemäß dieser Ausführungsform weiter, indem eine Schicht aus einem zugverspannten Metallnitrid 130 den elektrischen Kontakt mit der dünnen Metallsilizidschicht 112 über dem Sourcegebiet 107 und dem Draingebiet 109 des NMOS-Transistors 33 abgeschieden wird. Das zugverspannte Metallnitrid kann aus den gleichen Metallnitriden ausgewählt sein, wie sie aus dem PMOS-Transistor 33 aufgebracht sind. Das verspannte Metallnitrid 130 wird vorzugsweise durch einen stromlosen Abscheideprozess aufgebracht. Wiederum können die Abscheidebedingungen so eingestellt werden, dass die Schicht als eine zugverspannte Schicht aufgebracht wird. Nach dem selektiven Abscheiden der Metallnitridschicht 130 wird die strukturierte isolierende Schicht 128 entfernt. Die Herstellung einer zugverspannten Metallnitridschicht auf dem Source und dem Drain des NMOS-Transistors 31 und die Herstellung einer kompressiv verspannten Metallnitridschicht auf dem Source und dem Drain des PMOS-Transistors 33 verbessert die Verformung, die in den Kanälen dieser Transistoren hervorgerufen wird und verbessert wiederum die Beweglichkeit der Majoritätsladungsträger in den Transistorkanälen. Der Fachmann erkennt, dass die Reihenfolge des Abscheidens der Metallnitridschichten 126 und 130 vertauscht werden.
  • Das Verfahren gemäß dieser Ausführungsform geht in der gleichen Weise weiter, wie in den 9 und 10 gezeigt ist mit der Ausnahme, dass die Saatschicht 124 selektiv auf den Metallnitridschichten 126 und 130 aufgebracht wird, anstatt dass diese auf der dünnen Metallsilizidschicht 112 aufgebracht wird.
  • Gemäß einer noch weiteren Ausführungsform wird eine Doppelverspannungsschicht über der dickeren abgeschiedenen Metallschicht 116 und den Gateelektroden 66 und 68 abgeschieden, wie dies in den 15 und 16 gezeigt ist. Es sei wieder auf 10 verwiesen; unabhängig davon, ob die selektiv aufgebrachte dickere leitende Schicht 116 auf der dünnen Metallsilizidschicht 112 oder auf den Metallnitridschicht 126 und 130 aufgebracht wird, wird anstatt des Abscheidens einer konventionellen ILD-Schicht eine Schicht aus verspanntem isolierenden Material 150, vorzugsweise eine Schicht aus verspanntem Siliziumnitrid, aufgebracht. Gemäß einer Ausführungsform ist die Schicht 150 aus verspannten isolierenden Material eine Schicht aus kompressiv verspanntem Siliziumnitrid. Die Schicht 150 wird strukturiert, um das kompressiv verspannte isolierende Material über dem NMOS-Transistor 39 zu entfernen und um die kompressiv verspannte isolierende Materialschicht über dem PMOS-Transistor 33 beizubehalten, wie in 15 gezeigt ist.
  • Das Verfahren gemäß dieser Ausführungsform geht weiter, wie in 16 gezeigt ist, indem eine weitere Schicht eines verspannten isolierenden Materials 152 aufgebracht wird, wobei diese Schicht eine Schicht aus einem zugverspanntem isolierenden Material ist und vorzugsweise eine Schicht aus zugverspannten Siliziumnitridmaterial repräsentiert. Die Schicht 152 wird strukturiert und geätzt, um den Bereich der Schicht über dem PMOS-Transistor 33 zu entfernen und um den Bereich der Schicht über dem NMOS-Transistor 31 beizubehalten. Die Schichten aus Siliziumnitrid, sowohl also die kompressiv verspannte Schicht als auch die zugverspannte Schicht, können beispielsweise durch LPCVD oder PECVD aus Reaktionsstoffen mit Dichlorsilan und Ammoniak abgeschieden werden. Die Abscheidebedingungen, die Reaktionsstoffe, die Durchflussraten können so eingestellt werden, wie dies auch bekannt ist, um entweder eine zugverspannte Schicht oder eine kompressive Verspannungsschicht aufzubringen. Die kompressiv verspannte Isolationsschicht über dem PMOS-Transistor 33 dient dazu, die kompressive Längsverspannung in dem Kanal 72 zu vergrößern und damit die Beweglichkeit der Löcher als Majoritätsladungsträger in diesem Kanal zu erhöhen. Die zugverspannte Schicht über dem NMOS-Transistor 31 dient dazu, die Zuglängsverspannung in dem Kanal 70 zu erhöhen und damit auch die Beweglichkeit der Elektronen als Majoritätsladungsträger in diesem Kanal zu erhöhen. Über den strukturierten Schichten aus kompressiv verspanntem und zugverspanntem Material kann eine Schicht eines Isolators, etwa eine Siliziumoxidschicht, aufgebracht werden und das Bauelement kann in der gleichen Weise fertiggestellt werden, wie in 10 gezeigt ist.

Claims (10)

  1. Verfahren zur Herstellung eines durch Verformung verbesserten Halbleiterbauelements (30) mit einem Halbleitersubstrat (36) mit einer Oberfläche (32), das ein darin ausgebildetes Sourcegebiete (103, 107) und ein Draingebiet (105, 109) aufweist, die durch ein Kanalgebiet (70, 72) in dem Halbleitersubstrat (36) getrennt sind, und mit einer Gateelektrode (66, 68), die über dem Kanalgebiet (70, 72) angeordnet ist, wobei das Verfahren die Schritte umfasst: Einbetten des verformungsinduzierenden Halbleitermaterials (102, 106) in das Sourcegebiet (103, 107) und das Draingebiet (105, 109); Abscheiden einer Schicht eines silizidbildenden Metalls auf Oberflächen des Sourcegebiets (103, 107) und des Draingebiets (105, 109) und Ausheizen zur Herstellung von Metallsilizidkontakten (112) direkt entlang der Oberflächen des Sourcegebiets (103, 107) und des Draingebiets (105, 109), so dass sich die Metallsilizidkontakte (112) in das Sourcegebiet (103, 107) und das Draingebiet (105, 109) zu einer Tiefe von 5 nm oder weniger erstrecken; selektives Abscheiden einer oder mehrerer Schichten aus leitendem Material (114, 116, 126, 130), das über den Metallsilizidkontakten (112) angeordnet ist, wobei die eine oder die mehreren Schichten aus leitendem Material zumindest eine Schicht aus einem leitenden Material (116) umfassen, wobei die eine oder die mehreren Schichten aus leitendem Material (114, 116, 126, 130) mit den Metallsilizidkontakten (112) in Kontakt sind; danach, ohne die zumindest eine Schicht aus leitendem Material (116) zu entfernen, Abscheiden einer Isolierschicht 118 über der zumindest einen Schicht aus leitendem Material (116); Ätzen von Kontaktöffnungen (120) durch die Isolierschicht (118); und Bilden in den Kontaktöffnungen (120) metallisierter Kontakte (112), die physikalisch die Schicht aus dem leitenden Material (116) kontaktieren.
  2. Verfahren nach Anspruch 1, wobei der Schritt des selektiven Abscheidens einer oder mehrerer Schichten aus leitendem Material (114, 116, 126, 130), das über den Metallsilizidkontakten (112) angeordnet ist, umfasst: selektives Abscheiden einer Schicht aus verspanntem Metallnitrid (136, 130) in physikalischem Kontakt mit den Metallsilizidkontakten; und selektives Abscheiden zweier oder mehrerer Schichten aus leitendem Material (114, 116), wobei die zwei oder die mehreren Schichten aus leitendem Material die Saatschicht (114) und die zumindest eine Schicht aus leitendem Material (116) umfassen.
  3. Verfahren nach Anspruch 1, das ferner den Schritt umfasst: Abscheiden einer Schicht aus verspanntem isolierenden Material (150, 152) über der Gateelektrode.
  4. Verfahren nach Anspruch 1, wobei der Schritt des selektiven Abscheidens den Schritt des stromlosen Abscheidens umfasst.
  5. Verfahren zur Herstellung eines Halbleiterbauelements (30) mit einem Halbleitersubstrat (36) mit eine Oberfläche (32), mit einem PMOS-Transistor (33) und einem NMOS-Transistor (31), wobei der PMOS-Transistor und der NMOS-Transistor jeweils ein Sourcegebiet (103, 107), das in dem Halbleitersubstrat (36) gebildet ist, ein Draingebiet (105, 09), das in dem Halbleitersubstrat (36) gebildet ist, und eine Gateelektrode (66, 68) aufweisen, die über einem Kanalgebiet (70, 72) gebildet ist, wobei das Verfahren die Schritte umfasst: Einbetten eines ersten verformungsinduzierenden Halbleitermaterials (102) in dem Sourcegebiet und dem Draingebiet des PMOS-Transistors; Einbetten eines zweiten verformungsinduzierenden Halbleitermaterials (106) in dem Sourcegebiet und dem Draingebiet des NMOS-Transistors; Abscheiden einer Schicht aus silizidbildendem Metall auf einer Oberfläche des Sourcegebiets (103, 107) und des Draingebiets (105, 109) und Aufheizen, um Metallsilizidkontakte (112) direkt entlang eine Oberfläche des Sourcegebiets und des Draingebiets des PMOS-Transistors und des Sourcegebiets und des Draingebiets des NMOS-Transistors zu bilden, so dass sich die Metallsilizidkontakte (112) in das Sourcegebiet (103, 107) und das Draingebiet (105, 109) zu einer Tiefe von 5 nm oder weniger erstrecken, um das Sourcegebiet und das Draingebiet des PMOS-Transistors und das Sourcegebiet und das Draingebiet des NMOS-Transistors zu kontaktieren, so dass eine in den Kanalgebieten (70, 72) durch eingebettete erste und zweite verformungsinduzierende Halbleitermaterialien (102, 106) in dem Sourcegebiet (103, 107) und dem Draingebiet (105, 109) induzierte Verformung nicht entspannt wird; selektives Abscheiden einer oder mehrerer leitender Schichten (114, 116, 126, 130) über den Metallsilizidkontakten (112), wobei die eine oder die mehreren Schichten aus leitendem Material zumindest eine Schicht aus einem leitenden Material (116) umfassen, wobei die eine oder die mehreren Schichten aus leitendem Material (114, 116, 126, 130) mit den Metallsilizidkontakten (112) in Kontakt sind; Abscheiden einer Schicht eines ersten verspannten isolierenden Materials (150) über dem PMOS-Transistor; und Abscheiden einer Schicht eines zweiten verspannten isolierenden Materials (152) über dem NMOS-Transistor.
  6. Verfahren nach Anspruch 5, wobei der Schritt des selektiven Abscheidens die Schritte umfasst: selektives Abscheiden einer Schicht eines verspannten Metallnitrids (126, 130) in direktem physikalischen und elektrischem Kontakt zu den Metallsilizidkontakten (112); und stromloses Abscheiden von Schichten aus Metall (114, 116) über der Schicht aus verspanntem Metallnitrid (126, 130).
  7. Verfahren nach Anspruch 6, wobei der Schritt des stromlosen Abscheidens von Schichten aus Metall die Schritte umfasst: Abscheiden einer Saatschicht 114; und stromloses Abscheiden einer Schicht (116) mit Kobalt und Wolfram über der Saatschicht.
  8. Verfahren nach Anspruch 5, wobei der Schritt des Einbettens eines ersten verformungsinduzierenden Halbleitermaterials die Schritte umfasst: Ätzen einer ersten Vertiefung (96) in das Sourcegebiet und einer zweiten Vertiefung (98) in das Draingebiet des PMOS-Transistors; und epitaktisches Aufwachsen einer Schicht aus Siliziumgermanium (102), um die erste Vertiefung und die zweite Vertiefung zu füllen.
  9. Verfahren nach Anspruch 8, wobei der Schritt des Einbettens eines zweiten verformungsinduzierenden Halbleitermaterials die Schritte umfasst: Ätzen einer dritten Vertiefung 92 in das Sourcegebiet und einer vierten Vertiefung 94 in das Draingebiet des NMOS-Transistors; und epitaktisches Aufwachsen einer Schicht aus Siliziumkohlenstoff (106), um die dritte Vertiefung und die vierte Vertiefung zu füllen.
  10. Durch Verformung verbessertes Halbleiterbauelement (30) mit: einem Halbleitersubstrat (36); einem Sourcegebiet (103, 107) mit einem Draingebiet (109), wovon jedes ein verformungsinduzierendes Halbleitermaterial (102, 106), das in dem Halbleitersubstrat (36) eingebettet ist, aufweist, wobei das Sourcegebiet (103, 107) und das Draingebiet (105, 109) durch ein verformtes Kanalgebiet (70, 72) getrennt sind; einer Gateelektrode (68), die über dem verformten Kanalgebiet angeordnet ist; Silizidkontakten (112), die sich in das Sourcegebiet und das Draingebiet zu einer Tiefe von 5 nm oder weniger erstrecken; einer stromlos plattierten leitenden Schicht (114, 116), die über den Silizidkontakten (112) angeordnet ist; und einer verspannten isolierenden Schicht (150, 152), die über der Gateelektrode (68) angeordnet ist.
DE112008000974T 2007-04-12 2008-04-11 Durch Verformung verbesserte Halbleiterbauelemente und Verfahren zu deren Herstellung Active DE112008000974B4 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/734,673 2007-04-12
US11/734,673 US8124473B2 (en) 2007-04-12 2007-04-12 Strain enhanced semiconductor devices and methods for their fabrication
PCT/US2008/004692 WO2008127643A2 (en) 2007-04-12 2008-04-11 Strain enhanced semiconductor devices and methods for their fabrication

Publications (2)

Publication Number Publication Date
DE112008000974T5 DE112008000974T5 (de) 2010-02-11
DE112008000974B4 true DE112008000974B4 (de) 2012-03-22

Family

ID=39511050

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112008000974T Active DE112008000974B4 (de) 2007-04-12 2008-04-11 Durch Verformung verbesserte Halbleiterbauelemente und Verfahren zu deren Herstellung

Country Status (8)

Country Link
US (1) US8124473B2 (de)
JP (1) JP5306320B2 (de)
KR (1) KR101479291B1 (de)
CN (1) CN101711427B (de)
DE (1) DE112008000974B4 (de)
GB (1) GB2460806B (de)
TW (1) TWI453829B (de)
WO (1) WO2008127643A2 (de)

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1941296A (zh) * 2005-09-28 2007-04-04 中芯国际集成电路制造(上海)有限公司 应变硅cmos晶体管的原位掺杂硅锗与碳化硅源漏极区
CN100442476C (zh) * 2005-09-29 2008-12-10 中芯国际集成电路制造(上海)有限公司 用于cmos技术的应变感应迁移率增强纳米器件及工艺
US8394196B2 (en) * 2006-12-12 2013-03-12 Applied Materials, Inc. Formation of in-situ phosphorus doped epitaxial layer containing silicon and carbon
US7960236B2 (en) * 2006-12-12 2011-06-14 Applied Materials, Inc. Phosphorus containing Si epitaxial layers in N-type source/drain junctions
US7727870B2 (en) * 2007-04-19 2010-06-01 Freescale Semiconductor, Inc. Method of making a semiconductor device using a stressor
DE102007030053B4 (de) * 2007-06-29 2011-07-21 Advanced Micro Devices, Inc., Calif. Reduzieren der pn-Übergangskapazität in einem Transistor durch Absenken von Drain- und Source-Gebieten
US7911001B2 (en) * 2007-07-15 2011-03-22 Samsung Electronics Co., Ltd. Methods for forming self-aligned dual stress liners for CMOS semiconductor devices
CN101364545B (zh) * 2007-08-10 2010-12-22 中芯国际集成电路制造(上海)有限公司 应变硅晶体管的锗硅和多晶硅栅极结构
US8471307B2 (en) * 2008-06-13 2013-06-25 Texas Instruments Incorporated In-situ carbon doped e-SiGeCB stack for MOS transistor
KR101057189B1 (ko) 2008-11-12 2011-08-16 주식회사 하이닉스반도체 단채널 효과를 억제하는 트랜지스터 및 그 제조방법
US7670934B1 (en) * 2009-01-26 2010-03-02 Globalfoundries Inc. Methods for fabricating MOS devices having epitaxially grown stress-inducing source and drain regions
US7935593B2 (en) * 2009-02-05 2011-05-03 Samsung Electronics Co., Ltd. Stress optimization in dual embedded epitaxially grown semiconductor processing
US20110031503A1 (en) * 2009-08-10 2011-02-10 International Business Machines Corporation Device with stressed channel
DE102009039521B4 (de) * 2009-08-31 2018-02-15 Globalfoundries Dresden Module One Llc & Co. Kg Verbesserte Füllbedingungen in einem Austauschgateverfahren unter Anwendung einer zugverspannten Deckschicht
CN102024761A (zh) * 2009-09-18 2011-04-20 中芯国际集成电路制造(上海)有限公司 用于形成半导体集成电路器件的方法
DE102009046241B4 (de) * 2009-10-30 2012-12-06 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verformungsverstärkung in Transistoren, die eine eingebettete verformungsinduzierende Halbleiterlegierung besitzen, durch Kantenverrundung an der Oberseite der Gateelektrode
US8603916B2 (en) * 2009-12-30 2013-12-10 Stmicroelectronics, Inc. CMP techniques for overlapping layer removal
TWI585861B (zh) * 2010-02-10 2017-06-01 格羅方德半導體公司 具有磊晶成長之應力引發源極與汲極區之金氧半導體裝置的製造方法
JP5540852B2 (ja) * 2010-04-09 2014-07-02 富士通セミコンダクター株式会社 半導体装置の製造方法
US8236660B2 (en) * 2010-04-21 2012-08-07 International Business Machines Corporation Monolayer dopant embedded stressor for advanced CMOS
US8299535B2 (en) * 2010-06-25 2012-10-30 International Business Machines Corporation Delta monolayer dopants epitaxy for embedded source/drain silicide
KR101776926B1 (ko) 2010-09-07 2017-09-08 삼성전자주식회사 반도체 소자 및 그 제조 방법
DE102010063292B4 (de) 2010-12-16 2016-08-04 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung gering diffundierter Drain- und Sourcegebiete in CMOS-Transistoren für Anwendungen mit hoher Leistungsfähigkeit und geringer Leistung
CN102790008A (zh) * 2011-05-16 2012-11-21 中芯国际集成电路制造(上海)有限公司 形成接触插栓的方法
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
CN103165454B (zh) * 2011-12-12 2016-08-17 中芯国际集成电路制造(北京)有限公司 半导体器件及其制造方法
US8815712B2 (en) * 2011-12-28 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for epitaxial re-growth of semiconductor region
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
CN103367363B (zh) * 2012-03-27 2016-08-10 中国科学院微电子研究所 半导体器件及其制造方法
CN103515434B (zh) * 2012-06-26 2016-01-06 中芯国际集成电路制造(上海)有限公司 Mos晶体管及其形成方法、sram存储单元电路
US9306054B2 (en) 2013-05-24 2016-04-05 Samsung Electronics Co., Ltd. Semiconductor device and a method of fabricating the same
US8895396B1 (en) 2013-07-11 2014-11-25 United Microelectronics Corp. Epitaxial Process of forming stress inducing epitaxial layers in source and drain regions of PMOS and NMOS structures
CN104465388A (zh) * 2013-09-23 2015-03-25 中芯国际集成电路制造(上海)有限公司 嵌入式源/漏极mos晶体管的制造方法
JP2015070192A (ja) * 2013-09-30 2015-04-13 サンケン電気株式会社 半導体装置の製造方法、半導体装置
US9196613B2 (en) 2013-11-19 2015-11-24 International Business Machines Corporation Stress inducing contact metal in FinFET CMOS
US9401365B2 (en) * 2013-12-19 2016-07-26 Texas Instruments Incorporated Epitaxial source/drain differential spacers
JP6174991B2 (ja) * 2013-12-20 2017-08-02 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US9761721B2 (en) 2014-05-20 2017-09-12 International Business Machines Corporation Field effect transistors with self-aligned extension portions of epitaxial active regions
KR102155327B1 (ko) 2014-07-07 2020-09-11 삼성전자주식회사 전계 효과 트랜지스터 및 그 제조 방법
KR102274765B1 (ko) * 2014-12-17 2021-07-09 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
CN105990235B (zh) * 2015-01-30 2019-05-28 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
CN108987399A (zh) * 2017-06-05 2018-12-11 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US10923344B2 (en) * 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR20220030455A (ko) * 2020-09-01 2022-03-11 삼성전자주식회사 반도체 장치
KR20220030456A (ko) * 2020-09-01 2022-03-11 삼성전자주식회사 반도체 장치
US20220403505A1 (en) * 2021-06-16 2022-12-22 Applied Materials, Inc. Methods and apparatus for processing a substrate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0813234A2 (de) * 1996-06-12 1997-12-17 Texas Instruments Incorporated Verfahren zur Herstellung eines MOSFETS
US6180469B1 (en) * 1998-11-06 2001-01-30 Advanced Micro Devices, Inc. Low resistance salicide technology with reduced silicon consumption
WO2007112228A1 (en) * 2006-03-28 2007-10-04 Intel Corporation Structure and fabrication method of a selectively deposited capping layer on an epitaxially grown source drain

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6233470A (ja) * 1985-08-07 1987-02-13 Seiko Epson Corp 半導体装置
US4692349A (en) 1986-03-03 1987-09-08 American Telephone And Telegraph Company, At&T Bell Laboratories Selective electroless plating of vias in VLSI devices
JPH06349771A (ja) * 1993-06-14 1994-12-22 Hitachi Ltd 半導体集積回路装置の製造方法および半導体集積回路装置
JPH07283169A (ja) * 1994-04-14 1995-10-27 Toshiba Corp 半導体装置
US20020132413A1 (en) 2001-03-13 2002-09-19 Ting-Chang Chang Method of fabricating a MOS transistor
JP3605062B2 (ja) * 2001-09-28 2004-12-22 株式会社東芝 半導体装置の製造方法
US20050212058A1 (en) * 2004-03-23 2005-09-29 Yi-Chun Huang Resistance-reduced semiconductor device and fabrication thereof
JP2006253317A (ja) * 2005-03-09 2006-09-21 Fujitsu Ltd 半導体集積回路装置およびpチャネルMOSトランジスタ
JP4561419B2 (ja) 2005-03-16 2010-10-13 ソニー株式会社 半導体装置の製造方法
JP4515305B2 (ja) 2005-03-29 2010-07-28 富士通セミコンダクター株式会社 pチャネルMOSトランジスタおよびその製造方法、半導体集積回路装置の製造方法
JP2006351581A (ja) * 2005-06-13 2006-12-28 Fujitsu Ltd 半導体装置の製造方法
US7329582B1 (en) * 2005-06-15 2008-02-12 Advanced Micro Devices, Inc. Methods for fabricating a semiconductor device, which include selectively depositing an electrically conductive material
US7402476B2 (en) * 2005-06-15 2008-07-22 Freescale Semiconductor, Inc. Method for forming an electronic device
US7579617B2 (en) * 2005-06-22 2009-08-25 Fujitsu Microelectronics Limited Semiconductor device and production method thereof
US7655972B2 (en) * 2005-11-21 2010-02-02 International Business Machines Corporation Structure and method for MOSFET with reduced extension resistance
JP2007201054A (ja) * 2006-01-25 2007-08-09 Matsushita Electric Ind Co Ltd 接続部構造及びその製造方法
US7579248B2 (en) * 2006-02-13 2009-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Resolving pattern-loading issues of SiGe stressor
US7622348B2 (en) * 2006-12-28 2009-11-24 Advanced Micro Devices, Inc. Methods for fabricating an integrated circuit
US7719062B2 (en) * 2006-12-29 2010-05-18 Intel Corporation Tuned tensile stress low resistivity slot contact structure for n-type transistor performance enhancement

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0813234A2 (de) * 1996-06-12 1997-12-17 Texas Instruments Incorporated Verfahren zur Herstellung eines MOSFETS
US6180469B1 (en) * 1998-11-06 2001-01-30 Advanced Micro Devices, Inc. Low resistance salicide technology with reduced silicon consumption
WO2007112228A1 (en) * 2006-03-28 2007-10-04 Intel Corporation Structure and fabrication method of a selectively deposited capping layer on an epitaxially grown source drain

Also Published As

Publication number Publication date
GB0918660D0 (en) 2009-12-09
JP2010524259A (ja) 2010-07-15
CN101711427B (zh) 2012-12-19
KR20100016417A (ko) 2010-02-12
TWI453829B (zh) 2014-09-21
US20080251851A1 (en) 2008-10-16
GB2460806A (en) 2009-12-16
WO2008127643A3 (en) 2008-12-18
US8124473B2 (en) 2012-02-28
TW200849414A (en) 2008-12-16
CN101711427A (zh) 2010-05-19
GB2460806B (en) 2012-07-18
WO2008127643A2 (en) 2008-10-23
DE112008000974T5 (de) 2010-02-11
JP5306320B2 (ja) 2013-10-02
KR101479291B1 (ko) 2015-01-05

Similar Documents

Publication Publication Date Title
DE112008000974B4 (de) Durch Verformung verbesserte Halbleiterbauelemente und Verfahren zu deren Herstellung
DE102017119616B4 (de) Abstandshalter für Nanodraht-basierte integrierte Schaltungsvorrichtung und Herstellungsverfahren
DE102007041207B4 (de) CMOS-Bauelement mit Gateisolationsschichten mit unterschiedlicher Art und Dicke und Verfahren zur Herstellung
DE112007002306B4 (de) Verspannter Feldeffekttransistor und Verfahren zu dessen Herstellung
DE102010029527B4 (de) Verfahren zur Herstellung eines selbstjustierenden Transistors mit Mehrfachgate auf einem Vollsubstrat
DE102006009225B4 (de) Herstellung von Silizidoberflächen für Silizium/Kohlenstoff-Source/Drain-Gebiete
DE112006002055B4 (de) Verfahren zur Herstellung eines verspannten MOS-Bauelements
DE102005052054B4 (de) Halbleiterbauteil mit Transistoren mit verformten Kanalgebieten und Verfahren zu seiner Herstellung
DE112007001436B4 (de) CMOS-Schaltungen mit geringem Kontaktwiderstand
DE112007003116B4 (de) Verfahren zur Herstellung eines verspannten Transistors und Transistor
DE102008063427B4 (de) Verfahren zum selektiven Herstellen eines Transistors mit einem eingebetteten verformungsinduzierenden Material mit einer graduell geformten Gestaltung
DE102004052578B4 (de) Verfahren zum Erzeugen einer unterschiedlichen mechanischen Verformung in unterschiedlichen Kanalgebieten durch Bilden eines Ätzstoppschichtstapels mit unterschiedlich modifizierter innerer Spannung
DE102008046400B4 (de) Verfahren zur Herstellung eines CMOS-Bauelements mit MOS-Transistoren mit abgesenkten Drain- und Sourcebereichen und einem Si/Ge-Material in den Drain- und Sourcebereichen des PMOS-Transistors
DE102008035812B4 (de) Flacher pn-Übergang, der durch in-situ-Dotierung während des selektiven Aufwachsens einer eingebetteten Halbleiterlegierung mittels eines zyklischen Aufwachs-Ätz-Abscheideprozesses gebildet wird
DE102006040764B4 (de) Halbleiterbauelement mit einem lokal vorgesehenem Metallsilizidgebiet in Kontaktbereichen und Herstellung desselben
DE102010030768B4 (de) Herstellverfahren für ein Halbleiterbauelement als Transistor mit eingebettetem Si/Ge-Material mit geringerem Abstand und besserer Gleichmäßigkeit und Transistor
DE102009015748B4 (de) Verringern des Silizidwiderstands in SiGe-enthaltenden Drain/Source-Gebieten von Transistoren
DE102006040765B4 (de) Verfahren zur Herstellung eines Feldeffekttransistors mit einer verspannten Kontaktätzstoppschicht mit geringerer Konformität und Feldeffekttransistor
DE112006003576B4 (de) Verfahren zur Ausbildung eines FETs mit Struktur zur Reduzierung des äusseren Widerstands des dreidimensionalen Transistors durch Verwendung von Epitaxie-Schichten und Transistor
DE102008030852A1 (de) Kontaktgräben zur besseren Verspannungsübertragung in Transistoren mit geringem Abstand
DE112008002270T5 (de) MOS-Strukturen mit einem geringeren Kontaktwiderstand und Verfahren zu deren Herstellung
DE112006001979T5 (de) Verfahren zur Herstellung eines verformten MOS-Bauelements
DE112011101378T5 (de) Epitaxie von Delta-Monoschicht-Dotierstoffen für eingebettetes Source/Drain-Silicid
DE112010000721T5 (de) Verfahren zur Herstellung von MOS-Bauelementen mit epitaktisch aufgewachsenen verspannungsinduzierenden Source- und Draingebieten
DE102009031111B4 (de) Kontaktoptimierung zur Verbesserung der Verspannungsübertragung in dicht liegenden Transistoren

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final

Effective date: 20120623