DE102018110356A1 - Speicherzellenmatrix und verfahren zu deren herstellung - Google Patents

Speicherzellenmatrix und verfahren zu deren herstellung Download PDF

Info

Publication number
DE102018110356A1
DE102018110356A1 DE102018110356.5A DE102018110356A DE102018110356A1 DE 102018110356 A1 DE102018110356 A1 DE 102018110356A1 DE 102018110356 A DE102018110356 A DE 102018110356A DE 102018110356 A1 DE102018110356 A1 DE 102018110356A1
Authority
DE
Germany
Prior art keywords
layout
group
structures
memory cell
active area
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102018110356.5A
Other languages
English (en)
Inventor
Lu Shih-Lien Linus
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102018110356A1 publication Critical patent/DE102018110356A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/4063Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing
    • G11C11/407Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing for memory cells of the field-effect type
    • G11C11/4074Power supply or voltage generation circuits, e.g. bias voltage generators, substrate voltage generators, back-up power, power control circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/4063Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing
    • G11C11/407Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing for memory cells of the field-effect type
    • G11C11/409Read-write [R-W] circuits 
    • G11C11/4094Bit-line management or control circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/41Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming static cells with positive feedback, i.e. cells not needing refreshing or charge regeneration, e.g. bistable multivibrator or Schmitt trigger
    • G11C11/413Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing, timing or power reduction
    • G11C11/417Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing, timing or power reduction for memory cells of the field-effect type
    • G11C11/418Address circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/41Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming static cells with positive feedback, i.e. cells not needing refreshing or charge regeneration, e.g. bistable multivibrator or Schmitt trigger
    • G11C11/413Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing, timing or power reduction
    • G11C11/417Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing, timing or power reduction for memory cells of the field-effect type
    • G11C11/419Read-write [R-W] circuits
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/41Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming static cells with positive feedback, i.e. cells not needing refreshing or charge regeneration, e.g. bistable multivibrator or Schmitt trigger
    • G11C11/412Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming static cells with positive feedback, i.e. cells not needing refreshing or charge regeneration, e.g. bistable multivibrator or Schmitt trigger using field-effect transistors only

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Semiconductor Memories (AREA)
  • Static Random-Access Memory (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

Eine Speicherzellenmatrix umfasst eine erste Speicherzelle, die in einer ersten Zeile in einer ersten Richtung angeordnet ist; und eine zweite Speicherzelle, die in einer zweiten Zeile in der ersten Richtung angeordnet ist. Die erste Speicherzelle oder die zweite Speicherzelle weist eine Gruppe von aktiven Bereichen, eine Gruppe von Gates und eine erste Gruppe von leitfähigen Strukturen auf. Jeder der aktiven Bereiche der Gruppe von aktiven Bereichen ist von einem benachbarten aktiven Bereich in der ersten Richtung durch einen ersten Abstand getrennt. Die Gruppe von aktiven Bereichen verläuft in einer zweiten Richtung. Die Gruppe von aktiven Bereichen umfasst einen ersten aktiven Bereich, der zu einer ersten Seite der ersten Speicherzelle benachbart ist, und einen zweiten aktiven Bereich, der zu einer zweiten Seite der ersten Speicherzelle benachbart ist. Eine Länge des ersten aktiven Bereichs ist von einer Länge des zweiten aktiven Bereichs verschieden.

Description

  • Prioritätsanspruch und Querverweis
  • Diese Anmeldung beansprucht die Priorität der am 20. April 2018 eingereichten vorläufigen US-Patentanmeldung mit dem Aktenzeichen 62/660.834 , die durch Bezugnahme aufgenommen ist.
  • Hintergrund
  • Die IC-Branche (IC: integrierter Halbleiter-Schaltkreis) hat eine breite Palette von digitalen Bauelementen hervorgebracht, um Probleme in einigen Bereichen anzugehen. Einige dieser digitalen Bauelemente, wie etwa Speichermakros, sind zum Speichern von Daten konfiguriert. Zum Beispiel ist bei einigen Anwendungen ein Cache ein spezielles Speichermakro, das auf einem IC-Chip verwendet werden kann. Außerdem kann bei einigen Anwendungen ein Cache so konfiguriert sein, dass er Daten, die zuletzt verwendet worden sind, speichert, sodass spätere Zugriffe auf die zuletzt verwendeten Daten durch Zugreifen auf den Cache statt auf einen Speicher, der sich außerhalb des IC-Chips befindet (z. B. chipextern), realisiert werden können. Im Allgemeinen ermöglicht ein größerer Cache das Speichern von mehr zuletzt verwendeten Daten auf dem Chip, was zu einem geringeren chipexternen Speicherdatenzugriff führt. Der Entwurf von kleineren Speicherzellen ermöglicht dichtere ICs und erhöht die IC-Gesamtleistung. Daher sind Alternativen zu dem synchronen Direktzugriffsspeicher (SRAM) mit sechs Transistoren (6T) wünschenswert.
  • Figurenliste
  • Die Patent- oder Anmeldungsdatei enthält Zeichnungen/Fotografien, die farbig ausgeführt sind. Kopien dieses Patents mit farbigen Zeichnungen/Fotografien werden vom Patentamt auf Anfrage und nach Zahlung der erforderlichen Gebühr bereitgestellt.
  • Aspekte der vorliegenden Erfindung lassen sich am besten anhand der nachstehenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen verstehen. Es ist zu beachten, dass entsprechend der üblichen Praxis in der Branche verschiedene Elemente nicht maßstabsgetreu gezeichnet sind. Vielmehr können der Übersichtlichkeit der Erörterung halber die Abmessungen der verschiedenen Elemente beliebig vergrößert oder verkleinert sein.
    • 1 ist ein Schaltbild einer Speicherzelle gemäß einigen Ausführungsformen.
    • 2A ist ein Blockschaltbild einer Speicherzellenmatrix, die mehrere der Speicherzellen von 1 hat, gemäß einigen Ausführungsformen.
    • 2B ist ein Schaltbild einer Speicherzellenmatrix, die mehrere der Speicherzellen von 1 hat, gemäß einigen Ausführungsformen.
    • 3A ist ein Schema eines Layoutentwurfs gemäß einigen Ausführungsformen.
    • 3B ist ein Schema eines Layoutentwurfs gemäß einigen Ausführungsformen.
    • 4A ist ein Schema eines Layoutentwurfs gemäß einigen Ausführungsformen.
    • 4B ist ein Schema eines Layoutentwurfs gemäß einigen Ausführungsformen.
    • Die 5A bis 5H sind Schemata mindestens eines integrierten Schaltkreises gemäß einigen Ausführungsformen.
    • 6 ist ein Schema eines Layoutentwurfs einer Speicherzellenmatrix gemäß einigen Ausführungsformen.
    • 7 ist ein Schema eines Layoutentwurfs einer Speicherzellenmatrix gemäß einigen Ausführungsformen.
    • 8 ist ein Schema eines Layoutentwurfs einer Speicherzellenmatrix gemäß einigen Ausführungsformen.
    • 9 ist ein Ablaufdiagramm zur Herstellung einer Speicherzellenmatrix gemäß einigen Ausführungsformen.
    • 10 ist ein Ablaufdiagramm zur Erzeugung eines Layoutentwurfs einer Speicherzellenmatrix gemäß einigen Ausführungsformen.
    • 11 ist ein Blockschaltbild einer IC-Herstellungsanlage und eines damit assoziierten IC-Herstellungsablaufs gemäß einigen Ausführungsformen.
    • 12 ist ein Blockschaltbild eines Systems zum Entwerfen eines IC-Layoutentwurfs gemäß einigen Ausführungsformen.
  • Detaillierte Beschreibung
  • Die nachstehende Beschreibung liefert verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale des bereitgestellten Gegenstands. Nachstehend werden spezielle Beispiele für Komponenten, Materialien, Werte, Schritte, Anordnungen oder dergleichen beschrieben, um die vorliegende Erfindung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränkend sein. Es werden auch andere Komponenten, Materialien, Werte, Schritte, Anordnungen oder dergleichen in Betracht gezogen. Zum Beispiel kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt hergestellt werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element so hergestellt werden können, dass das erste und das zweite Element nicht in direktem Kontakt sind. Darüber hinaus können in der vorliegenden Erfindung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Übersichtlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • Darüber hinaus können hier räumlich relative Begriffe, wie etwa „darunter befindlich“, „unter“, „untere(r)“/„unteres“, „darüber befindlich“, „obere(r)“/„oberes“ und dergleichen, zur einfachen Beschreibung der Beziehung eines Elements oder einer Struktur zu einem oder mehreren anderen Elementen oder Strukturen verwendet werden, die in den Figuren dargestellt sind. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren dargestellten Orientierung andere Orientierungen des in Gebrauch oder in Betrieb befindlichen Bauelements umfassen. Das Bauelement kann anders ausgerichtet werden (um 90 Grad gedreht oder in einer anderen Orientierung), und die räumlich relativen Deskriptoren, die hier verwendet werden, können ebenso entsprechend interpretiert werden.
  • Gemäß einigen Ausführungsformen umfasst ein Verfahren zum Herstellen einer Speicherzellenmatrix das Erzeugen einer ersten Gruppe von Kachelelementen, die in einer ersten Richtung verläuft, und das Erzeugen einer zweiten Gruppe von Kachelelementen, die in der ersten Richtung verläuft. Bei einigen Ausführungsformen entspricht jedes Kachelelement der ersten Gruppe von Kachelelementen einem ersten Layoutentwurf einer ersten Gruppe von Speicherzellen. Bei einigen Ausführungsformen entspricht jedes Kachelelement der zweiten Gruppe von Kachelelementen einem zweiten Layoutentwurf einer zweiten Gruppe von Speicherzellen.
  • Bei einigen Ausführungsformen umfasst jede Speicherzelle der ersten Gruppe von Speicherzellen eine Speicherzelle eines synchronen Direktzugriffsspeichers (SRAM) mit fünf Transistoren (5T). Bei einigen Ausführungsformen umfasst jede Speicherzelle der zweiten Gruppe von Speicherzellen eine 5T-SRAM-Speicherzelle.
  • Bei einigen Ausführungsformen ist die erste Gruppe von Speicherzellen in mindestens einer ersten Zeile und einer zweiten Zeile der Speicherzellenmatrix angeordnet. Bei einigen Ausführungsformen ist die zweite Gruppe von Speicherzellen in mindestens einer dritten Zeile und einer vierten Zeile der Speicherzellenmatrix angeordnet.
  • Bei einigen Ausführungsformen ist eine Form der ersten Gruppe von Kachelelementen oder eine Form der zweiten Gruppe von Kachelelementen nicht-rechteckig, was zu kleineren Standardzellen als bei anderen Entwürfen führt. Bei einigen Ausführungsformen kann auf Grund der kleineren Standardzellen die erste Gruppe von Kachelelementen oder die zweite Gruppe von Kachelelementen zum Herstellen von integrierten Schaltkreisen verwendet werden, die kleiner als andere integrierte Schaltkreise sind.
  • Die erste Gruppe von Kachelelementen und die zweite Gruppe von Kachelelementen wechseln einander in der zweiten Richtung ab. Bei einigen Ausführungsformen ist die zweite Gruppe von Kachelelementen von der ersten Gruppe von Kachelelementen in der zweiten Richtung getrennt.
  • Bei einigen Ausführungsformen ist jedes Kachelelement der ersten Gruppe von Kachelelementen gegenüber einem benachbarten Kachelelement der ersten Gruppe von Kachelelementen in einer zweiten Richtung versetzt, die von der ersten Richtung verschieden ist. Bei einigen Ausführungsformen ist jedes Kachelelement der zweiten Gruppe von Kachelelementen gegenüber einem benachbarten Kachelelement der zweiten Gruppe von Kachelelementen in der zweiten Richtung versetzt.
  • Bei einigen Ausführungsformen umfasst das Erzeugen der ersten Gruppe von Kachelelementen das Erzeugen des ersten Layoutentwurfs der ersten Gruppe von Speicherzellen. Bei einigen Ausführungsformen umfasst das Erzeugen der zweiten Gruppe von Kachelelementen das Erzeugen des zweiten Layoutentwurfs der zweiten Gruppe von Speicherzellen.
  • Bei einigen Ausführungsformen verlaufen jedes Kachelelement der ersten Gruppe von Kachelelementen und jedes Kachelelement der zweiten Gruppe von Kachelelementen in einer dritten Richtung, die von der ersten Richtung und der zweiten Richtung verschieden ist.
  • 1 ist ein Schaltbild einer Speicherzelle 100, gemäß einigen Ausführungsformen.
  • Die Speicherzelle 100 ist eine Speicherzelle eines synchronen Single-port(SP)-Direktzugriffsspeichers (SRAM) mit fünf Transistoren (5T), die zur Erläuterung verwendet wird. Bei einigen Ausführungsformen wird für die Speicherzelle 100 eine andere Anzahl von Transistoren als fünf verwendet. Andere Arten von Speichern liegen ebenfalls innerhalb des Schutzumfangs verschiedener Ausführungsformen.
  • Die Speicherzelle 100 weist drei p-Metall-Oxid-Halbleiter(PMOS)-Transistoren P1, P2 und P3 und zwei n-Metall-Oxid-Halbleiter(NMOS)-Transistoren N1 und N2 auf. Die Transistoren P1, P2, N1 und N2 bilden einen Cross-Latch oder ein Paar querverbundene Inverter. Zum Beispiel bilden der PMOS-Transistor P1 und der NMOS-Transistor N1 einen ersten Inverter, während der PMOS-Transistor P2 und der NMOS-Transistor N2 einen zweiten Inverter bilden.
  • Ein Source-Anschluss der PMOS-Transistoren P1 und P2 ist jeweils als ein Spannungsversorgungsknoten NODE_1 konfiguriert. Jeder Spannungsversorgungsknoten NODE_1 ist mit einer ersten Spannungsquelle VDDI verbunden. Ein Drain-Anschluss des PMOS-Transistors P1 ist mit einem Drain-Anschluss des NMOS-Transistors N1, einem Gate-Anschluss des PMOS-Transistors P2 und einem Gate-Anschluss des NMOS-Transistors N2 verbunden und ist als ein Speicherknoten NDB konfiguriert.
  • Ein Drain-Anschluss des PMOS-Transistors P2 ist mit einem Drain-Anschluss des NMOS-Transistors N2, einem Gate-Anschluss des PMOS-Transistors P1, einem Gate-Anschluss des NMOS-Transistors N1 und einem Source-Anschluss des PMOS-Transistors P3 verbunden und ist als ein Speicherknoten ND konfiguriert. Ein Source-Anschluss der NMOS-Transistoren N1 und N2 ist jeweils als ein Referenz-Versorgungsspannungsknoten (nicht bezeichnet) konfiguriert, der eine Referenz-Versorgungsspannung VSS hat. Der Source-Anschluss der NMOS-Transistoren N1 und N2 ist jeweils mit der Referenz-Versorgungsspannung VSS verbunden.
  • Eine Wortleitung WL1 ist mit einem Gate-Anschluss des PMOS-Transistors P3 verbunden. Die Wortleitung WL1 wird auch als eine Schreibsteuerleitung bezeichnet, da der PMOS-Transistor P3 so konfiguriert ist, dass er von einem Signal auf der Wortleitung WL1 gesteuert wird, um Daten zwischen einer Bitleitung BL1 und dem Knoten ND zu übertragen.
  • Ein Drain-Anschluss des PMOS-Transistors P3 ist mit der Bitleitung BL1 verbunden. Die Bitleitung BL1 ist für die Eingabe und Ausgabe von Daten für die Speicherzelle 100 konfiguriert. Bei einigen Ausführungsformen wird bei einer Schreiboperation durch Anlegen eines logischen Werts an die Bitleitung BL1 das Schreiben des logischen Werts auf der Bitleitung BL1 für die Speicherzelle 100 ermöglicht. Die Bitleitung BL1 wird als eine Datenleitung bezeichnet, da die Daten, die auf der Bitleitung BL1 übertragen werden, in den Knoten ND geschrieben und aus diesem gelesen werden. Bei einigen Ausführungsformen ist der Source-Anschluss des PMOS-Transistors P3 mit der Bitleitung BL1 verbunden, und der Drain-Anschluss des PMOS-Transistors P3 ist mit dem Speicherknoten ND verbunden.
  • 2A ist ein Blockschaltbild einer Speicherzellenmatrix 200A, die mehrere der Speicherzellen von 1 hat, gemäß einigen Ausführungsformen. Die Speicherzelle 100 von 1 ist zum Beispiel als eine oder mehrere Speicherzellen in der Speicherzellenmatrix 200A verwendbar.
  • Die Speicherzellenmatrix 200A umfasst eine Matrix von Speicherzellen 202[1,1], 202[1,2] ... 202[2,2] ... 202[M,N] (die kollektiv als „Matrix von Speicherzellen 202A“ bezeichnet werden), die M Zeilen und N Spalten hat, wobei N eine positive ganze Zahl ist, die der Anzahl von Spalten in der Matrix von Speicherzellen 202A entspricht, und M eine positive ganze Zahl ist, die der Anzahl von Zeilen in der Matrix von Speicherzellen 202A entspricht. Die Zeilen der Zellen in der Matrix von Speicherzellen 202A sind in einer ersten Richtung X angeordnet. Die Spalten der Zellen in der Matrix von Speicherzellen 202A sind in einer zweiten Richtung Y angeordnet. Die zweite Richtung Y ist von der ersten Richtung X verschieden. Bei einigen Ausführungsformen ist die zweite Richtung Y senkrecht zu der ersten Richtung X. Die Speicherzelle 100 von 1 kann als eine oder mehrere Speicherzellen in der Matrix von Speicherzellen 202A verwendet werden.
  • Die Speicherzellenmatrix 200A weist weiterhin 2N Bitleitungen BL[1] ... BL[2N] auf, die (kollektiv als „Bitleitung BL“ bezeichnet werden). Jede Spalte 1 ... N in der Matrix von Speicherzellen 202A wird von einem Paar Bitleitungen BL[1] ... BL[2N] überlappt. Jede Bitleitung BL verläuft in der zweiten Richtung Y und befindet sich über einer Spalte von Zellen (z. B. Spalte 1 ... N). Bei einigen Ausführungsformen weist die Speicherzellenmatrix 200A nicht eine oder mehrere Bitleitungsschienen BLB auf. Es ist zu beachten, dass der Begriff „Schiene“, der in diesem Zusammenhang verwendet wird, ein logisch invertiertes Signal bezeichnet, zum Beispiel überträgt die Bitleitungsschiene BLB[1] ... BLB[N] ein Signal, das von einem Signal logisch invertiert ist, das von der Bitleitung BL[1] ... BL[N] übertragen wird.
  • Eine Bitleitung der Gruppe von Bitleitungen BL in der Matrix von Speicherzellen 202A oder der Matrix von Speicherzellen 202B von 2B entspricht der Bitleitung BL1 von 1.
  • Bei einigen Ausführungsformen ist ein Paar Speicherzellen der Matrix von Speicherzellen 202A zwischen einem Paar Bitleitungen der Bitleitungen BL angeordnet. Zum Beispiel sind in der Zeile 1 und der Spalte 1 der Speicherzellenmatrix 200A die Speicherzelle 202[1,1] und die Speicherzelle 202[1,2] jeweils zwischen der Bitleitung BL[1] und der Bitleitung BL[2] angeordnet. In ähnlicher Weise sind in der Zeile 1 und der Spalte 2 der Speicherzellenmatrix 200A die Speicherzelle 202[1,3] und die Speicherzelle 202[1,4] jeweils zwischen der Bitleitung BL[3] und der Bitleitung BL[4] angeordnet.
  • Die Speicherzellenmatrix 200A weist weiterhin 2M Wortleitungen WL[1] ... WL[2M] auf, die (kollektiv als „Wortleitung WL“ bezeichnet werden). Jede Wortleitung WL verläuft in der ersten Richtung X und befindet sich über einer Zeile von Zellen (z. B. Zeile 1... M). Jede Zeile 1 ... M in der Matrix von Speicherzellen 202A wird von einem Paar Wortleitungen WL[1] ... WL[2M] überlappt. Zum Beispiel überlappen die Wortleitung WL[1] und die Wortleitung WL[2] jeweils die Zeile 1 der Matrix von Speicherzellen 202A. In ähnlicher Weise überlappen die Wortleitung WL[3] und die Wortleitung WL[4] jeweils die Zeile 2 der Matrix von Speicherzellen 202A, und die Wortleitungen WL[7] und WL[2M] überlappen jeweils die Zeile M der Matrix von Speicherzellen 202A.
  • Eine Wortleitung der Gruppe von Wortleitungen WL in der Matrix von Speicherzellen 202A oder der Matrix von Speicherzellen 202B von 2B entspricht der Wortleitung WL1 von 1.
  • Bei einigen Ausführungsformen ist jede Zeile von Speicherzellen der Matrix von Speicherzellen 202A zwischen einem Paar Wortleitungen der Wortleitungen WL angeordnet. Zum Beispiel sind in der Zeile 1 der Speicherzellenmatrix 200A die Speicherzellen 202[1,1], 202[1,2] ... 202[1,N] zwischen den Wortleitungen WL[1] und WL[2] angeordnet. In ähnlicher Weise sind in Zeile 2 der Speicherzellenmatrix 200A die Speicherzellen 202[2,1], 202[2,2] ... 202[2,N] zwischen den Wortleitungen WL[1] und WL[2] angeordnet.
  • Jede Speicherzelle in der Matrix von Speicherzellen 202A ist mit einer entsprechenden Bitleitung der Bitleitungen BL und einer entsprechenden Wortleitung der Wortleitungen WL verbunden. Zum Beispiel ist die Speicherzelle 202[1,1] mit der Bitleitung BL[i] und der Wortleitung WL[1] verbunden. In ähnlicher Weise ist die Speicherzelle 202[1,2] mit der Bitleitung BL[2] und der Wortleitung WL[2] verbunden, die Speicherzelle 202[1,3] ist mit der Bitleitung BL[3] und der Wortleitung WL[2] verbunden, die Speicherzelle 202[2,1] ist mit der Bitleitung BL[i] und der Wortleitung WL[4] verbunden, und die Speicherzelle 202[2,2] ist mit der Bitleitung BL[2] und der Wortleitung WL[3] verbunden.
  • Die Speicherzellen der Matrix von Speicherzellen 202A sind in eine erste Gruppe von Speicherzellen 204 und eine zweite Gruppe von Speicherzellen 206 gruppiert.
  • Die erste Gruppe von Speicherzellen 204 umfasst Speicherzellen 204a, 204b ... 204i.
  • Die zweite Gruppe von Speicherzellen 206 umfasst Speicherzellen 206a, 206b, 206c und 206d.
  • Bei einigen Ausführungsformen entsprechen die Speicherzellen der ersten Gruppe von Speicherzellen 204 Speicherzellen eines ersten Layoutentwurfstyps (z. B. Layoutentwürfe 300A und 300B der 3A und 3B), und die Speicherzellen der zweiten Gruppe von Speicherzellen 206 entsprechen Speicherzellen eines zweiten Layoutentwurfstyps (z. B. Layoutentwürfe 400A und 400B der 4A und 4B), der von dem ersten Layoutentwurfstyp verschieden ist.
  • Bei einigen Ausführungsformen entsprechen die Speicherzellen der ersten Gruppe von Speicherzellen 204 Speicherzellen des zweiten Layoutentwurfstyps (z. B. die Layoutentwürfe 400A und 400B der 4A und 4B), und die Speicherzellen der zweiten Gruppe von Speicherzellen 206 entsprechen Speicherzellen des ersten Layoutentwurfstyps (z. B. die Layoutentwürfe 300A und 300B der 3A und 3B).
  • 2B ist ein Schaltbild einer Speicherzellenmatrix 200B, die mehrere der Speicherzellen von 1 hat, gemäß einigen Ausführungsformen. Die Speicherzellenmatrix 200B ist eine Ausführungsform des Blockschaltbilds der Speicherzellenmatrix 200A von 2A, die in einem Schaltbild dargestellt ist. Die Speicherzelle 100 von 1 kann als eine oder mehrere Speicherzellen in der Speicherzellenmatrix 200B verwendet werden.
  • Im Vergleich mit der Speicherzellenmatrix 200A von 2A ersetzt die Matrix von Speicherzellen 202B die Matrix von Speicherzellen 202A von 2A. Die Matrix von Speicherzellen 202B ist eine Ausführungsform der Matrix von Speicherzellen 202A von 2A.
  • Jede Speicherzelle der Matrix von Speicherzellen 202B weist einen entsprechenden PMOS-Transistor P3[1,1], P3[1,2] ... P[M,N] einer Gruppe von PMOS-Transistoren 210 (nicht bezeichnet) auf, die jeweils mit einem entsprechenden Inverter I1[1,1], I1[1,2] ... I1[M,N] einer ersten Gruppe von Invertern 212 (nicht bezeichnet) und einem entsprechenden Inverter I2[1,1], I2[1,2] ... I2[M,N] einer zweiten Gruppe von Invertern 214 (nicht bezeichnet) verbunden sind. Die erste Gruppe von Invertern 212 und die zweite Gruppe von Invertern 214 sind Bestandteil einer Gruppe von kreuzgekoppelten Invertern 216 (nicht bezeichnet).
  • Ein oder mehrere der PMOS-Transistoren P3[1,1], P3[1,2] ... P3[M,N] der Gruppe von PMOS-Transistoren 210 in der Matrix von Speicherzellen 202B entsprechen dem PMOS-Transistor P3 von 1.
  • Ein oder mehrere der Inverter I1[1,1], I1[1,2] ... I1[M,N] der ersten Gruppe von Invertern 212 in der Matrix von Speicherzellen 202B entsprechen dem PMOS-Transistor P2 und dem NMOS-Transistor N2 von 1.
  • Ein oder mehrere der Inverter I2[1,1], I2[1,2] ... I2[M,N] der zweiten Gruppe von Invertern 214 in der Matrix von Speicherzellen 202B entsprechen dem PMOS-Transistor P1 und dem NMOS-Transistor N1 von 1.
  • Bei einigen Ausführungsformen umfassen eine oder mehrere Speicherzellen der Speicherzellenmatrix 200A oder 200B eine oder mehrere Single-Port(SP)-SRAM-Zellen. Bei einigen Ausführungsformen umfassen eine oder mehrere Speicherzellen der Speicherzellenmatrix 200A oder 200B eine oder mehrere Dual-Port(DP)-SRAM-Zellen. Andere Arten von Speicherzellen in der Speicherzellenmatrix 200A oder 200B liegen ebenfalls innerhalb des beabsichtigten Schutzumfangs der vorliegenden Erfindung. Andere Konfigurationen der Matrix von Speicherzellen 202A oder 202B liegen ebenfalls innerhalb des beabsichtigten Schutzumfangs der vorliegenden Erfindung. Andere Konfigurationen der Bitleitungen BL oder der Wortleitungen WL in der Matrix von Speicherzellen 202A oder 202B liegen ebenfalls innerhalb des beabsichtigten Schutzumfangs der vorliegenden Erfindung.
  • Bei einigen Ausführungsformen umfassen die Speicherzellenmatrizen 200A und 200B eine Matrix von 5T-SRAM-Zellen (1), was dazu führt, dass die Speicherzellenmatrizen 200A und 200B weniger Transistoren als andere Speicherzellenmatrizen haben. Bei einigen Ausführungsformen nehmen die Speicherzellenmatrizen 200A und 200B dadurch, dass sie weniger Transistoren haben, eine kleinere Fläche als andere Speicherzellenmatrizen ein. Bei einigen Ausführungsformen sind die Speicherzellenmatrizen 200A und 200B dadurch, dass sie eine kleinere Fläche als andere Speicherzellenmatrizen einnehmen, dichter und sie haben eine größere Speicherkapazität als andere Speicherzellenmatrizen.
  • 3A ist ein Schema eines Layoutentwurfs 300A, gemäß einigen Ausführungsformen. Der Layoutentwurf 300A entspricht einem Layoutdiagramm eines Teils der Speicherzellenmatrizen 200A und 200B der 2A und 2B. Zum Beispiel entspricht der Layoutentwurf 300A einem Layoutentwurf einer oder mehrerer Speicherzellen 206a, 206b, 206c oder 206d der zweiten Gruppe von Speicherzellen 206 der 2A und 2B.
  • Komponenten, die denen in einer oder mehreren der 3B, 4A und 4B und 6 bis 8 (die später beschrieben werden) gleichen oder ähneln, sind mit den gleichen Bezugszahlen bezeichnet, und ihre detaillierte Beschreibung entfällt.
  • Bauliche Beziehungen, die die Ausrichtung, Längen und Breiten umfassen, sowie Konfigurationen der Layoutentwürfe 400A und 400B (4A und 4B), des Layoutentwurfs 600 (6), des Layoutentwurfs 700 (7) oder des Layoutentwurfs 800 (8) sind den baulichen Beziehungen und den Konfigurationen des Layoutentwurfs 300A oder 300B der 3A und 3B ähnlich und werden der Kürze halber in den 4A und 4B und 6 bis 8 nicht beschrieben.
  • Der Layoutentwurf 300A kann zum Herstellen eines integrierten Schaltkreises 500A (5A bis 5H) verwendet werden.
  • Der Layoutentwurf 300A entspricht einem Layoutentwurf der Speicherzellen 202[1,2], 202[1,3], 202[2,2] und 202[2,3] der 2A und 2B. Bei einigen Ausführungsformen entspricht der Layoutentwurf 300A einem Layoutentwurf der Speicherzellen 202[1,6], 202[1,7], 202[2,6] und 202[2,7] der 2A und 2B. Bei einigen Ausführungsformen entspricht der Layoutentwurf 300A einem Layoutentwurf der Speicherzellen 202[3,2], 202[3,3], 202[4,2] und 202[4,3] der 2A und 2B. Bei einigen Ausführungsformen entspricht der Layoutentwurf 300A einem Layoutentwurf der Speicherzellen 202[3,6], 202[3,7], 202[4,6] und 202[4,7] der 2A und 2B. Bei einigen Ausführungsformen entspricht der Layoutentwurf 300A einem Layoutentwurf einer oder mehrerer Speicherzellen 204a, 204b ... 204i der ersten Gruppe von Speicherzellen 204 der 2A und 2B.
  • Der Layoutentwurf 300A weist einen ersten Teil 302a, einen zweiten Teil 302b, einen dritten Teil 302c und einen vierten Teil 302d auf. Eine Mitte des Layoutentwurfs 300A entspricht einer Grenze zwischen dem ersten Teil 302a, dem zweiten Teil 302b, dem dritten Teil 302c und dem vierten Teil 302d. Bei einigen Ausführungsformen entspricht der erste Teil 302a dem Layoutentwurf der Speicherzelle 202[1,2], der zweite Teil 302b entspricht dem Layoutentwurf der Speicherzelle 202[2,2], der dritte Teil 302c entspricht dem Layoutentwurf der Speicherzelle 202[1,3], und der vierte Teil 302d entspricht dem Layoutentwurf der Speicherzelle 202[2,3]. Der erste Teil 302a, der zweite Teil 302b, der dritte Teil 302c und der vierte Teil 302d haben entsprechende Eckenaussparungen 390a, 390b, 390c und 390d. Andere Konfigurationen des erstens Teil 302a, des zweiten Teils 302b, des dritten Teils 302c und des vierten Teils 302d liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Erfindung.
  • Der erste Teil 302a weist Aktiver-Bereich-Layoutstrukturen 304a, 306a, 308a und 310a auf (die kollektiv als „Gruppe von Aktiver-Bereich-Layoutstrukturen 312a“ bezeichnet werden). Die Aktiver-Bereich-Layoutstrukturen 304a, 306a, 308a und 310a können zum Herstellen von entsprechenden aktiven Bereichen 504a1, 506a1, 508a1 und 510a1 des Schaltkreises 500A oder 500B (5A bis 5H) verwendet werden.
  • Bei einigen Ausführungsformen wird die Gruppe von Aktiver-Bereich-Layoutstrukturen 312a als eine Oxiddefinitions(OD)-Layoutstruktur bezeichnet, die Source- oder Drain-Diffusions-Layoutstrukturen der Layoutentwürfe 300A und 300B definiert. Zum Beispiel kann bei einigen Ausführungsformen die Aktiver-Bereich-Layoutstruktur 304a zum Herstellen der Source- und Drain-Bereiche eines PMOS-Transistors Pia der 3A und 3B verwendet werden, die Aktiver-Bereich-Layoutstruktur 306a kann zum Herstellen der Source- und Drain-Bereiche eines NMOS-Transistors N1a der 3A und 3B verwendet werden, die Aktiver-Bereich-Layoutstruktur 308a kann zum Herstellen der Source- und Drain-Bereiche eines NMOS-Transistors N2a der 3A und 3B verwendet werden, und die Aktiver-Bereich-Layoutstruktur 310a kann zum Herstellen der Source- und Drain-Bereiche von PMOS-Transistoren P2a und PGia der 3A und 3B verwendet werden. Bei einigen Ausführungsformen entspricht der PMOS-Transistor P1a dem PMOS-Transistor P1 (1), der PMOS-Transistor P2a entspricht dem PMOS-Transistor P2 (1), der PMOS-Transistor PG1a entspricht dem PMOS-Transistor P3 (1), der NMOS-Transistor N1a entspricht dem NMOS-Transistor N1 (1), und der NMOS-Transistor N2a entspricht dem NMOS-Transistor N2 (1).
  • Jede der Layoutstrukturen der Gruppe von Aktiver-Bereich-Layoutstrukturen 312a ist von einer benachbarten Layoutstruktur der Gruppe von Aktiver-Bereich-Layoutstrukturen 312a in einer ersten Richtung X durch einen ersten Abstand (nicht bezeichnet) getrennt. Bei einigen Ausführungsformen befindet sich ein benachbartes Element unmittelbar neben einem anderen Element. Jede der Layoutstrukturen der Gruppe von Aktiver-Bereich-Layoutstrukturen 312a verläuft in einer zweiten Richtung Y, die von der ersten Richtung X verschieden ist, und ist auf einer ersten Layoutebene angeordnet. Bei einigen Ausführungsformen entspricht die erste Layoutebene dem aktiven Bereich der Layoutentwürfe 300A und 300B oder 400A und 400B (4A und 4B).
  • Eine Aktiver-Bereich-Layoutstruktur 304a ist benachbart zu oder unmittelbar neben einer ersten Seite des ersten Teils 302a des Layoutentwurfs 300A und einer Eckenaussparung 390a angeordnet. Eine Aktiver-Bereich-Layoutstruktur 310a ist benachbart zu oder unmittelbar neben einer zweiten Seite des ersten Teils 302a des Layoutentwurfs 300A angeordnet. Die zweite Seite des ersten Teils 302a des Layoutentwurfs 300A liegt der ersten Seite des ersten Teils 302a des Layoutentwurfs 300A gegenüber. Bei einigen Ausführungsformen ist eine Aktiver-Bereich-Layoutstruktur 306a benachbart zu der Eckenaussparung 390a. Bei einigen Ausführungsformen reicht die Aktiver-Bereich-Layoutstruktur 304a von einer Seite des Layoutentwurfs 300A zu der Eckenaussparung 390a des Layoutentwurfs. Bei einigen Ausführungsformen reichen die Aktiver-Bereich-Layoutstrukturen 304a und 304b von der Eckenaussparung 390a bis zu der Eckenaussparung 390b. Bei einigen Ausführungsformen reicht die Aktiver-Bereich-Layoutstruktur 304b von der Seite des Layoutentwurfs 300A bis zu der Eckenaussparung 390b des Layoutentwurfs. Bei einigen Ausführungsformen reicht die Aktiver-Bereich-Layoutstruktur 304c von einer Seite des Layoutentwurfs 300A bis zu der Eckenaussparung 390c des Layoutentwurfs. Bei einigen Ausführungsformen reichen die Aktiver-Bereich-Layoutstrukturen 304c und 304d von der Eckenaussparung 390c bis zu der Eckenaussparung 390d. Bei einigen Ausführungsformen reicht die Aktiver-Bereich-Layoutstruktur 304d von der Seite des Layoutentwurfs 300A bis zu der Eckenaussparung 390d des Layoutentwurfs.
  • Bei einigen Ausführungsformen ist eine Länge der Aktiver-Bereich-Layoutstruktur 304a in der zweiten Richtung Y von einer Länge der Aktiver-Bereich-Layoutstruktur 310a in der zweiten Richtung Y verschieden. Bei einigen Ausführungsformen ist eine Länge der Aktiver-Bereich-Layoutstruktur 306a in der zweiten Richtung Y von einer Länge der Aktiver-Bereich-Layoutstruktur 308a in der zweiten Richtung Y verschieden. Bei einigen Ausführungsformen ist eine Länge der Aktiver-Bereich-Layoutstruktur 306a in der zweiten Richtung Y gleich der Länge der Aktiver-Bereich-Layoutstruktur 308a in der zweiten Richtung Y. Andere Größen oder Konfigurationen der Gruppe von Aktiver-Bereich-Layoutstrukturen 312a liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Erfindung.
  • Der erste Teil 302a weist weiterhin Gate-Layoutstrukturen 320a, 322a und 324a auf (die kollektiv als „Gruppe von Gate-Layoutstrukturen 326a“ bezeichnet werden). Bei einigen Ausführungsformen können die Gate-Layoutstrukturen 320a, 322a und 324a zum Herstellen von entsprechenden Gate-Strukturen 520a, 522a und 524a der integrierten Schaltkreise 500A und 500B (5A bis 5H) verwendet werden. Bei einigen Ausführungsformen kann die Gate-Layoutstruktur 320a zum Herstellen von Gate-Bereichen des PMOS-Transistors P1a und des NMOS-Transistors N1a verwendet werden, die Gate-Layoutstruktur 322a kann zum Herstellen von Gate-Bereichen des NMOS-Transistors N2a und des PMOS-Transistors P2a verwendet werden, und die Gate-Layoutstruktur 324a kann zum Herstellen eines Gate-Bereichs des PMOS-Transistors PGia verwendet werden. Bei einigen Ausführungsformen ist die Gate-Layoutstruktur 322a zu der Eckenaussparung 390a benachbart.
  • Bei einigen Ausführungsformen verläuft jede Gate-Layoutstruktur der Gruppe von Gate-Layoutstrukturen 326a in der ersten Richtung X und überlappt die Gruppe von Aktiver-Bereich-Layoutstrukturen 312a. Die Gruppe von Gate-Layoutstrukturen 326a ist auf einer zweiten Layoutebene angeordnet, die von der ersten Layoutebene verschieden ist. Bei einigen Ausführungsformen entspricht die zweite Layoutebene einer POLY-Ebene der Layoutentwürfe 300A und 300B oder 400A und 400B (4A und 4B). Die Gruppe von Aktiver-Bereich-Layoutstrukturen 312a befindet sich unter der Gruppe von Gate-Layoutstrukturen 326a. Andere Größen oder Konfigurationen der Gruppe von Gate-Layoutstrukturen 326a liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Erfindung.
  • Der erste Teil 302a weist weiterhin Leitfähiges-Element-Layoutstrukturen 330a, 332a, 334a und 336a auf (die kollektiv als „Gruppe von Leitfähiges-Element-Layoutstrukturen 338a“ bezeichnet werden). Bei einigen Ausführungsformen können die Leitfähiges-Element-Layoutstrukturen 330a, 332a, 334a und 336a zum Herstellen von entsprechenden leitfähigen Strukturen 530a, 532a, 534a und 536a der integrierten Schaltkreise 500A und 500B (5A bis 5H) verwendet werden.
  • Bei einigen Ausführungsformen verläuft die Gruppe von Leitfähiges-Element-Layoutstrukturen 338a in der ersten Richtung X und befindet sich über der Gruppe von Aktiver-Bereich-Layoutstrukturen 312a und/oder der Gruppe von Gate-Layoutstrukturen 326a. Die Leitfähiges-Element-Layoutstruktur 330a überlappt die Aktiver-Bereich-Layoutstrukturen 304a und 306a. Die Leitfähiges-Element-Layoutstruktur 334a überlappt die Aktiver-Bereich-Layoutstrukturen 308a und 310a. Die Leitfähiges-Element-Layoutstrukturen 332a und 336a befinden sich über den entsprechenden Aktiver-Bereich-Layoutstrukturen 308a und 310a. Bei einigen Ausführungsformen ist die Leitfähiges-Element-Layoutstruktur 330a zu der Eckenaussparung 390a benachbart.
  • Bei einigen Ausführungsformen ist jede Leitfähiges-Element-Layoutstruktur der Gruppe von Leitfähiges-Element-Layoutstrukturen 338a von einer benachbarten Layoutstruktur der Gruppe von Leitfähiges-Element-Layoutstrukturen 338a in der ersten Richtung X und/oder der zweiten Richtung Y getrennt. Die Gruppe von Leitfähiges-Element-Layoutstrukturen 338a ist auf einer dritten Layoutebene angeordnet, die von der ersten Layoutebene und der zweiten Layoutebene verschieden ist. Bei einigen Ausführungsformen entspricht die dritte Layoutebene der Metall-eins(M1)-Ebene der Layoutentwürfe 300A und 300B oder 400A und 400B (4A und 4B). Andere Größen oder Konfigurationen der Gruppe von Leitfähiges-Element-Layoutstrukturen 338a liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Erfindung.
  • Der erste Teil 302a weist weiterhin Durchkontaktierungs-Layoutstrukturen 360a, 362a, 364a, 366a, 368a und 370a auf (die kollektiv als „Gruppe von Durchkontaktierungs-Layoutstrukturen 358a“ bezeichnet werden). Bei einigen Ausführungsformen können die Durchkontaktierungs-Layoutstrukturen 360a, 362a, 364a, 366a, 368a und 370a zum Herstellen von entsprechenden Durchkontaktierungen 560a, 562a, 564a, 566a, 568a und 570a der integrierten Schaltkreise 500A und 500B (5A bis 5H) verwendet werden.
  • Bei einigen Ausführungsformen ist jede Durchkontaktierungs-Layoutstruktur der Gruppe von Durchkontaktierungs-Layoutstrukturen 358a dort angeordnet, wo jede Leitfähiges-Element-Layoutstruktur der Gruppe von Leitfähiges-Element-Layoutstrukturen 338a jede Aktiver-Bereich-Layoutstruktur der Gruppe von Aktiver-Bereich-Layoutstrukturen 312a überlappt. Die Gruppe von Durchkontaktierungs-Layoutstrukturen 358a befindet sich zwischen der Gruppe von Leitfähiges-Element-Layoutstrukturen 338a und der Gruppe von Aktiver-Bereich-Layoutstrukturen 312a. Bei einigen Ausführungsformen befindet sich die Gruppe von Durchkontaktierungs-Layoutstrukturen 358a mindestens auf der Durchkontaktierungs-null(Vo)-Ebene der Layoutentwürfe 300A und 300B oder 400A und 400B (4A und 4B). Bei einigen Ausführungsformen befindet sich die Vo-Ebene zwischen der dritten Layoutebene und der ersten oder der zweiten Layoutebene der Layoutentwürfe 300A und 300B oder 400A und 400B (4A und 4B). Bei einigen Ausführungsformen kann der erste Teil 302a des Layoutentwurfs 300A zum Herstellen der Speicherzelle 202[1,2], 202[1,6], 202[3,2] oder 202[3,6] der zweiten Gruppe von Speicherzellen 206 der Speicherzellenmatrix 200A oder 200B verwendet werden. Andere Größen oder Konfigurationen der Gruppe von Durchkontaktierungs-Layoutstrukturen 358a liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Erfindung.
  • Der zweite Teil 302b weist Folgendes auf: Aktiver-Bereich-Layoutstrukturen 304b, 306b, 308b und 310b (die kollektiv als „Gruppe von Aktiver-Bereich-Layoutstrukturen 312b“ bezeichnet werden); Gate-Layoutstrukturen 320b, 322b und 324b (die kollektiv als „Gruppe von Gate-Layoutstrukturen 326b“ bezeichnet werden), Leitfähiges-Element-Layoutstrukturen 330b, 332b, 334b und 336b (die kollektiv als „Gruppe von Leitfähiges-Element-Layoutstrukturen 338b“ bezeichnet werden); und Durchkontaktierungs-Layoutstrukturen 360b, 362b, 364b, 366b, 368b und 370b (die kollektiv als „Gruppe von Durchkontaktierungs-Layoutstrukturen 358b“ bezeichnet werden).
  • Bei einigen Ausführungsformen sind der erste Teil 302a und der zweite Teil 302b der Layoutentwürfe 300A und 300B Spiegelbilder voneinander in Bezug zu der zweiten Richtung Y, und daher entfällt eine ähnliche detaillierte Beschreibung.
  • Bei einigen Ausführungsformen können die Aktiver-Bereich-Layoutstrukturen 304b, 306b, 308b und 310b zum Herstellen von aktiven Bereichen 504a1, 506a1, 508a1 und 510a1 des integrierten Schaltkreises 500A oder 500B (5A bis 5H) verwendet werden. Bei einigen Ausführungsformen können die Gate-Layoutstrukturen 320b, 322b und 324b zum Herstellen von Gate-Strukturen verwendet werden, die entsprechenden Gate-Strukturen 520a, 522a und 524a der integrierten Schaltkreise 500A und 500B (5A bis 5H) ähnlich sind. Bei einigen Ausführungsformen können die Leitfähiges-Element-Layoutstrukturen 330b, 332b, 334b und 336b zum Herstellen von entsprechenden leitfähigen Strukturen 530a, 532a, 534a und 536a der integrierten Schaltkreise 500A und 500B (5A bis 5H) verwendet werden. Bei einigen Ausführungsformen können die Durchkontaktierungs-Layoutstrukturen 360b, 362b, 364b, 366b, 368b und 370b zum Herstellen von Durchkontaktierungen verwendet werden, die entsprechenden Durchkontaktierungen 560a, 562a, 564a, 566a, 568a und 570a der integrierten Schaltkreise 500A und 500B (5A bis 5H) ähnlich sind.
  • Bei einigen Ausführungsformen sind die Aktiver-Bereich-Layoutstrukturen 304a und 304b Bestandteil ein und derselben zusammenhängenden Aktiver-Bereich-Layoutstruktur. Bei einigen Ausführungsformen sind die Aktiver-Bereich-Layoutstrukturen 308a und 308b Bestandteil ein und derselben zusammenhängenden Aktiver-Bereich-Layoutstruktur. Bei einigen Ausführungsformen sind die Aktiver-Bereich-Layoutstrukturen 310a und 310b Bestandteil ein und derselben zusammenhängenden Aktiver-Bereich-Layoutstruktur.
  • Bei einigen Ausführungsformen kann der zweite Teil 302b des Layoutentwurfs 300A zum Herstellen der Speicherzelle 202[2,2], 202[2,6], 202[M,2] oder 202[M,6] der zweiten Gruppe von Speicherzellen 206 der Speicherzellenmatrix 200A oder 200B verwendet werden.
  • Der dritte Teil 302c weist Folgendes auf: Aktiver-Bereich-Layoutstrukturen 304c, 306c, 308c und 310c (die kollektiv als „Gruppe von Aktiver-Bereich-Layoutstrukturen 312c“ bezeichnet werden); Gate-Layoutstrukturen 320c, 322c und 324c (die kollektiv als „Gruppe von Gate-Layoutstrukturen 326c“ bezeichnet werden); Leitfähiges-Element-Layoutstrukturen 330c, 332c, 334c und 336c (die kollektiv als „Gruppe von Leitfähiges-Element-Layoutstrukturen 338c“ bezeichnet werden); und Durchkontaktierungs-Layoutstrukturen 360c, 362c, 364c, 366c, 368c und 370c (die kollektiv als „Gruppe von Durchkontaktierungs-Layoutstrukturen 358c“ bezeichnet werden).
  • Bei einigen Ausführungsformen sind der erste Teil 302a und der dritte Teil 302c der Layoutentwürfe 300A und 300B Spiegelbilder voneinander in Bezug zu der ersten Richtung X, und daher entfällt die ähnliche detaillierte Beschreibung.
  • Bei einigen Ausführungsformen können die Aktiver-Bereich-Layoutstrukturen 304c, 306c, 308c und 310c zum Herstellen von aktiven Bereichen verwendet werden, die entsprechenden aktiven Bereichen 504a1, 506a1, 508a1 und 510a1 des integrierten Schaltkreises 500A oder 500B (5A bis 5H) ähnlich sind. Bei einigen Ausführungsformen können die Gate-Layoutstrukturen 320c, 322c und 324c zum Herstellen von Gate-Strukturen verwendet werden, die entsprechenden Gate-Strukturen 520a, 522a und 524a der integrierten Schaltkreise 500A und 500B (5A bis 5H) ähnlich sind. Bei einigen Ausführungsformen können die Leitfähiges-Element-Layoutstrukturen 330c, 332c, 334c und 336c zum Herstellen von leitfähigen Strukturen verwendet werden, die entsprechenden leitfähigen Strukturen 530a, 532a, 534a und 536a der integrierten Schaltkreise 500A und 500B (5A bis 5H) ähnlich sind. Bei einigen Ausführungsformen können die Durchkontaktierungs-Layoutstrukturen 360c, 362c, 364c, 366c, 368c und 370c zum Herstellen von Durchkontaktierungen verwendet werden, die entsprechenden Durchkontaktierungen 560a, 562a, 564a, 566a, 568a und 570a der integrierten Schaltkreise 500A und 500B (5A bis 5H) ähnlich sind. Bei einigen Ausführungsformen sind die Gate-Layoutstrukturen 324a und 324c Bestandteil ein und derselben zusammenhängenden Gate-Layoutstruktur.
  • Bei einigen Ausführungsformen kann der dritte Teil 302c des Layoutentwurfs 300A zum Herstellen der Speicherzelle 202[1,3], 202[1,7], 202[3,3] oder 202[3,7] der zweiten Gruppe von Speicherzellen 206 der Speicherzellenmatrix 200A oder 200B verwendet werden.
  • Der vierte Teil 302d weist Folgendes auf: Aktiver-Bereich-Layoutstrukturen 304d, 306d, 308d und 310d (die kollektiv als „Gruppe von Aktiver-Bereich-Layoutstrukturen 312d“ bezeichnet werden); Gate-Layoutstrukturen 320d, 322d und 324d (die kollektiv als „Gruppe von Gate-Layoutstrukturen 326d“ bezeichnet werden); Leitfähiges-Element-Layoutstrukturen 330d, 332d, 334d und 336d (die kollektiv als „Gruppe von Leitfähiges-Element-Layoutstrukturen 338d“ bezeichnet werden); und Durchkontaktierungs-Layoutstrukturen 360d, 362d, 364d, 366d, 368d und 370d (die kollektiv als „Gruppe von Durchkontaktierungs-Layoutstrukturen 358d“ bezeichnet werden).
  • Bei einigen Ausführungsformen sind der dritte Teil 302c und der vierte Teil 302d der Layoutentwürfe 300A und 300B Spiegelbilder voneinander in Bezug zu der zweiten Richtung Y, und daher entfällt die ähnliche detaillierte Beschreibung. Bei einigen Ausführungsformen sind der zweite Teil 302b und der vierte Teil 302d der Layoutentwürfe 300A und 300B Spiegelbilder voneinander in Bezug zu der ersten Richtung X, und daher entfällt die ähnliche detaillierte Beschreibung.
  • Bei einigen Ausführungsformen können die Aktiver-Bereich-Layoutstrukturen 304d, 306d, 308d und 310d zum Herstellen von aktiven Bereichen verwendet werden, die entsprechenden aktiven Bereichen 504a1, 506a1, 508a1 und 510a1 des integrierten Schaltkreises 500A oder 500B (5A bis 5H) ähnlich sind. Bei einigen Ausführungsformen können die Aktiver-Bereich-Layoutstrukturen 304b, 304c und 304d zum Herstellen der Source- und Drain-Bereiche von entsprechenden PMOS-Transistoren P1b, P1c und P1d verwendet werden, die Aktiver-Bereich-Layoutstrukturen 306b, 306c und 306d können zum Herstellen der Source- und Drain-Bereiche von entsprechenden NMOS-Transistoren N1b, N1c und N1d verwendet werden, und die Aktiver-Bereich-Layoutstrukturen 308b, 308c und 308d können zum Herstellen der Source- und Drain-Bereiche von entsprechenden NMOS-Transistoren N2b, N2c und N2d verwendet werden, die Aktiver-Bereich-Layoutstruktur 310b kann zum Herstellen der Source- und Drain-Bereiche der PMOS-Transistoren P2b und PGib verwendet werden, die Aktiver-Bereich-Layoutstruktur 310c kann zum Herstellen der Source- und Drain-Bereiche der PMOS-Transistoren P2c und PG1c verwendet werden, und die Aktiver-Bereich-Layoutstruktur 310d kann zum Herstellen der Source- und Drain-Bereiche der PMOS-Transistoren P2d und PG1d verwendet werden.
  • Bei einigen Ausführungsformen ist der PMOS-Transistor P1b, P1c oder P1d dem PMOS-Transistor P1 (1) ähnlich, der PMOS-Transistor P2b, P2c oder P2d ist dem PMOS-Transistor P2 (1) ähnlich, der PMOS-Transistor PG1b, PG1c oder PG1d ist dem PMOS-Transistor P3 (1) ähnlich, der NMOS-Transistor N1b, N1c oder N1d ist dem NMOS-Transistor N1 (1) ähnlich, und der NMOS-Transistor N2b, N2c oder N2d ist dem NMOS-Transistor N2 (1) ähnlich.
  • Bei einigen Ausführungsformen können die Gate-Layoutstrukturen 320d, 322d und 324d zum Herstellen von Gate-Strukturen verwendet werden, die entsprechenden Gate-Strukturen 520a, 522a und 524a der integrierten Schaltkreise 500A und 500B (5A bis 5H) ähnlich sind. Bei einigen Ausführungsformen kann die Gate-Layoutstruktur 320b zum Herstellen von Gate-Bereichen des PMOS-Transistors Pib und des NMOS-Transistors Nib verwendet werden, die Gate-Layoutstruktur 322b kann zum Herstellen von Gate-Bereichen des NMOS-Transistors N2b und des PMOS-Transistors P2b verwendet werden, die Gate-Layoutstruktur 320c kann zum Herstellen von Gate-Bereichen des PMOS-Transistors P1c und des NMOS-Transistors Nie verwendet werden, die Gate-Layoutstruktur 322c kann zum Herstellen von Gate-Bereichen des NMOS-Transistors N2c und des PMOS-Transistors P2c verwendet werden, die Gate-Layoutstruktur 320d kann zum Herstellen von Gate-Bereichen des PMOS-Transistors P1d und des NMOS-Transistors N1d verwendet werden, die Gate-Layoutstruktur 322d kann zum Herstellen von Gate-Bereichen des NMOS-Transistor N2d und des PMOS-Transistors P2d verwendet werden, und die Gate-Layoutstrukturen 324b, 324c und 324d können zum Herstellen von entsprechenden Gate-Bereichen der PMOS-Transistoren PGib, PG1c und PG1d verwendet werden.
  • Bei einigen Ausführungsformen können die Leitfähiges-Element-Layoutstrukturen 330d, 332d, 334d und 336d zum Herstellen von leitfähigen Strukturen verwendet werden, die entsprechenden leitfähigen Strukturen 530a, 532a, 534a und 536a der integrierten Schaltkreise 500A und 500B (5A bis 5H) ähnlich sind. Bei einigen Ausführungsformen können die Durchkontaktierungs-Layoutstrukturen 360d, 362d, 364d, 366d, 368d und 370d zum Herstellen von Durchkontaktierungen verwendet werden, die entsprechenden Durchkontaktierungen 560a, 562a, 564a, 566a, 568a und 570a der integrierten Schaltkreise 500A und 500B (5A bis 5H) ähnlich sind.
  • Bei einigen Ausführungsformen sind die Aktiver-Bereich-Layoutstrukturen 304c und 304d Bestandteil ein und derselben zusammenhängenden Aktiver-Bereich-Layoutstruktur. Bei einigen Ausführungsformen sind die Aktiver-Bereich-Layoutstrukturen 308c und 308d Bestandteil ein und derselben zusammenhängenden Aktiver-Bereich-Layoutstruktur. Bei einigen Ausführungsformen sind die Aktiver-Bereich-Layoutstrukturen 310c und 310d Bestandteil ein und derselben zusammenhängenden Aktiver-Bereich-Layoutstruktur.
  • Jede Aktiver-Bereich-Layoutstruktur der Gruppe von Aktiver-Bereich-Layoutstrukturen 312b, 312c und 312d ist der Gruppe von Aktiver-Bereich-Layoutstrukturen 312a ähnlich, und daher entfällt die ähnliche detaillierte Beschreibung. Jede Gate-Layoutstruktur der Gruppe von Gate-Layoutstrukturen 326b, 326c und 326d ist der Gruppe von Gate-Layoutstrukturen 326a ähnlich, und daher entfällt die ähnliche detaillierte Beschreibung. Jede Leitfähiges-Element-Layoutstruktur der Gruppe von Leitfähiges-Element-Layoutstrukturen 338b, 338c und 338d ist der Gruppe von Leitfähiges-Element-Layoutstrukturen 338a ähnlich, und daher entfällt die ähnliche detaillierte Beschreibung. Jede Durchkontaktierungs-Layoutstruktur der Gruppe von Durchkontaktierungs-Layoutstrukturen 358b, 358c und 358d ist der Gruppe von Durchkontaktierungs-Layoutstrukturen 358a ähnlich, und daher entfällt die ähnliche detaillierte Beschreibung. Bei einigen Ausführungsformen sind die Gate-Layoutstrukturen 324b und 324d Bestandteil ein und derselben zusammenhängenden Gate-Layoutstruktur.
  • Bei einigen Ausführungsformen kann der vierte Teil 302d des Layoutentwurfs 300A zum Herstellen der Speicherzelle 202[2,3], 202[2,7], 202[M,3] oder 202[M,7] der zweiten Gruppe von Speicherzellen 206 der Speicherzellenmatrix 200A oder 200B verwendet werden.
  • Andere Größen oder Konfigurationen der Gruppe von Aktiver-Bereich-Layoutstrukturen 312b, 312c und 312d, der Gruppe von Gate-Layoutstrukturen 326b, 326c und 326d, der Gruppe von Leitfähiges-Element-Layoutstrukturen 338b, 338c und 338d oder der Gruppe von Durchkontaktierungs-Layoutstrukturen 358b, 358c und 358d liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Erfindung.
  • Der Layoutentwurf 300A weist weiterhin Leitfähiges-Element-Layoutstrukturen 340a und 340b auf (die kollektiv als „Gruppe von Leitfähiges-Element-Layoutstrukturen 340“ bezeichnet werden). Bei einigen Ausführungsformen können die Leitfähiges-Element-Layoutstrukturen 340a und 340b zum Herstellen einer leitfähigen Struktur 540a oder ähnlicher leitfähiger Strukturen der integrierten Schaltkreise 500A und 500B (5A bis 5H) verwendet werden.
  • Bei einigen Ausführungsformen verläuft die Gruppe von Leitfähiges-Element-Layoutstrukturen 340 in der ersten Richtung X und sie befindet sich über den Gate-Layoutstrukturen 324a und 324b. Die Leitfähiges-Element-Layoutstruktur 340a befindet sich über der Gate-Layoutstruktur 324a. Die Leitfähiges-Element-Layoutstruktur 340b befindet sich über der Gate-Layoutstruktur 324b.
  • Bei einigen Ausführungsformen ist jede Leitfähiges-Element-Layoutstruktur der Gruppe von Leitfähiges-Element-Layoutstrukturen 340 von einer benachbarten Layoutstruktur der Gruppe von Leitfähiges-Element-Layoutstrukturen 340 zumindest in der zweiten Richtung Y getrennt. Die Gruppe von Leitfähiges-Element-Layoutstrukturen 340 befindet sich auf der dritten Layoutebene.
  • Der Layoutentwurf 300A weist weiterhin Leitfähiges-Element-Layoutstrukturen 342a und 342b auf (die kollektiv als „Gruppe von Leitfähiges-Element-Layoutstrukturen 342“ bezeichnet werden). Bei einigen Ausführungsformen können die Leitfähiges-Element-Layoutstrukturen 342a und 342b zum Herstellen von entsprechenden leitfähigen Strukturen 542a und 542b der integrierten Schaltkreise 500A und 500B (5A bis 5H) verwendet werden. Bei einigen Ausführungsformen verläuft die Gruppe von Leitfähiges-Element-Layoutstrukturen 342 in der ersten Richtung X. Die Leitfähiges-Element-Layoutstruktur 342a befindet sich über den Aktiver-Bereich-Layoutstrukturen 304a und 304b. Die Leitfähiges-Element-Layoutstruktur 342b befindet sich über den Aktiver-Bereich-Layoutstrukturen 308a und 308b. Bei einigen Ausführungsformen ist jede Leitfähiges-Element-Layoutstruktur der Gruppe von Leitfähiges-Element-Layoutstrukturen 342 von einer benachbarten Layoutstruktur der Gruppe von Leitfähiges-Element-Layoutstrukturen 342 zumindest in der ersten Richtung X getrennt. Die Gruppe von Leitfähiges-Element-Layoutstrukturen 342 ist auf der dritten Layoutebene angeordnet.
  • Der Layoutentwurf 300A weist weiterhin Leitfähiges-Element-Layoutstrukturen 344a und 344b auf (die kollektiv als „Gruppe von Leitfähiges-Element-Layoutstrukturen 344“ bezeichnet werden). Bei einigen Ausführungsformen können die Leitfähiges-Element-Layoutstrukturen 344a und 344b zum Herstellen von entsprechenden leitfähigen Strukturen verwendet werden, die den entsprechenden leitfähigen Strukturen 542a und 542b der integrierten Schaltkreise 500A und 500B (5A bis 5H) ähnlich sind. Bei einigen Ausführungsformen verläuft die Gruppe von Leitfähiges-Element-Layoutstrukturen 344 in der ersten Richtung X. Die Leitfähiges-Element-Layoutstruktur 344a befindet sich über den Aktiver-Bereich-Layoutstrukturen 304c und 304d. Die Leitfähiges-Element-Layoutstruktur 344b befindet sich über den Aktiver-Bereich-Layoutstrukturen 308c und 308d. Bei einigen Ausführungsformen ist jede Leitfähiges-Element-Layoutstruktur der Gruppe von Leitfähiges-Element-Layoutstrukturen 344 von einer benachbarten Layoutstruktur der Gruppe von Leitfähiges-Element-Layoutstrukturen 344 zumindest in der ersten Richtung X getrennt. Die Gruppe von Leitfähiges-Element-Layoutstrukturen 344 ist auf der dritten Layoutebene angeordnet.
  • Der Layoutentwurf 300A weist weiterhin zumindest eine Leitfähiges-Element-Layoutstruktur 350a auf (die kollektiv als „Gruppe von Leitfähiges-Element-Layoutstrukturen 350“ bezeichnet wird). Bei einigen Ausführungsformen kann die Leitfähiges-Element-Layoutstruktur 350a zum Herstellen einer leitfähigen Struktur 550a der integrierten Schaltkreise 500A und 500B (5A bis 5H) verwendet werden.
  • Bei einigen Ausführungsformen verläuft die Leitfähiges-Element-Layoutstruktur 350a in der ersten Richtung X und sie ist mindestens über den Aktiver-Bereich-Layoutstrukturen 310a, 310b, 3100 and 310d angeordnet.
  • Bei einigen Ausführungsformen ist jede Leitfähiges-Element-Layoutstruktur 350a der Gruppe von Leitfähiges-Element-Layoutstrukturen (nicht bezeichnet) von einer benachbarten Layoutstruktur der Gruppe von Leitfähiges-Element-Layoutstrukturen (nicht bezeichnet) in der ersten Richtung X und/oder der zweiten Richtung Y getrennt. Die Leitfähiges-Element-Layoutstruktur 350a ist auf einer vierten Layoutebene angeordnet, die von der ersten Layoutebene, der zweiten Layoutebene und der dritten Layoutebene verschieden ist. Bei einigen Ausführungsformen entspricht die vierte Layoutebene der Metall-zwei(M2)-Ebene der Layoutentwürfe 300A und 300B oder 400A und 400B ( 4A und 4B).
  • Der Layoutentwurf 300A weist weiterhin Durchkontaktierungs-Layoutstrukturen 374a und 374b auf (die kollektiv als „Gruppe von Durchkontaktierungs-Layoutstrukturen 374“ bezeichnet werden). Bei einigen Ausführungsformen können die Durchkontaktierungs-Layoutstrukturen 374a und 374b zum Herstellen von entsprechenden Durchkontaktierungen 574a und 574b der integrierten Schaltkreise 500A und 500B (5A bis 5H) verwendet werden. Bei einigen Ausführungsformen ist jede Durchkontaktierungs-Layoutstruktur der Gruppe von Durchkontaktierungs-Layoutstrukturen 374 an der Stelle angeordnet, an der die Leitfähiges-Element-Layoutstrukturen 342a und 342b entsprechende Aktiver-Bereich-Layoutstrukturen 304a und 304b überlappen. Die Durchkontaktierungs-Layoutstrukturen 374a und 374b sind zwischen entsprechenden Leitfähiges-Element-Layoutstrukturen 342a und 342b und entsprechenden Aktiver-Bereich-Layoutstrukturen 304a und 304b angeordnet. Bei einigen Ausführungsformen ist die Gruppe von Durchkontaktierungs-Layoutstrukturen 374 mindestens auf der Vo-Ebene der Layoutentwürfe 300A und 300B oder 400A und 400B (4A und 4B) angeordnet.
  • Der Layoutentwurf 300A weist weiterhin Durchkontaktierungs-Layoutstrukturen 376a und 376b auf (die kollektiv als „Gruppe von Durchkontaktierungs-Layoutstrukturen 376“ bezeichnet werden). Bei einigen Ausführungsformen können die Durchkontaktierungs-Layoutstrukturen 376a und 376b zum Herstellen von Durchkontaktierungen verwendet werden, die entsprechenden Durchkontaktierungen 574a und 574b der integrierten Schaltkreise 500A und 500B (5A bis 5H) ähnlich sind. Bei einigen Ausführungsformen ist jede Durchkontaktierungs-Layoutstruktur der Gruppe von Durchkontaktierungs-Layoutstrukturen 376 an der Stelle angeordnet, an der die Leitfähiges-Element-Layoutstrukturen 344a und 344b entsprechende Aktiver-Bereich-Layoutstrukturen 304c und 304d überlappen. Die Durchkontaktierungs-Layoutstrukturen 376a und 376b sind zwischen entsprechenden Leitfähiges-Element-Layoutstrukturen 344a und 344b und entsprechenden Aktiver-Bereich-Layoutstrukturen 304c und 304d angeordnet. Bei einigen Ausführungsformen ist die Gruppe von Durchkontaktierungs-Layoutstrukturen 376 mindestens auf der Vo-Ebene der Layoutentwürfe 300A und 300B oder 400A und 400B (4A und 4B) angeordnet.
  • Der Layoutentwurf 300A weist weiterhin Durchkontaktierungs-Layoutstrukturen 378a und 378b auf (die kollektiv als „Gruppe von Durchkontaktierungs-Layoutstrukturen 378“ bezeichnet werden). Bei einigen Ausführungsformen können die Durchkontaktierungs-Layoutstrukturen 378a und 378b zum Herstellen einer Durchkontaktierung 578a der integrierten Schaltkreise 500A und 500B (5A bis 5H) oder einer Durchkontaktierung, die der Durchkontaktierung 578a ähnlich ist, verwendet werden. Bei einigen Ausführungsformen ist die Gruppe von Durchkontaktierungs-Layoutstrukturen 378 an der Stelle angeordnet, an der die Leitfähiges-Element-Layoutstruktur 350a die Aktiver-Bereich-Layoutstrukturen 310a, 310b, 310c und 310d überlappt. Die Durchkontaktierungs-Layoutstruktur 378a ist zwischen der Leitfähiges-Element-Layoutstruktur 350a und den Aktiver-Bereich-Layoutstrukturen 310a und 310b angeordnet. Die Durchkontaktierungs-Layoutstruktur 378b ist zwischen der Leitfähiges-Element-Layoutstruktur 350a und den Aktiver-Bereich-Layoutstrukturen 3100 und 310d angeordnet. Bei einigen Ausführungsformen ist die Gruppe von Durchkontaktierungs-Layoutstrukturen 378 mindestens auf einer Durchkontaktierung-eins(V1)-Ebene der Layoutentwürfe 300A und 300B oder 400A und 400B (4A und 4B) angeordnet. Bei einigen Ausführungsformen befindet sich die V1-Ebene zwischen der dritten Layoutebene und der vierten Layoutebene der Layoutentwürfe 300A und 300B oder 400A und 400B (4A und 4B).
  • Der Layoutentwurf 300A weist weiterhin Durchkontaktierungs-Layoutstrukturen 380a und 380b auf (die kollektiv als „Gruppe von Durchkontaktierungs-Layoutstrukturen 380“ bezeichnet werden). Bei einigen Ausführungsformen können die Durchkontaktierungs-Layoutstrukturen 380a und 380b zum Herstellen einer Durchkontaktierung 580a der integrierten Schaltkreise 500A und 500B (5A bis 5H) oder von Durchkontaktierungen, die der Durchkontaktierung 580a ähnlich sind, verwendet werden. Bei einigen Ausführungsformen ist die Durchkontaktierungs-Layoutstruktur 380a an der Stelle angeordnet, an der die Leitfähiges-Element-Layoutstruktur 340a die Gate-Layoutstrukturen 324a und 324c überlappt. Bei einigen Ausführungsformen ist die Durchkontaktierungs-Layoutstruktur 380b an der Stelle angeordnet, an der die Leitfähiges-Element-Layoutstruktur 340b die Gate-Layoutstrukturen 324b und 324d überlappt. Die Durchkontaktierungs-Layoutstruktur 380a ist zwischen der Leitfähiges-Element-Layoutstruktur 340a und den Gate-Layoutstrukturen 324a und 324c angeordnet. Die Durchkontaktierungs-Layoutstruktur 380b ist zwischen der Leitfähiges-Element-Layoutstruktur 340 und den Gate-Layoutstrukturen 324b und 324d angeordnet. Bei einigen Ausführungsformen ist die Gruppe von Durchkontaktierungs-Layoutstrukturen 308 mindestens auf einer Durchkontaktierung-über-Gate(VG)-Ebene der Layoutentwürfe 300A und 300B oder 400A und 400B (4A und 4B) angeordnet. Bei einigen Ausführungsformen befindet sich die VG-Ebene zwischen der dritten Layoutebene und der zweiten Layoutebene der Layoutentwürfe 300A und 300B oder 400A und 400B (4A und 4B).
  • Andere Größen oder Konfigurationen der Leitfähiges-Element-Layoutstrukturen 340a, 340b, 342a, 342b, 344a, 344b oder 350a oder der Durchkontaktierungs-Layoutstrukturen 374a, 374b, 376a, 376b, 378a, 378b, 380a oder 380b liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Erfindung.
  • Bei einigen Ausführungsformen haben die Layoutentwürfe 300A und 300B eine nicht-rechteckige Form, die zu einer kleineren Standardzelle als bei anderen Entwürfen führt. Bei einigen Ausführungsformen können die Layoutentwürfe 300A und 300B dadurch, dass sie eine kleinere Standardzelle haben, zum Herstellen von integrierten Schaltkreisen verwendet werden, die kleiner als andere integrierte Schaltkreise sind.
  • 3B ist ein Schema eines Layoutentwurfs 300B, gemäß einigen Ausführungsformen.
  • Der Layoutentwurf 300B kann zum Herstellen des integrierten Schaltkreises 500A (5A bis 5H) verwendet werden. Der Layoutentwurf 300B ist eine Abwandlung des Layoutentwurfs 300A von 3A. Im Vergleich mit dem Layoutentwurf 300A von 3A weist der Layoutentwurf 300B weiterhin eine erste Wannen-Layoutstruktur 314 und eine zweite Wannen-Layoutstruktur 316 auf.
  • Die erste Wannen-Layoutstruktur 314 verläuft in der zweiten Richtung Y und ist auf einer fünften Layoutebene angeordnet. Die erste Wannen-Layoutstruktur 314 kann zum Herstellen einer ersten Wanne 501 (die z. B. mindestens Teile 501a und 501b hat) des integrierten Schaltkreises 500A (5A bis 5H) verwendet werden. Bei einigen Ausführungsformen ist die fünfte Layoutebene von der ersten Layoutebene, der zweiten Layoutebene, der dritten Layoutebene und der vierten Layoutebene verschieden. Bei einigen Ausführungsformen entspricht die fünfte Layoutebene der Wannen-Ebene der Layoutentwürfe 300A und 300B oder 400A und 400B (4A und 4B). Bei einigen Ausführungsformen umfasst ein Teil der fünften Layoutebene die erste Layoutebene. Die erste Wannen-Layoutstruktur 314 weist Layoutstrukturen 354a, 354b und 354c auf.
  • Die Layoutstruktur 354a verläuft in der zweiten Richtung Y und befindet sich unter den Aktiver-Bereich-Layoutstrukturen 304a und 304b. Die Layoutstruktur 354a ist zu einer Seite 352a des ersten Teils 302a oder des zweiten Teils 302b des Layoutentwurfs 300B und zu Eckenaussparungen 390a und 390b benachbart. Die Layoutstruktur 354a kann zum Herstellen des Teils 501a der erste Wanne 501 des integrierten Schaltkreises 500A ( 5A bis 5H) verwendet werden. Die Layoutstruktur 354a hat eine Breite W1 (nicht bezeichnet) in der ersten Richtung X.
  • Die Layoutstruktur 354b verläuft in der zweiten Richtung Y und befindet sich unter den Aktiver-Bereich-Layoutstrukturen 310a, 310b, 310c und 3iod. Die Layoutstruktur 354b ist über Mittellinien 352b1 und 352b2 des Layoutentwurfs 300B angeordnet. Bei einigen Ausführungsformen ist eine Mitte der Layoutstruktur 354b zu den Mittellinien 352b1 und 352b2 des Layoutentwurfs 300B ausgerichtet. Die Layoutstruktur 354b kann zum Herstellen zumindest des Teils 501b der ersten Wanne 501 des integrierten Schaltkreises 500A (5A bis 5H) verwendet werden. Die Layoutstruktur 354b hat eine Breite W2 (nicht bezeichnet) in der ersten Richtung X.
  • Die Layoutstruktur 354c verläuft in der zweiten Richtung Y und befindet sich unter den Aktiver-Bereich-Layoutstrukturen 304c und 304d. Die Layoutstruktur 354c ist zu einer Seite 352c des dritten Teils 302c oder des vierten Teils 302d des Layoutentwurfs 300B und zu Eckenaussparungen 390c und 390d benachbart. Die Layoutstruktur 354c kann zum Herstellen eines Teils der erste Wanne 501, der dem Teil 501a ähnlich ist, verwendet werden. Die Layoutstruktur 354c hat eine Breite W1 (nicht bezeichnet) in der ersten Richtung X.
  • Die zweite Wannen-Layoutstruktur 316 verläuft in der zweiten Richtung Y und ist auf der fünften Layoutebene angeordnet. Die zweite Wannen-Layoutstruktur 316 kann zum Herstellen einer zweiten Wanne 501' (die z. B. zumindest einen Teil 501c hat) des integrierten Schaltkreises 500A (5A bis 5H) verwendet werden.
  • Die zweite Wannen-Layoutstruktur 316 weist Layoutstrukturen 356a und 356b auf.
  • Die Layoutstruktur 356a verläuft in der zweiten Richtung Y und befindet sich unter den Aktiver-Bereich-Layoutstrukturen 306a, 306b, 308a und 308b. Die Layoutstruktur 356a ist zwischen den Layoutstrukturen 354a und 354b angeordnet. Die Layoutstruktur 356a kann zum Herstellen des Teils 5010 der zweiten Wanne 501' des integrierten Schaltkreises 500A (5A bis 5H) verwendet werden. Die Layoutstruktur 356a hat eine Breite W3 (nicht bezeichnet) in der ersten Richtung X.
  • Die Layoutstruktur 356b verläuft in der zweiten Richtung Y und befindet sich unter den Aktiver-Bereich-Layoutstrukturen 306c, 306d, 308c und 308d. Die Layoutstruktur 356b ist zwischen den Layoutstrukturen 354b und 354c angeordnet. Die Layoutstruktur 356b kann zum Herstellen eines Teils der zweiten Wanne 501' verwendet werden, der dem Teil 501c des integrierten Schaltkreises 500A (5A bis 5H) ähnlich ist. Die Layoutstruktur 356b hat eine Breite W3 (nicht bezeichnet) in der ersten Richtung X.
  • Bei einigen Ausführungsformen ist die Breite W1, die Breite W2 oder die Breite W3 die Gleiche wie eine andere der Breiten W1, W2 und W3. Bei einigen Ausführungsformen ist die Breite W1, die Breite W2 oder die Breite W3 von einer anderen der Breiten W1, W2 und W3 verschieden.
  • Andere Größen oder Konfigurationen der ersten Wannen-Layoutstruktur 314 oder der zweiten Wannen-Layoutstruktur 316 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Erfindung. Andere Größen oder Konfigurationen der Layoutstrukturen 354a, 354b, 354c, 356a oder 356b liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Erfindung.
  • 4A ist ein Schema eines Layoutentwurfs 400A, gemäß einigen Ausführungsformen. Der Layoutentwurf 400A entspricht einem Layout-Diagramm eines Teils der Speicherzellenmatrizen 200A und 200B der 2A und 2B. Zum Beispiel entspricht der Layoutentwurf 400A einem Layoutentwurf einer oder mehrerer Speicherzellen 204a, 204b ... 204i der ersten Gruppe von Speicherzellen 204 der 2A und 2B.
  • Der Layoutentwurf 400A ist dem Layoutentwurf 300A (3A) ähnlich. Ähnliche Elemente haben eine ähnliche Bezugszahl, die um 100 erhöht ist.
  • Der Layoutentwurf 400A kann zum Herstellen des integrierten Schaltkreises 500B (5A bis 5H) verwendet werden.
  • Der Layoutentwurf 400A entspricht einem Layoutentwurf der Speicherzellen 202[2,4], 202[2,5], 202[3,4] und 202[3,5] der 2A und 2B. Zum Beispiel entspricht bei einigen Ausführungsformen der erste Teil 402a dem Layoutentwurf der Speicherzelle 202[2,4] der 2A und 2B, der zweite Teil 402b entspricht dem Layoutentwurf der Speicherzelle 202[3,4] der 2A und 2B, der dritte Teil 402c entspricht dem Layoutentwurf der Speicherzelle 202[2,5] der 2A und 2B, und der vierte Teil 402d entspricht dem Layoutentwurf der Speicherzelle 202[3,5] der 2A und 2B. Der erste Teil 402a, der zweite Teil 402b, der dritte Teil 4020 und der vierte Teil 402d haben entsprechende Eckenaussparungen 490a, 490b, 490c und 490d. Die Eckenaussparungen 490a, 490b, 490c und 490d sind den entsprechenden Eckenaussparungen 390a, 390b, 390c und 390d ähnlich, und daher entfällt die ähnliche detaillierte Beschreibung. Bei einigen Ausführungsformen entspricht der Layoutentwurf 400A einem Layoutentwurf der Speicherzellen 206a, 206b, 206c oder 206d der zweiten Gruppe von Speicherzellen 206 der 2A und 2B.
  • Bei einigen Ausführungsformen kann der erste Teil 402a des Layoutentwurfs 400A zum Herstellen der Speicherzelle 202[2,4], 202[2,N], 202[M,4] oder 202[M,N] der ersten Gruppe von Speicherzellen 204 der Speicherzellenmatrix 200A oder 200B verwendet werden.
  • Bei einigen Ausführungsformen kann der zweite Teil 402b des Layoutentwurfs 400A zum Herstellen einer Speicherzelle 202[1,4], 202[1,N], 202[3,4] oder 202[3,N] der ersten Gruppe von Speicherzellen 204 der Speicherzellenmatrix 200A oder 200B verwendet werden.
  • Bei einigen Ausführungsformen kann der dritte Teil 4020 des Layoutentwurfs 400A zum Herstellen einer Speicherzelle 202[2,1], 202[2,5], 202[M,1] oder 202[M,5] der ersten Gruppe von Speicherzellen 204 der Speicherzellenmatrix 200A oder 200B verwendet werden.
  • Bei einigen Ausführungsformen kann der vierte Teil 402d des Layoutentwurfs 400A zum Herstellen einer Speicherzelle 202[1,1], 202[1,5], 202[3,1] oder 202[3,5] der ersten Gruppe von Speicherzellen 204 der Speicherzellenmatrix 200A oder 200B verwendet werden.
  • Aktiver-Bereich-Layoutstrukturen 404a, 406a, 408a und 410a (die kollektiv als „Gruppe von Aktiver-Bereich-Layoutstrukturen 412a“ bezeichnet werden) können zum Herstellen von entsprechenden aktiven Bereichen 504a2, 506a2, 508a2 und 510e des integrierten Schaltkreises 500B (5A bis 5H) verwendet werden. Bei einigen Ausführungsformen wird die Gruppe von Aktiver-Bereich-Layoutstrukturen 412a als OD-Layoutstrukturen bezeichnet, die Source- oder Drain-Diffusions-Layoutstrukturen der Layoutentwürfe 400A und 400B definieren. Zum Beispiel kann bei einigen Ausführungsformen die Aktiver-Bereich-Layoutstruktur 404a zum Herstellen der Source- und Drain-Bereiche eines NMOS-Transistors Nia' der 4A und 4B verwendet werden, die Aktiver-Bereich-Layoutstruktur 406a kann zum Herstellen der Source- und Drain-Bereiche eines PMOS-Transistors Pia' der 4A und 4B verwendet werden, die Aktiver-Bereich-Layoutstruktur 408a kann zum Herstellen der Source- und Drain-Bereiche eines PMOS-Transistors P2a' der 4A und 4B verwendet werden, und die Aktiver-Bereich-Layoutstruktur 410a kann zum Herstellen der Source- und Drain-Bereiche eines NMOS-Transistors N2a' und der Source- und Drain-Bereiche eines PMOS-Transistors PGia' der 4A und 4B verwendet werden.
  • Bei einigen Ausführungsformen können die Aktiver-Bereich-Layoutstrukturen 404b, 406b, 408b und 410b zum Herstellen von aktiven Bereichen verwendet werden, die den entsprechenden aktiven Bereichen 504a2, 506a2, 508a2 und 510e des integrierten Schaltkreises 500B (5A bis 5H) ähnlich sind. Bei einigen Ausführungsformen können die Aktiver-Bereich-Layoutstrukturen 404c, 406c, 408c und 410c zum Herstellen von aktiven Bereichen verwendet werden, die den entsprechenden aktiven Bereichen 504a2, 506a2, 508a2 und 510e des integrierten Schaltkreises 500B (5A bis 5H) ähnlich sind. Bei einigen Ausführungsformen können die Aktiver-Bereich-Layoutstrukturen 404d, 406d, 408d und 410d zum Herstellen von aktiven Bereichen verwendet werden, die den entsprechenden aktiven Bereichen 504a2, 506a2, 508a2 und 5100 des integrierten Schaltkreises 500B (5A bis 5H) ähnlich sind.
  • Bei einigen Ausführungsformen können die Aktiver-Bereich-Layoutstrukturen 404b, 404c und 404d zum Herstellen von Source- und Drain-Bereichen von entsprechenden NMOS-Transistoren Nib', N1c' und Nid' verwendet werden, die Aktiver-Bereich-Layoutstrukturen 406b, 406c und 406d können zum Herstellen der Source- und Drain-Bereiche von entsprechenden PMOS-Transistoren Pib', P1c' und P1d' verwendet werden, die Aktiver-Bereich-Layoutstrukturen 408b, 408c und 408d können zum Herstellen der Source- und Drain-Bereiche von entsprechenden PMOS -Transistoren P2b', P2c' und P2d' verwendet werden, die Aktiver-Bereich-Layoutstruktur 410b kann zum Herstellen des Source- und Drain-Bereichs des NMOS-Transistors N2b' und des Source- und Drain-Bereichs des PMOS-Transistors PG1b' verwendet werden, die Aktiver-Bereich-Layoutstruktur 410c kann zum Herstellen des Source- und Drain-Bereichs des NMOS-Transistors N2c' und des Source- und Drain-Bereichs des PMOS-Transistors PG1c' verwendet werden, und die Aktiver-Bereich-Layoutstruktur 410d kann zum Herstellen des Source- und Drain-Bereichs des NMOS-Transistors N2d' und des Source- und Drain-Bereichs des PMOS-Transistors PGid' verwendet werden.
  • Bei einigen Ausführungsformen können die Gate-Layoutstrukturen 420a, 422a und 424a zum Herstellen der entsprechenden Gate-Strukturen 520a, 522a und 524a des integrierten Schaltkreises 500B (5A bis 5H) verwendet werden. Bei einigen Ausführungsformen kann die Gate-Layoutstruktur 420a zum Herstellen von Gate-Bereichen des NMOS-Transistors Nia' und des PMOS-Transistors Pia' verwendet werden, die Gate-Layoutstruktur 422a kann zum Herstellen der Gate-Bereiche des NMOS-Transistors N2a' und des PMOS-Transistors P2a' verwendet werden, und die Gate-Layoutstruktur 424a kann zum Herstellen des Gate-Bereichs des PMOS-Transistors PGia' verwendet werden.
  • Bei einigen Ausführungsformen können die Gate-Layoutstrukturen 420b, 422b und 424b zum Herstellen von Gate-Strukturen verwendet werden, die den entsprechenden Gate-Strukturen 520a, 522a und 524a des integrierten Schaltkreises 500B (5A bis 5H) ähnlich sind. Bei einigen Ausführungsformen können die Gate-Layoutstrukturen 420c, 422c und 424c zum Herstellen von Gate-Strukturen verwendet werden, die den entsprechenden Gate-Strukturen 520a, 522a und 524a des integrierten Schaltkreises 500B (5A bis 5H) ähnlich sind. Bei einigen Ausführungsformen können die Gate-Layoutstrukturen 420d, 422d und 424d zum Herstellen von Gate-Strukturen verwendet werden, die den entsprechenden Gate-Strukturen 520a, 522a und 524a des integrierten Schaltkreises 500B (5A bis 5H) ähnlich sind.
  • Bei einigen Ausführungsformen kann die Gate-Layoutstruktur 420b zum Herstellen von Gate-Bereichen des PMOS-Transistors P1b' und des NMOS-Transistors N1b' verwendet werden, die Gate-Layoutstruktur 422b kann zum Herstellen von Gate-Bereichen des NMOS-Transistors N2b' und des PMOS-Transistors P2b' verwendet werden, die Gate-Layoutstruktur 420c kann zum Herstellen von Gate-Bereichen des PMOS-Transistors P1c' und des NMOS-Transistors N1c' verwendet werden, die Gate-Layoutstruktur 422c kann zum Herstellen von Gate-Bereichen des NMOS-Transistors N2c' und des PMOS-Transistors P2c' verwendet werden, die Gate-Layoutstruktur 420d kann zum Herstellen von Gate-Bereichen des PMOS-Transistors P1d' und des NMOS-Transistors Nid' verwendet werden, die Gate-Layoutstruktur 422d kann zum Herstellen von Gate-Bereichen des NMOS-Transistors N2d' und des PMOS-Transistors P2d' verwendet werden, und die Gate-Layoutstrukturen 424b, 424c und 424d können zum Herstellen von entsprechenden Gate-Bereichen der PMOS-Transistoren PGib', PG1c' und PG1d' verwendet werden.
  • Bei einigen Ausführungsformen können die Leitfähiges-Element-Layoutstrukturen 430a, 432a, 434a und 436a zum Herstellen der entsprechenden leitfähigen Strukturen 530a, 532a, 534b und 536b des integrierten Schaltkreises 500B (5A bis 5H) verwendet werden. Bei einigen Ausführungsformen können die Leitfähiges-Element-Layoutstrukturen 430b, 432b, 434b und 436b zum Herstellen von leitfähigen Strukturen verwendet werden, die den entsprechenden leitfähigen Strukturen 530a, 532a, 534b und 536b des integrierten Schaltkreises 500B (5A bis 5H) ähnlich sind. Bei einigen Ausführungsformen können die Leitfähiges-Element-Layoutstrukturen 430c, 432c, 434c und 436c zum Herstellen von leitfähigen Strukturen verwendet werden, die den entsprechenden leitfähigen Strukturen 530a, 532a, 534b und 536b des integrierten Schaltkreises 500B (5A bis 5H) ähnlich sind. Bei einigen Ausführungsformen können die Leitfähiges-Element-Layoutstrukturen 430d, 432d, 434d und 436d zum Herstellen von leitfähigen Strukturen verwendet werden, die den entsprechenden leitfähigen Strukturen 530a, 532a, 534b und 536b des integrierten Schaltkreises 500B (5A bis 5H) ähnlich sind.
  • Bei einigen Ausführungsformen können Durchkontaktierungs-Layoutstrukturen 460a, 462a, 464a, 466a, 468a und 470a zum Herstellen der entsprechenden Durchkontaktierungen 560a, 562a, 564a, 566b, 568b und 570b des integrierten Schaltkreises 500B (5A bis 5H) verwendet werden. Bei einigen Ausführungsformen können Durchkontaktierungs-Layoutstrukturen 460b, 462b, 464b, 466b, 468b und 470b zum Herstellen von Durchkontaktierungen verwendet werden, die den entsprechenden Durchkontaktierungen 560a, 562a, 564a, 566b, 568b und 570b des integrierten Schaltkreises 500B (5A bis 5H) ähnlich sind. Bei einigen Ausführungsformen können Durchkontaktierungs-Layoutstrukturen 460c, 462c, 464c, 466c, 468c und 470c zum Herstellen von Durchkontaktierungen verwendet werden, die den entsprechenden Durchkontaktierungen 560a, 562a, 564a, 566b, 568b und 570b des integrierten Schaltkreises 500B (5A bis 5H) ähnlich sind. Bei einigen Ausführungsformen können Durchkontaktierungs-Layoutstrukturen 460d, 462d, 464d, 466d, 468d und 470d zum Herstellen von Durchkontaktierungen verwendet werden, die den entsprechenden Durchkontaktierungen 560a, 562a, 564a, 566b, 568b und 570b des integrierten Schaltkreises 500B (5A bis 5H) ähnlich sind.
  • Bei einigen Ausführungsformen können die Leitfähiges-Element-Layoutstrukturen 440a und 440b zum Herstellen der leitfähigen Struktur 540a oder von ähnlichen leitfähigen Strukturen des integrierten Schaltkreises 500B (5A bis 5H) verwendet werden.
  • Bei einigen Ausführungsformen können die Leitfähiges-Element-Layoutstrukturen 442a und 442b zum Herstellen der entsprechenden leitfähigen Strukturen 542a und 542b des integrierten Schaltkreises 500B (5A bis 5H) verwendet werden.
  • Bei einigen Ausführungsformen können die Leitfähiges-Element-Layoutstrukturen 444a und 444b zum Herstellen von leitfähigen Strukturen verwendet werden, die den entsprechenden leitfähigen Strukturen 542a und 542b des integrierten Schaltkreises 500B (5A bis 5H) ähnlich sind.
  • Bei einigen Ausführungsformen kann eine Leitfähiges-Element-Layoutstruktur 450a zum Herstellen einer leitfähigen Struktur 550b des integrierten Schaltkreises 500B (5A bis 5H) verwendet werden.
  • Bei einigen Ausführungsformen können Durchkontaktierungs-Layoutstrukturen 474a und 474b zum Herstellen von entsprechenden Durchkontaktierungen 574a und 574b des integrierten Schaltkreises 500B (5A bis 5H) verwendet werden.
  • Bei einigen Ausführungsformen können Durchkontaktierungs-Layoutstrukturen 476a und 476b zum Herstellen von Durchkontaktierungen verwendet werden, die den entsprechenden Durchkontaktierungen 574a und 574b des integrierten Schaltkreises 500B (5A bis 5H) ähnlich sind.
  • Bei einigen Ausführungsformen können Durchkontaktierungs-Layoutstrukturen 478a und 478b zum Herstellen einer Durchkontaktierung 578b oder von Durchkontaktierungen verwendet werden, die der Durchkontaktierung 578b des integrierten Schaltkreises 500B (5A bis 5H) ähnlich sind.
  • Bei einigen Ausführungsformen können Durchkontaktierungs-Layoutstrukturen 480a und 480b zum Herstellen einer Durchkontaktierung 580a oder von Durchkontaktierungen verwendet werden, die der Durchkontaktierung 580a des integrierten Schaltkreises 500B (5A bis 5H) ähnlich sind.
  • Bei einigen Ausführungsformen haben die Layoutentwürfe 400A und 400B eine nicht-rechteckige Form, die zu einer kleineren Standardzelle als bei anderen Entwürfen führt. Bei einigen Ausführungsformen können die Layoutentwürfe 400A und 400B dadurch, dass sie eine kleinere Standardzelle haben, zum Herstellen von integrierten Schaltkreisen verwendet werden, die kleiner als andere integrierte Schaltkreise sind.
  • 4B ist ein Schema eines Layoutentwurfs 400B, gemäß einigen Ausführungsformen.
  • Der Layoutentwurf 400B kann zum Herstellen des integrierten Schaltkreises 500B (5A bis 5H) verwendet werden. Der Layoutentwurf 400B ist eine Abwandlung des Layoutentwurfs 400A von 4A. Im Vergleich mit dem Layoutentwurf 400A von 4A weist der Layoutentwurf 400B weiterhin eine erste Wannen-Layoutstruktur 416 und eine zweite Wannen-Layoutstruktur 414 auf.
  • Die erste Wannen-Layoutstruktur 416 verläuft in der zweiten Richtung Y und ist auf der fünften Layoutebene angeordnet. Die erste Wannen-Layoutstruktur 416 kann zum Herstellen einer ersten Wanne 502 (die z. B. mindestens Teile 502a und 502b hat) des integrierten Schaltkreises 500B (5A bis 5H) verwendet werden.
  • Die erste Wannen-Layoutstruktur 416 umfasst Layoutstrukturen 456a, 456b, 456c und 456d.
  • Die Layoutstruktur 456a verläuft in der zweiten Richtung Y und befindet sich unter den Aktiver-Bereich-Layoutstrukturen 404a und 404b. Die Layoutstruktur 456a ist zu einer Seite 452a des ersten Teils 402a oder des zweiten Teils 402b des Layoutentwurfs 400B benachbart. Die Layoutstruktur 456a kann zum Herstellen des Teils 502a der erste Wanne 502 des integrierten Schaltkreises 500B (5A bis 5H) verwendet werden. Die Layoutstruktur 456a hat eine Breite W1 (nicht bezeichnet) in der ersten Richtung X.
  • Die Layoutstruktur 456b verläuft in der zweiten Richtung Y und befindet sich unter den Aktiver-Bereich-Layoutstrukturen 404c und 404d. Die Layoutstruktur 456b ist zu einer Seite 452c des dritten Teils 4020 oder des vierten Teils 402d des Layoutentwurfs 400B benachbart. Die Layoutstruktur 456b kann zum Herstellen eines Teils der ersten Wanne 502 verwendet werden, der dem Teil 502a des integrierten Schaltkreises 500B (5A bis 5H) ähnlich ist. Die Layoutstruktur 456b hat eine Breite W1 (nicht bezeichnet) in der ersten Richtung X.
  • Die Layoutstruktur 456c verläuft in der ersten Richtung X und befindet sich unter einem Teil der Aktiver-Bereich-Layoutstrukturen 410a und 4100. Bei einigen Ausführungsformen ist eine Seite der Layoutstruktur 456c zu einer ersten Seite der Layoutstruktur 454b entlang einer Linie 452d1 in der ersten Richtung X ausgerichtet. Die Layoutstruktur 456c kann zum Herstellen des Teils 502b der ersten Wanne 502 des integrierten Schaltkreises 500B (5A bis 5H) verwendet werden. Die Layoutstruktur 456c hat eine Breite W2 (nicht bezeichnet) in der ersten Richtung X.
  • Die Layoutstruktur 456d verläuft in der ersten Richtung X und befindet sich unter einem Teil der Aktiver-Bereich-Layoutstrukturen 410b und 410d. Bei einigen Ausführungsformen ist eine Seite der Layoutstruktur 456d zu einer zweiten Seite der Layoutstruktur 454b entlang einer Linie 452d2 in der ersten Richtung X ausgerichtet. Die Layoutstruktur 456d kann zum Herstellen eines Teils der ersten Wanne 502 verwendet werden, der dem Teil 502b des integrierten Schaltkreises 500B (5A bis 5H) ähnlich ist. Die Layoutstruktur 456d hat eine Breite W2 (nicht bezeichnet) in der ersten Richtung X.
  • Die zweite Wannen-Layoutstruktur 414 verläuft in der zweiten Richtung Y und ist auf der fünften Layoutebene angeordnet. Die zweite Wannen-Layoutstruktur 414 kann zum Herstellen einer zweiten Wanne 502' (die z. B. mindestens Teile 502c und 502d hat) des integrierten Schaltkreises 500B (5A bis 5H) verwendet werden. Die zweite Wannen-Layoutstruktur 414 umfasst Layoutstrukturen 454a, 454b und 454c.
  • Die Layoutstruktur 454a verläuft in der zweiten Richtung Y und befindet sich unter den Aktiver-Bereich-Layoutstrukturen 406a, 406b, 408a und 408b. Die Layoutstruktur 454a kann zum Herstellen des Teils 502c der zweiten Wanne 502' des integrierten Schaltkreises 500B (5A bis 5H) verwendet werden. Die Layoutstruktur 454a hat eine Breite W3 (nicht bezeichnet) in der ersten Richtung X.
  • Die Layoutstruktur 454b verläuft in der ersten Richtung X und befindet sich unter den Aktiver-Bereich-Layoutstrukturen 410a, 410b, 410c und 410d. Die Layoutstruktur 454b ist über Mittellinien 452b1 und 452b2 des Layoutentwurfs 400B angeordnet. Bei einigen Ausführungsformen ist eine Mitte der Layoutstruktur 454b zu den Mittellinien 452b1 und 452b2 des Layoutentwurfs 400B ausgerichtet. Bei einigen Ausführungsformen ist die erste Seite der Layoutstruktur 454b zu der Mittellinie 452d1 in der ersten Richtung X ausgerichtet. Bei einigen Ausführungsformen ist die zweite Seite der Layoutstruktur 454b zu der Mittellinie 452d2 in der ersten Richtung X ausgerichtet. Die Layoutstruktur 454b kann zum Herstellen mindestens des Teils 502d der zweiten Wanne 502' des integrierten Schaltkreises 500B (5A bis 5H) verwendet werden. Bei einigen Ausführungsformen kann die Layoutstruktur 454b zum Herstellen von Teilen verwendet werden, die dem Teil 502d der zweite Wanne 502' des integrierten Schaltkreises 500B (5A bis 5H) ähnlich ist. Die Layoutstruktur 454b hat eine Breite W2 (nicht bezeichnet) in der ersten Richtung X.
  • Die Layoutstruktur 454c verläuft in der zweiten Richtung Y und befindet sich unter den Aktiver-Bereich-Layoutstrukturen 406c, 406d, 408c und 408d. Die Layoutstruktur 454c kann zum Herstellen eines Teils der zweiten Wanne 502' verwendet werden, der dem Teil 502c des integrierten Schaltkreises 500B (5A bis 5H) ähnlich ist. Die Layoutstruktur 454c hat eine Breite W3 (nicht bezeichnet) in der ersten Richtung X.
  • Bei einigen Ausführungsformen sind die Layoutstrukturen 454a, 454b und 454c Bestandteil ein und derselben zusammenhängenden Layoutstruktur (z. B. der zweiten Wannen-Layoutstruktur 414).
  • Die Layoutstruktur 454a ist zwischen der Layoutstruktur 456a und den einzelnen Layoutstrukturen 454b, 456c und 456d angeordnet. Die Layoutstruktur 454c ist zwischen der Layoutstruktur 456b und den einzelnen Layoutstrukturen 454b, 456c und 456d angeordnet. Die Layoutstruktur 454b ist zwischen den Layoutstrukturen 456c und 456d angeordnet. Die Layoutstruktur 454b ist zwischen den Layoutstrukturen 454a und 454c angeordnet.
  • Bei einigen Ausführungsformen ist die Breite W1, die Breite W2 oder die Breite W3 die Gleiche wie eine andere der Breiten W1, W2 und W3. Bei einigen Ausführungsformen ist die Breite W1, die Breite W2 oder die Breite W3 von einen anderen der Breiten W1, W2 und W3 verschieden.
  • Andere Größen oder Konfigurationen der ersten Wannen-Layoutstruktur 416 oder der zweiten Wannen-Layoutstruktur 414 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Erfindung. Andere Größen oder Konfigurationen der Layoutstruktur 454a, 454b, 454c, 456a, 456b, 456c oder 456d liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Erfindung.
  • Die 5A bis 5H sind Schemata eines integrierten Schaltkreises 500A oder 500B, gemäß einigen Ausführungsformen.
  • 5A ist eine Schnittansicht des integrierten Schaltkreises 500A oder 500B, die dem Layoutentwurf 300B oder 400B entspricht, der jeweils von einer Ebene A - A' geschnitten wird.
  • 5B ist eine Schnittansicht des integrierten Schaltkreises 500A oder 500B, die dem Layoutentwurf 300B oder 400B entspricht, der jeweils von einer Ebene B - B' geschnitten wird.
  • 5C ist eine Schnittansicht des integrierten Schaltkreises 500A oder 500B, die dem Layoutentwurf 300B oder 400B entspricht, der jeweils von einer Ebene C - C' geschnitten wird.
  • 5D ist eine Schnittansicht des integrierten Schaltkreises 500A, die dem Layoutentwurf 300B entspricht, der von einer Ebene D - D' geschnitten wird, und 5E ist eine Schnittansicht des integrierten Schaltkreises 500B, die dem Layoutentwurf 400B entspricht, der von einer Ebene E - E' geschnitten wird.
  • 5F ist eine Schnittansicht des integrierten Schaltkreises 500A oder 500B, die dem Layoutentwurf 300B oder 400B entspricht, der jeweils von einer Ebene F - F‛ geschnitten wird.
  • 5G ist eine Schnittansicht des integrierten Schaltkreises 500A, die dem Layoutentwurf 300B entspricht, der von einer Ebene G - G' geschnitten wird, und 5H ist eine Schnittansicht des integrierten Schaltkreises 500A, die dem Layoutentwurf 400B entspricht, der von einer Ebene H - H' geschnitten wird.
  • Der integrierte Schaltkreis 500A wird mit dem ersten Teil 302a des Layoutentwurfs 300B hergestellt, und der integrierte Schaltkreis 500B wird mit dem ersten Teil 402a des Layoutentwurfs 400B hergestellt. Bei einigen Ausführungsformen können der zweite Teil 302b, der dritte Teil 302c und der vierte Teil 302d des Layoutentwurfs 300B zum Herstellen eines integrierten Schaltkreises verwendet werden, der dem Schaltkreis 500A ähnlich ist. Bei einigen Ausführungsformen können der zweite Teil 402b, der dritte Teil 402c und der vierte Teil 402d des Layoutentwurfs 400B zum Herstellen eines integrierten Schaltkreises verwendet werden, der dem Schaltkreis 500B ähnlich ist.
  • Bauliche Beziehungen, die die Ausrichtung, Längen und Breiten umfassen, sowie Konfigurationen der integrierten Schaltkreise 500A und 500B sind den baulichen Beziehungen und Konfigurationen der Layoutentwürfe 300A und 300B der 3A und 3B und der Layoutentwürfe 400A und 400B der 4A und 4B ähnlich und werden der Kürze halber in den 5A bis 5H nicht beschrieben.
  • Die Schaltkreise 500A und 500B werden nachstehend nur kurz beschrieben, wenn sie die 5A bis 5H betreffen. Der Kürze halber werden Elemente mit der gleichen Bezugszahl in den integrierten Schaltkreisen 500A und 500B unter Bezugnahme auf den integrierten Schaltkreis 500A oder 500B beschrieben, und die ähnliche detaillierte Beschreibung entfällt.
  • Der integrierte Schaltkreis 500B ist eine Abwandlung des integrierten Schaltkreises 500A. Im Vergleich mit dem integrierten Schaltkreis 500A weist der integrierte Schaltkreis 500B nicht die erste Wanne 501 und die zweite Wanne 501' auf. Im Vergleich mit dem integrierten Schaltkreis 500A ersetzt die erste Wanne 502 des integrierten Schaltkreises 500B die erste Wanne 501, und die zweite Wanne 502' des integrierten Schaltkreises 500B ersetzt die zweite Wanne 501.
  • Der integrierte Schaltkreis 500A weist eine erste Wanne 501 und eine zweite Wanne 501' auf. Die erste Wanne 501 und die zweite Wanne 501' befinden sich jeweils mindestens auf der ersten Ebene des integrierten Schaltkreises 500A und verlaufen in der zweiten Richtung Y.
  • Die erste Wanne 501 des integrierten Schaltkreises 500A weist Dotanden einer ersten Art auf. Die zweite Wanne 501' des integrierten Schaltkreises 500A weist Dotanden einer zweiten Art auf, die von der ersten Art verschieden ist. Bei einigen Ausführungsformen ist die erste Art ein n-Dotand, die zweite Art ist ein p-Dotand, die erste Wanne 501 des integrierten Schaltkreises 500A ist eine n-Wanne, und die zweite Wanne 501' des integrierten Schaltkreises 500A ist eine p-Wanne. Bei einigen Ausführungsformen ist die erste Art ein p-Dotand, die zweite Art ist ein n-Dotand, die erste Wanne 501 des integrierten Schaltkreises 500A ist eine p-Wanne, und die zweite Wanne 501' des integrierten Schaltkreises 500A ist eine n-Wanne.
  • Die erste Wanne 501 des integrierten Schaltkreises 500A weist einen ersten Teil 501a und einen zweiten Teil 501b auf.
  • Der erste Teil 501a der ersten Wanne 501 verläuft in der zweiten Richtung Y und ist benachbart zu einer ersten Seite 590a des integrierten Schaltkreises 500A. Bei einigen Ausführungsformen entspricht die erste Seite 590a des integrierten Schaltkreises 500A der Linie 352a des Layoutentwurfs 300B. Der erste Teil 501a der ersten Wanne 501 befindet sich mindestens auf der ersten Ebene des integrierten Schaltkreises 500A.
  • Der zweite Teil 501b der ersten Wanne 501 verläuft in der zweiten Richtung Y und ist benachbart zu einer zweiten Seite 590b des integrierten Schaltkreises 500A. Bei einigen Ausführungsformen entspricht die zweite Seite 590b des integrierten Schaltkreises 500A der Linie 352b1 des Layoutentwurfs 300B. Der zweite Teil 501b der ersten Wanne 501 befindet sich mindestens auf der ersten Ebene des integrierten Schaltkreises 500A.
  • Die zweite Wanne 501' des integrierten Schaltkreises 500A weist einen Teil 501c auf. Die zweite Wanne 501' ist zwischen dem ersten Teil 501a der ersten Wanne 501 und dem zweiten Teil 501b der ersten Wanne 501 angeordnet.
  • Der Teil 501c der zweiten Wanne 501' verläuft in der zweiten Richtung Y und ist zwischen dem ersten Teil 501a der ersten Wanne 501 und dem zweiten Teil 501b der ersten Wanne 501 angeordnet. Der Teil 501c der zweiten Wanne 501' befindet sich mindestens auf der ersten Ebene des integrierten Schaltkreises 500A. Andere Größen oder Konfigurationen der ersten Wanne 501 oder der zweiten Wanne 501' liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Erfindung.
  • Der integrierte Schaltkreis 500A oder 500B weist eine Gruppe von aktiven Bereichen 504 auf, die in der zweiten Richtung Y verlaufen. Die Gruppe von aktiven Bereichen 504 ist auf der ersten Ebene des integrierten Schaltkreises 500A oder 500B angeordnet.
  • Die Gruppe von aktiven Bereichen 504 weist einen oder mehrere aktive Bereiche 504a1, 506a1, 508a1 oder 510a1 auf. Jeder der aktiven Bereiche 504a1, 506a1, 508a1 und 510a1 der Gruppe von aktiven Bereichen 504 ist von einem benachbarten aktiven Bereich der Gruppe von aktiven Bereichen 504 in der ersten Richtung X durch einen ersten Abstand (nicht bezeichnet) getrennt.
  • Der aktive Bereich 504a1 ist zu der ersten Seite 590a des integrierten Schaltkreises 500A benachbart. Der aktive Bereich 510a1 ist zu der zweiten Seite 590b des integrierten Schaltkreises 500A benachbart. Die zweite Seite 590b des integrierten Schaltkreises 500A liegt der ersten Seite 590a des integrierten Schaltkreises 500A gegenüber.
  • Der aktive Bereich 504a1 der Gruppe von aktiven Bereichen 504 ist in den ersten Teil 501a der ersten Wanne 501 des integrierten Schaltkreises 500A eingebettet.
  • Der aktive Bereich 510a1 der Gruppe von aktiven Bereichen 504 ist in den zweiten Teil 501b der ersten Wanne 501 des integrierten Schaltkreises 500A eingebettet.
  • Der aktive Bereich 506a1 oder 508a1 der Gruppe von aktiven Bereichen 504 ist in den Teil 501c der zweiten Wanne 501' des integrierten Schaltkreises 500A eingebettet.
  • Die aktiven Bereiche 506a1 und 508a1 weisen Dotanden der ersten Art auf. Die aktiven Bereiche 504a1 und 510a1 weisen Dotanden der zweiten Art auf. Bei einigen Ausführungsformen ist die erste Art ein n-Dotand und die zweite Art ist ein p-Dotand, und daher sind die aktiven Bereiche 504a1 und 510a1 jeweils aktive p-Bereiche, die in die erste Wanne 501 (die eine n-Wanne ist) eingebettet sind, und die aktiven Bereiche 506a1 und 508a1 sind jeweils aktive n-Bereiche, die in die zweite Wanne 501' (die eine p-Wanne ist) eingebettet sind. Bei einigen Ausführungsformen ist die erste Art ein p-Dotand und die zweite Art ist ein n-Dotand, und daher sind die aktiven Bereiche 504a1 und 510a1 jeweils aktive n-Bereiche, die in die erste Wanne 501 (die eine p-Wanne ist) eingebettet sind, und die aktiven Bereiche 506a1 und 508a1 sind jeweils aktive p-Bereiche, die in die zweite Wanne 501' (die eine n-Wanne ist) eingebettet sind.
  • Bei einigen Ausführungsformen ist eine Länge mindestens eines der aktiven Bereiche 504a1, 506a1, 508a1 und 510a1 in der zweiten Richtung Y von einer Länge eines anderen der aktiven Bereiche 504a1, 506a1, 508a1 und 510a1 in der zweiten Richtung Y verschieden. Bei einigen Ausführungsformen ist eine Länge mindestens eines der aktiven Bereiche 504a1, 506a1, 508a1 und 510a1 in der zweiten Richtung Y gleich einer Länge eines anderen der aktiven Bereiche 504a1, 506a1, 508a1 und 510a1 in der zweiten Richtung Y. Andere Größen oder Konfigurationen der Gruppe von aktiven Bereichen 504 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Erfindung.
  • Der integrierte Schaltkreis 500A oder 500B weist eine Gruppe von Gates 527 auf, die in der ersten Richtung Y verlaufen. Die Gruppe von Gates 527 überlappt die Gruppe von aktiven Bereichen 504 des integrierten Schaltkreises 500A oder eine Gruppe von aktiven Bereichen 505 des integrierten Schaltkreises 500B. Die Gruppe von Gates 527 ist auf einer zweiten Ebene des integrierten Schaltkreises 500A oder 500B angeordnet. Die zweite Ebene ist von der ersten Ebene des integrierten Schaltkreises 500A oder 500B verschieden. Bei einigen Ausführungsformen wird die zweite Ebene des integrierten Schaltkreises 500A oder 500B als POLY-Ebene bezeichnet.
  • Die Gruppe von Gates 527 weist eine oder mehrere der Gate-Strukturen 520a, 522a und 524a auf. Die Gate-Strukturen 520a und 524a sind von der Gate-Struktur 522a in der zweiten Richtung Y durch einen Gate-Abstand (nicht bezeichnet) getrennt. Die Gate-Strukturen 520a und 524a sind in der ersten Richtung X voneinander getrennt. Andere Größen oder Konfigurationen der Gruppe von Gates 527 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Erfindung.
  • Der integrierte Schaltkreis 500A oder 500B weist leitfähige Strukturen 504b, 504c, 504d, 504e, 504f, 510b, 510c, 510d, 510e, 510f, 516e, 516f und 520f auf (die kollektiv als „Gruppe von Kontakten 521“ bezeichnet werden).
  • Die Gruppe von Kontakten 521 verläuft in der ersten Richtung X oder der zweiten Richtung Y. Die Gruppe von Kontakten 521 ist über der Gruppe von aktiven Bereichen 504 des integrierten Schaltkreises 500A oder über der Gruppe von aktiven Bereichen 505 des integrierten Schaltkreises 500B angeordnet. Die Gruppe von Kontakten 521 befindet sich auf der zweiten Ebene des integrierten Schaltkreises 500A oder 500B. Bei einigen Ausführungsformen wird die zweite Ebene des integrierten Schaltkreises 500A oder 500B als Metalldiffusions(MD)-Ebene bezeichnet.
  • Die Gruppe von Kontakten 521 verbindet die Gruppe von aktiven Bereichen 504 des integrierten Schaltkreises 500A oder die Gruppe von aktiven Bereichen 505 des integrierten Schaltkreises 500B elektrisch mit oberen Ebenen (z. B. M0, M1 oder M2) des entsprechenden integrierten Schaltkreises 500A oder 500B. Die leitfähigen Strukturen 504b und 510b verbinden entsprechende Durchkontaktierungen 506b und 512b elektrisch mit dem aktiven Bereich 504a1 oder 504a2 (die Bestandteil des integrierten Schaltkreises 500B sind). Die leitfähigen Strukturen 504c und 510c verbinden entsprechende Durchkontaktierungen 506c und 512c elektrisch mit dem aktiven Bereich 506a1 oder 506a2 (die Bestandteil des integrierten Schaltkreises 500B sind). Die leitfähigen Strukturen 504d und 510d verbinden entsprechende Durchkontaktierungen 506d und 512d elektrisch mit dem aktiven Bereich 508a1 oder 508a2 (die Bestandteil des integrierten Schaltkreises 500B sind). Die leitfähigen Strukturen 504e, 510e und 516e verbinden entsprechende Durchkontaktierungen 506e, 512e und 518e elektrisch mit dem aktiven Bereich 510a1. Die leitfähigen Strukturen 504f und 510f verbinden entsprechende Durchkontaktierungen 506f und 512f elektrisch mit dem aktiven Bereich 510b. Die leitfähigen Strukturen 516f und 520f verbinden entsprechende Durchkontaktierungen 518f und 522f elektrisch mit dem aktiven Bereich 510c. Andere Größen oder Konfigurationen der Gruppe von Kontakten 521 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Erfindung.
  • Der integrierte Schaltkreis 500A oder 500B weist leitfähige Strukturen 508b, 508c, 508d, 508e, 508f, 514b, 514e, 514f, 516c, 516d, 520e und 524f auf (die kollektiv als „Gruppe von leitfähigen Strukturen 529“ bezeichnet werden). Die Gruppe von leitfähigen Strukturen 529 verläuft in der ersten Richtung X oder der zweiten Richtung Y. Die Gruppe von leitfähigen Strukturen 529 ist über der Gruppe von aktiven Bereichen 504 des integrierten Schaltkreises 500A oder über der Gruppe von aktiven Bereichen 505 des integrierten Schaltkreises 500B angeordnet. Die Gruppe von leitfähigen Strukturen 529 ist über der Gruppe von Kontakten 521 und/oder der Gruppe von Gates 527 angeordnet. Die Gruppe von leitfähigen Strukturen 529 befindet sich auf einer dritten Ebene des integrierten Schaltkreises 500A oder 500B. Die dritte Ebene des integrierten Schaltkreises 500A oder 500B ist von der ersten Ebene des integrierten Schaltkreises 500A oder 500B und der zweiten Ebene des integrierten Schaltkreises 500A oder 500B verschieden. Bei einigen Ausführungsformen wird die dritte Ebene des integrierten Schaltkreises 500A oder 500B als Metall-null(Mo)-Ebene bezeichnet.
  • Die Gruppe von leitfähigen Strukturen 529 verbindet die Gruppe von aktiven Bereichen 504 des integrierten Schaltkreises 500A oder die Gruppe von aktiven Bereichen 505 des integrierten Schaltkreises 500B elektrisch mit oberen Ebenen (z. B. M1 oder M2) des entsprechenden integrierten Schaltkreises 500A oder 500B. Bei einigen Ausführungsformen verbindet die Gruppe von leitfähigen Strukturen 529 elektrisch die Gruppe von Gates 527 mit oberen Ebenen (z. B. M1 oder M2) des integrierten Schaltkreises 500A oder 500B. Andere Größen oder Konfigurationen der Gruppe von leitfähigen Strukturen 529 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Erfindung.
  • Der integrierte Schaltkreis 500A oder 500B weist Durchkontaktierungen 504g, 506b, 506c, 506d, 506e, 506f, 512b, 512c, 512d, 512e, 512f, 514c, 514d, 518e, 518f und 522f (die kollektiv als „Gruppe von Durchkontaktierungen 523“ bezeichnet werden) zwischen der Gruppe von leitfähigen Strukturen 529 und der Gruppe von aktiven Bereichen 504 des integrierten Schaltkreises 500A oder der Gruppe von aktiven Bereichen 505 des integrierten Schaltkreises 500B auf. Die Gruppe von Durchkontaktierungen 523 verbindet die Gruppe von leitfähigen Strukturen 529 elektrisch mit der Gruppe von aktiven Bereichen 504 des integrierten Schaltkreises 500A oder der Gruppe von aktiven Bereichen 505 des integrierten Schaltkreises 500B. Bei einigen Ausführungsformen befinden sich eine oder mehrere Durchkontaktierungen der Gruppe von Durchkontaktierungen 523 an der Stelle, an der eine oder mehrere leitfähige Strukturen der Gruppe von leitfähigen Strukturen 529 über einem oder mehreren aktiven Bereichen der Gruppe von aktiven Bereichen 504 des integrierten Schaltkreises 500A oder über einem oder mehreren aktiven Bereichen der Gruppe von aktiven Bereichen 505 des integrierten Schaltkreises 500B angeordnet sind.
  • Die Durchkontaktierungen 506b und 512b verbinden entsprechende leitfähige Strukturen 508b und 514b elektrisch mit den entsprechenden leitfähigen Strukturen 504b und 510b. Die Durchkontaktierungen 506c und 512c verbinden entsprechende leitfähige Strukturen 508c und 516c elektrisch mit den entsprechenden leitfähigen Strukturen 504c und 510c. Die Durchkontaktierungen 506d und 512d verbinden entsprechende leitfähige Strukturen 508d und 516d elektrisch mit den entsprechenden leitfähigen Strukturen 504d und 510d. Die Durchkontaktierungen 506e, 512e und 518e verbinden entsprechende leitfähige Strukturen 508e, 514e und 520e elektrisch mit den entsprechenden leitfähigen Strukturen 504e, 510e und 516e. Die Durchkontaktierungen 506f und 522f verbinden entsprechende leitfähige Strukturen 508f und 524f elektrisch mit den entsprechenden leitfähigen Strukturen 504f und 520f. Die Durchkontaktierungen 512f und 518f verbinden die leitfähige Struktur 514f elektrisch mit den entsprechenden leitfähigen Strukturen 510f und 516f.
  • Die Durchkontaktierungen 514c, 514d und 504g sind jeweils über den entsprechenden Gate-Strukturen 522a, 520a und 524a angeordnet. Die Durchkontaktierungen 514c, 514d und 504g verbinden die entsprechenden leitfähigen Strukturen 516c, 516d und 506g elektrisch mit den entsprechenden Gate-Strukturen 522a, 520a und 524a. Die Durchkontaktierungen 514c, 514d und 504g sind über den entsprechenden Gate-Strukturen 522a, 520a und 524a angeordnet. Bei einigen Ausführungsformen befindet sich die Gruppe von Durchkontaktierungen 523 zwischen der ersten Gruppe von leitfähigen Strukturen 538 und der Gruppe von Gates 527. Die Durchkontaktierung 504g der Gruppe von Durchkontaktierungen 523 ist an der Stelle angeordnet, an der sich die leitfähige Struktur 540a der ersten Gruppe von leitfähigen Strukturen 538 über der Gate-Struktur 524a der Gruppe von Gates 527 befindet.
  • Die Gruppe von Durchkontaktierungen 523 befindet sich in der Durchkontaktierung-über-Diffusion(VD)-Ebene oder der Durchkontaktierung-über-Gate(VG)-Ebene des integrierten Schaltkreises 500A oder 500B. Die VD-Ebene oder die VG-Ebene des integrierten Schaltkreises 500A oder 500B befindet sich zwischen der zweiten Ebene und der dritten Ebene. Bei einigen Ausführungsformen befinden sich die Durchkontaktierungen 514c, 514d und 504g in der VG-Ebene des integrierten Schaltkreises 500A oder 500B. Bei einigen Ausführungsformen befinden sich die Durchkontaktierungen 506b, 506c, 506d, 506e, 506f, 512b, 512c, 512d, 512e, 512f, 518e, 518f und 522f in der VD-Ebene des integrierten Schaltkreises 500A oder 500B. Andere Größen oder Konfigurationen der Gruppe von Durchkontaktierungen 523 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Erfindung.
  • Der integrierte Schaltkreis 500A oder 500B weist leitfähige Strukturen 524e, 528f, 530a, 532a, 534a, 534b, 536a, 536b, 540a, 542a und 542b auf (die kollektiv als „erste Gruppe von leitfähigen Strukturen 538“ bezeichnet werden). Die erste Gruppe von leitfähigen Strukturen 538 verläuft in der ersten Richtung X. Jede leitfähige Struktur der ersten Gruppe von leitfähigen Strukturen 538 ist von einer benachbarten leitfähigen Struktur der ersten Gruppe von leitfähigen Strukturen 538 in der ersten Richtung X und/oder der zweiten Richtung Y getrennt. Die erste Gruppe von leitfähigen Strukturen 538 ist über der Gruppe von aktiven Bereichen 504 des integrierten Schaltkreises 500A, der Gruppe von aktiven Bereichen 505 des integrierten Schaltkreises 500B, der Gruppe von Gates 527 und/oder der Gruppe von Kontakten 521 angeordnet. Die erste Gruppe von leitfähigen Strukturen 538 befindet sich auf einer vierten Ebene des integrierten Schaltkreises 500A oder 500B. Die vierte Ebene des integrierten Schaltkreises 500A oder 500B ist von der ersten Ebene des integrierten Schaltkreises 500A oder 500B, der zweiten Ebene des integrierten Schaltkreises 500A oder 500B und der dritten Ebene des integrierten Schaltkreises 500A oder 500B verschieden. Bei einigen Ausführungsformen wird die vierte Ebene des integrierten Schaltkreises 500A oder 500B als die Metall-eins(M1)-Ebene bezeichnet.
  • Bei einigen Ausführungsformen entspricht die leitfähige Struktur 540a der Wortleitung WL1 der Speicherzelle 100 von 1 oder den Wortleitungen WL[1] ... WL[2M] der Speicherzellenmatrizen 200A und 200B der 2A und 2B.
  • Die erste Gruppe von leitfähigen Strukturen 538 ist mit der Gruppe von aktiven Bereichen 504 des integrierten Schaltkreises 500A oder mit der Gruppe von aktiven Bereichen 505 des integrierten Schaltkreises 500B elektrisch verbunden. Bei einigen Ausführungsformen ist die erste Gruppe von leitfähigen Strukturen 538 elektrisch mit der Gruppe von Gates 527 verbunden. Andere Größen oder Konfigurationen der ersten Gruppe von leitfähigen Strukturen 538 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Erfindung.
  • Der integrierte Schaltkreis 500A oder 500B weist Durchkontaktierungen 522e, 526f, 560a, 562a, 564a, 566a, 566b, 568a, 568b, 570a, 570b, 574a, 574b und 580a (die kollektiv als „erste Gruppe von Durchkontaktierungen 572“ bezeichnet werden) zwischen der ersten Gruppe von leitfähigen Strukturen 538 und der Gruppe von aktiven Bereichen 504 des integrierten Schaltkreises 500A oder der Gruppe von aktiven Bereichen 505 des integrierten Schaltkreises 500B auf. Die erste Gruppe von Durchkontaktierungen 572 verbindet die erste Gruppe von leitfähigen Strukturen 538 elektrisch mit der Gruppe von aktiven Bereichen 504 des integrierten Schaltkreises 500A oder mit der Gruppe von aktiven Bereichen 505 des integrierten Schaltkreises 500B. Bei einigen Ausführungsformen sind eine oder mehrere Durchkontaktierungen der ersten Gruppe von Durchkontaktierungen 572 an der Stelle angeordnet, an der eine oder mehrere leitfähige Strukturen der ersten Gruppe von leitfähigen Strukturen 538 einen oder mehrere aktive Bereiche der Gruppe von aktiven Bereichen 504 des integrierten Schaltkreises 500A oder einen oder mehrere aktive Bereiche der Gruppe von aktiven Bereichen 505 des integrierten Schaltkreises 500B überlappen.
  • Die Durchkontaktierungen 560a und 574a verbinden die entsprechenden leitfähigen Strukturen 530a und 542a elektrisch mit den entsprechenden leitfähigen Strukturen 508b und 514b. Die Durchkontaktierungen 562a und 574b verbinden die entsprechenden leitfähigen Strukturen 530a und 542b elektrisch mit den entsprechenden leitfähigen Strukturen 516C und 508C. Die Durchkontaktierung 564a verbindet die leitfähige Struktur 532a elektrisch mit der leitfähigen Struktur 508d. Die Durchkontaktierungen 566a und 566b verbinden die entsprechenden leitfähigen Strukturen 534a und 534b elektrisch mit der leitfähigen Struktur 516d. Die Durchkontaktierungen 568a, 570a und 522e verbinden die entsprechenden leitfähigen Strukturen 536a, 534a und 524e elektrisch mit den entsprechenden leitfähigen Strukturen 508e, 514e und 520e. Die Durchkontaktierungen 568b, 570b und 526f verbinden die entsprechenden leitfähigen Strukturen 536b, 534b und 528f elektrisch mit den entsprechenden leitfähigen Strukturen 508f, 514f und 524f. Die Durchkontaktierung 580a verbindet die leitfähige Struktur 540a elektrisch mit der leitfähigen Struktur 506g.
  • Die erste Gruppe von Durchkontaktierungen 572 befindet sich in der Durchkontaktierung-null(Vo)-Ebene des integrierten Schaltkreises 500A oder 500B. Die Vo-Ebene des integrierten Schaltkreises 500A oder 500B befindet sich zwischen der dritten Ebene und der vierten Ebene. Bei einigen Ausführungsformen befindet sich die Vo-Ebene des integrierten Schaltkreises 500A oder 500B zwischen der Mi-Ebene und der Mo-Ebene. Andere Größen oder Konfigurationen der ersten Gruppe von Durchkontaktierungen 572 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Erfindung.
  • Der integrierte Schaltkreis 500A oder 500B weist leitfähige Strukturen 550a und 550b auf (die kollektiv als „Gruppe von leitfähigen Strukturen 552“ bezeichnet werden). Die zweite Gruppe von leitfähigen Strukturen 552 verläuft in der ersten Richtung X. Jede leitfähige Struktur der zweiten Gruppe von leitfähigen Strukturen 552 ist von einer benachbarten leitfähigen Struktur der zweiten Gruppe von leitfähigen Strukturen 552 in der ersten Richtung X und/oder der zweiten Richtung Y getrennt. Bei einigen Ausführungsformen befindet sich die zweite Gruppe von leitfähigen Strukturen 552 über einem oder mehreren aktiven Bereichen der Gruppe von aktiven Bereichen 504 des integrierten Schaltkreises 500A, der Gruppe von aktiven Bereichen 505 des integrierten Schaltkreises 500B oder der Gruppe von Kontakten 521.
  • Die zweite Gruppe von leitfähigen Strukturen 552 befindet sich auf der fünften Ebene des integrierten Schaltkreises 500A oder 500B. Die fünfte Ebene des integrierten Schaltkreises 500A oder 500B ist von der ersten Ebene des integrierten Schaltkreises 500A oder 500B, der zweiten Ebene des integrierten Schaltkreises 500A oder 500B, der dritten Ebene des integrierten Schaltkreises 500A oder 500B und der vierten Ebene des integrierten Schaltkreises 500A oder 500B verschieden. Bei einigen Ausführungsformen wird die fünfte Ebene als Metall-zwei(M2)-Ebene bezeichnet.
  • Bei einigen Ausführungsformen überlappt die zweite Gruppe von leitfähigen Strukturen 552 die Gruppe von aktiven Bereichen 504 des integrierten Schaltkreises 500A oder die Gruppe von aktiven Bereichen 505 des integrierten Schaltkreises 500B. Die leitfähige Struktur 550a überlappt den aktiven Bereich 510a der Gruppe von aktiven Bereichen 504 des integrierten Schaltkreises 500A und die zweite Seite 590b des integrierten Schaltkreises 500A. Die leitfähige Struktur 550b überlappt den aktiven Bereich 510c der Gruppe von aktiven Bereichen 505 des integrierten Schaltkreises 500B und die zweite Seite 590b des integrierten Schaltkreises 500B. Bei einigen Ausführungsformen entspricht die leitfähige Struktur 550a oder 550b der Bitleitung BLi der Speicherzelle 100 von 1 oder den Bitleitungen BL[i] ... BL[2N] der Speicherzellenmatrizen 200A und 200B der 2A und 2B.
  • Bei einigen Ausführungsformen ist die zweite Gruppe von leitfähigen Strukturen 552 elektrisch mit der Gruppe von aktiven Bereichen 504 des integrierten Schaltkreises 500A oder der Gruppe von aktiven Bereichen 505 des integrierten Schaltkreises 500B verbunden. Die leitfähige Struktur 550a ist mit den aktiven Bereich 510a des integrierten Schaltkreises 500A elektrisch verbunden. Die leitfähige Struktur 550b ist mit dem aktiven Bereich 510c des integrierten Schaltkreises 500B elektrisch verbunden. Andere Größen oder Konfigurationen der zweiten Gruppe von leitfähigen Strukturen 552 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Erfindung.
  • Der integrierte Schaltkreis 500A oder 500B weist Durchkontaktierungen 578a und 578b (die kollektiv als „Gruppe von Durchkontaktierungen 576“ bezeichnet werden) zwischen der zweiten Gruppe von leitfähigen Strukturen 552 und der ersten Gruppe von leitfähigen Strukturen 538 auf. Die Gruppe von Durchkontaktierungen 576 verbindet die zweite Gruppe von leitfähigen Strukturen 552 elektrisch mit der ersten Gruppe von leitfähigen Strukturen 538. Die Durchkontaktierungen 578a und 578b verbinden die entsprechenden leitfähigen Strukturen 550a und 550b elektrisch mit den entsprechenden leitfähigen Strukturen 524e und 528f. Bei einigen Ausführungsformen verbindet die Gruppe von Durchkontaktierungen 576 die zweite Gruppe von leitfähigen Strukturen 552 elektrisch mit der Gruppe von aktiven Bereichen 504 des integrierten Schaltkreises 500A oder der Gruppe von aktiven Bereichen 505 des integrierten Schaltkreises 500B.
  • Bei einigen Ausführungsformen sind eine oder mehrere Durchkontaktierungen der Gruppe von Durchkontaktierungen 576 an der Stelle angeordnet, an der eine oder mehrere leitfähige Strukturen der ersten Gruppe von leitfähigen Strukturen 538 einen oder mehrere aktive Bereiche der Gruppe von aktiven Bereichen 504 des integrierten Schaltkreises 500A oder einen oder mehrere aktive Bereiche der Gruppe von aktiven Bereichen 505 des integrierten Schaltkreises 500B überlappen.
  • Die erste Gruppe von Durchkontaktierungen 572 befindet sich in der V1-Ebene des integrierten Schaltkreises 500A oder 500B. Die Vi-Ebene des integrierten Schaltkreises 500A oder 500B befindet sich zwischen der vierten Ebene und der fünften Ebene. Bei einigen Ausführungsformen befindet sich die V1-Ebene des integrierten Schaltkreises 500A oder 500B zwischen der M2-Ebene und der Mi-Ebene. Andere Größen oder Konfigurationen der Gruppe von Durchkontaktierungen 572 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Erfindung.
  • Der integrierte Schaltkreis 500B ist eine Abwandlung des integrierten Schaltkreises 500A. Im Vergleich mit dem integrierten Schaltkreis 500A ersetzt eine erste Wanne 502 des integrierten Schaltkreises 500B die erste Wanne 501, eine zweite Wanne 502' des integrierten Schaltkreises 500B ersetzt die zweite Wanne 501, und eine Gruppe von aktiven Bereichen 505 des integrierten Schaltkreises 500B ersetzt die Gruppe von aktiven Bereichen 504.
  • Der integrierte Schaltkreis 500B weist die erste Wanne 502 und die zweite Wanne 502' auf. Die erste Wanne 502 und die zweite Wanne 502' befinden sich jeweils mindestens auf der ersten Ebene des integrierten Schaltkreises 500B und verlaufen mindestens in der zweiten Richtung Y.
  • Die erste Wanne 502 des integrierten Schaltkreises 500B weist Dotanden der zweiten Art auf. Die zweite Wanne 502' des integrierten Schaltkreises 500B weist Dotanden der ersten Art auf. Bei einigen Ausführungsformen ist die erste Art ein n-Dotand, die zweite Art ist ein p-Dotand, die erste Wanne 502 des integrierten Schaltkreises 500B ist eine p-Wanne, und die zweite Wanne 502' des integrierten Schaltkreises 500B ist eine n-Wanne. Bei einigen Ausführungsformen ist die erste Art ein p-Dotand, die zweite Art ist ein n-Dotand, die erste Wanne 502 des integrierten Schaltkreises 500B ist eine n-Wanne, und die zweite Wanne 502' des integrierten Schaltkreises 500B ist eine p-Wanne.
  • Die erste Wanne 502 des integrierten Schaltkreises 500B weist einen ersten Teil 502a und einen zweiten Teil 502b auf.
  • Der erste Teil 502a der ersten Wanne 502 verläuft in der zweiten Richtung Y und ist benachbart zu der ersten Seite 590a des integrierten Schaltkreises 500B. Bei einigen Ausführungsformen entspricht die erste Seite 590a des integrierten Schaltkreises 500B der Linie 352a des Layoutentwurfs 400B. Der erste Teil 502a der ersten Wanne 502 befindet sich mindestens auf der ersten Ebene des integrierten Schaltkreises 500B.
  • Der zweite Teil 502b der ersten Wanne 502 verläuft in der zweiten Richtung Y und ist benachbart zu der zweiten Seite 590b des integrierten Schaltkreises 500B. Bei einigen Ausführungsformen entspricht die zweite Seite 590b des integrierten Schaltkreises 500B der Linie 352b1 des Layoutentwurfs 400B. Der zweite Teil 502b der ersten Wanne 502 befindet sich mindestens auf der ersten Ebene des integrierten Schaltkreises 500B. Andere Größen oder Konfigurationen der ersten Wanne 502, des ersten Teils 502a der ersten Wanne 502 oder des zweiten Teils 502b der ersten Wanne 502 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Erfindung.
  • Die zweite Wanne 502' des integrierten Schaltkreises 500B weist einen ersten Teil 502c und einen zweiten Teil 502d auf.
  • Der erste Teil 502c der zweiten Wanne 502' verläuft in der zweiten Richtung Y und ist zu dem ersten Teil 502a der ersten Wanne 502 benachbart. Der erste Teil 502c der zweiten Wanne 502' befindet sich mindestens auf der ersten Ebene des integrierten Schaltkreises 500B.
  • Der zweite Teil 502d der zweiten Wanne 502' verläuft in der ersten Richtung X und/oder der zweiten Richtung Y. Der zweite Teil 502d der zweiten Wanne 502' ist jeweils zu der zweiten Seite 590b des integrierten Schaltkreises 500B, dem zweiten Teil 502b der ersten Wanne 502 und dem ersten Teil 502c der zweiten Wanne 502' benachbart. Der zweite Teil 502d der zweiten Wanne 502' befindet sich mindestens auf der ersten Ebene des integrierten Schaltkreises 500B.
  • Der erste Teil 502c der zweiten Wanne 502' ist zwischen dem ersten Teil 502a der ersten Wanne 502 und jeweils dem zweiten Teil 502b der ersten Wanne 502 und dem zweiten Teil 502d der zweiten Wanne 502' angeordnet. Andere Größen oder Konfigurationen der zweiten Wanne 502', des ersten Teils 502 der zweiten Wanne 502' oder des zweiten Teils 502d der zweiten Wanne 502' liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Erfindung.
  • Der integrierte Schaltkreis 500B weist eine Gruppe von aktiven Bereichen 505 auf, die in der zweiten Richtung Y verlaufen. Die Gruppe von aktiven Bereichen 505 ist auf der ersten Ebene des integrierten Schaltkreises 500B angeordnet.
  • Die Gruppe von aktiven Bereichen 505 weist einen oder mehrere der aktiven Bereiche 504a2, 506a2, 508a2 und 510a2 auf. Jeder der aktiven Bereiche 504a2, 506a2, 508a2 und 510a2 der Gruppe von aktiven Bereichen 505 ist von einem benachbarten aktiven Bereich der Gruppe von aktiven Bereichen 505 in der ersten Richtung X durch einen ersten Abstand (nicht bezeichnet) getrennt.
  • Der aktive Bereich 510e umfasst einen aktiven Bereich 510b und einen aktiven Bereich 510c. Der aktive Bereich 510b und der aktive Bereich 510c sind voneinander in der zweiten Richtung Y getrennt.
  • Der aktive Bereich 504a2 ist zu der ersten Seite 590a des integrierten Schaltkreises 500B benachbart. Der aktive Bereich 510e ist zu der zweiten Seite 590b des integrierten Schaltkreises 500B benachbart.
  • Der aktive Bereich 504a2 der Gruppe von aktiven Bereichen 505 ist in den ersten Teil 502a der ersten Wanne 502 des integrierten Schaltkreises 500B eingebettet.
  • Der aktive Bereich 510e der Gruppe von aktiven Bereichen 505 ist jeweils in den zweiten Teil 502b der ersten Wanne 502 des integrierten Schaltkreises 500B und den zweiten Teil 502d der zweiten Wanne 502' des integrierten Schaltkreises 500B eingebettet. Der aktive Bereich 510b ist in den zweiten Teil 502b der ersten Wanne 502 des integrierten Schaltkreises 500B eingebettet. Der aktive Bereich 510c ist in den zweiten Teil 502d der zweiten Wanne 502' des integrierten Schaltkreises 500B eingebettet.
  • Der aktive Bereich 506a2 oder 508a2 der Gruppe von aktiven Bereichen 505 ist in den ersten Teil 502c der zweiten Wanne 502' des integrierten Schaltkreises 500B eingebettet.
  • Die aktiven Bereiche 504a2 und 510b weisen Dotanden der ersten Art auf. Die aktiven Bereiche 506a2, 508a2 und 510c weisen Dotanden der zweiten Art auf.
  • Bei einigen Ausführungsformen ist die erste Art ein n-Dotand und die zweite Art ist ein p-Dotand, und daher sind die aktiven Bereiche 504a2 und 510b jeweils aktive n-Bereiche, die in die erste Wanne 502 (die eine p-Wanne ist) eingebettet sind, und die aktiven Bereiche 506a2, 508a2 und 510c sind jeweils aktive p-Bereiche, die in die zweite Wanne 502' (die eine p-Wanne ist) eingebettet sind. Bei einigen Ausführungsformen ist die erste Art ein p-Dotand und die zweite Art ist ein n-Dotand, und daher sind die aktiven Bereiche 504a2 und 510b jeweils aktive p-Bereiche, die in die erste Wanne 502 (die eine n-Wanne ist) eingebettet sind, und die aktiven Bereiche 506a2, 508a2 und 510c sind jeweils aktive n-Bereiche, die in die zweite Wanne 502' (die eine p-Wanne ist) eingebettet sind.
  • Bei einigen Ausführungsformen ist eine Länge mindestens eines der aktiven Bereiche 504a2, 506a2, 508a2, 510b, 510c und 510c in der zweiten Richtung Y von einer Länge eines anderen der aktiven Bereiche 504a2, 506a2, 508a2, 510b, 510c und 510c in der zweiten Richtung Y verschieden. Bei einigen Ausführungsformen ist eine Länge mindestens eines der aktiven Bereiche 504a2, 506a2, 508a2, 510b, 510c und 510c in der zweiten Richtung Y gleich einer Länge eines anderen der aktiven Bereiche 504a2, 506a2, 508a2, 510b, 510c und 510c in der zweiten Richtung Y. Andere Größen oder Konfigurationen der Gruppe von aktiven Bereichen 505 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Erfindung.
  • Bei einigen Ausführungsformen nehmen die integrierten Schaltkreise 500A und 500B eine kleinere Fläche als andere integrierte Schaltkreise ein. Bei einigen Ausführungsformen werden die integrierten Schaltkreise 500A und 500B, da sie eine kleinere Fläche als andere integrierte Schaltkreise einnehmen, als Teil der Speicherzellenmatrizen 200A und 200B verwendet, die im Vergleich zu anderen Ansätzen dichter sind. Bei einigen Ausführungsformen haben die Speicherzellenmatrizen 200A und 200B dadurch eine größere Speicherkapazität als andere Speicherzellenmatrizen.
  • 6 ist ein Schema eines Layoutentwurfs 600, gemäß einigen Ausführungsformen.
  • Ein Teil des Layoutentwurfs 600 kann zum Herstellen des integrierten Schaltkreises 500A oder 500B (5A bis 5H) verwendet werden.
  • Der Layoutentwurf 600 weist eine erste Gruppe von Kachelelementen 602 und eine zweite Gruppe von Kachelelementen 604 auf, die in einer Matrix von Kachelelementen angeordnet sind. Bei einigen Ausführungsformen entspricht mindestens ein Kachelelement der ersten Gruppe von Kachelelementen 602 dem Layoutentwurf 300A oder 300B, und mindestens ein Kachelelement der zweiten Gruppe von Kachelelementen 604 entspricht dem Layoutentwurf 400A oder 400B. Bei einigen Ausführungsformen entspricht mindestens ein Kachelelement der ersten Gruppe von Kachelelementen 602 dem Layoutentwurf 400A oder 400B, und mindestens ein Kachelelement der zweiten Gruppe von Kachelelementen 604 entspricht dem Layoutentwurf 300A oder 300B. Bei einigen Ausführungsformen sind eine Form der ersten Gruppe von Kachelelementen 602 und eine Form der zweiten Gruppe von Kachelelementen 604 nicht-rechteckig, und daher kann die Form des Layoutentwurfs 600 ebenfalls nicht-rechteckig sein.
  • Die erste Gruppe von Kachelelementen 602 verläuft in einer dritten Richtung S. Die dritte Richtung S steht in Beziehung zu der ersten Richtung X und der zweiten Richtung Y. Zum Beispiel ist bei einigen Ausführungsformen die dritte Richtung S von der ersten Richtung X um einen Winkel α zu der zweiten Richtung Y gedreht. Bei einigen Ausführungsformen liegt der Winkel α in dem Bereich von etwa 0 Grad bis etwa 180 Grad. Der Winkel α wird durch eine Formel (2) (die nachstehend angegeben ist) ausgedrückt. Bei einigen Ausführungsformen ist die dritte Richtung S gleich der ersten Richtung X oder der zweiten Richtung Y. Bei einigen Ausführungsformen ist die dritte Richtung S von der ersten Richtung X oder der zweiten Richtung Y verschieden. Die Gruppe von Kachelelementen 602 umfasst ein oder mehrere der Kachelelementen 608[1,1], 608[2,1] ... 608[P,1], 608[1,3], 608[2,3] ... 608[P,3], 608[1,Q-1], 608[2,Q-1] ... 608[P-1,Q-1], wobei P eine positive ganze Zahl ist, die der Anzahl von Spalten in der Matrix von Kachelelementen entspricht, und Q eine positive ganze Zahl ist, die der Anzahl von Zeilen in der Matrix von Kachelelementen entspricht.
  • Jedes Kachelelement der ersten Gruppe von Kachelelementen 602 verläuft in der ersten Richtung X. Jedes Kachelelement der ersten Gruppe von Kachelelementen 602 hat vier Aussparungen (die der einfachen Erläuterung halber nicht bezeichnet sind). Bei einigen Ausführungsformen entsprechen die vier Aussparungen jedes Kachelelementen der ersten Gruppe von Kachelelementen 602 Eckenaussparungen 390a, 390b, 390c und 390d der Gruppe von Eckenaussparungen 390 der 3A und 3B. Zum Beispiel hat das Kachelelement 608[P,Q-1] Aussparungen 630a, 630b, 630c und 630d. Bei einigen Ausführungsformen sind die Aussparungen 630a, 630b, 630c und 630d entsprechende Eckenaussparungen 390a, 390b, 390c und 390d der 3A und 3B. Jede Aussparung 630a, 630b, 630c und 630d ist in einer entsprechenden Ecke des Kachelelementen 608 [P,Q-1] angeordnet. Bei einigen Ausführungsformen ist jede Aussparung (die der einfachen Erläuterung halber nicht bezeichnet ist) jedes Kachelelementen der ersten Gruppe von Kachelelementen 602 in einer entsprechenden Ecke des Kachelelementen der ersten Gruppe von Kachelelementen 602 angeordnet. Eine Mitte jedes Kachelelementen der ersten Gruppe von Kachelelementen 602 ist gegenüber einer Mitte eines benachbarten Kachelelementen der ersten Gruppe von Kachelelementen 602 in der zweiten Richtung Y um einen Abstand D1 versetzt. Zum Beispiel ist die Mitte des Kachelelementen 608[1,1] durch den Abstand D1 in der zweiten Richtung Y von der Mitte des Kachelelementen 608[2,1] getrennt.
  • Eine Mitte jedes Kachelelementen der ersten Gruppe von Kachelelementen 602 ist von einer Mitte eines benachbarten Kachelelementen der ersten Gruppe von Kachelelementen 602 in der dritten Richtung S durch einen Abstand D2 getrennt. Zum Beispiel ist die Mitte des Kachelelements 608[1,1] durch den Abstand D2 in der dritten Richtung S von der Mitte des Kachelelements 608[2,1] getrennt.
  • Eine Mitte jedes Kachelelements der ersten Gruppe von Kachelelementen 602 ist von einer Mitte eines benachbarten Kachelelements der ersten Gruppe von Kachelelementen 602 in der ersten Richtung X durch einen Abstand D3 getrennt. Zum Beispiel ist die Mitte des Kachelelements 608[1,1] durch den Abstand D3 in der ersten Richtung X von der Mitte des Kachelelements 608[2,1] getrennt.
  • Die Beziehung zwischen den Abständen D1, D2 und D3 wird mit der Formel (1) wie folgt ausgedrückt: D2 = ( D1 2 + D 3 2 ) 0,5
    Figure DE102018110356A1_0001
  • Die zweite Gruppe von Kachelelementen 604 verläuft in der dritten Richtung S. Die Beziehung zwischen dem Winkel α und den Abständen D2 und D3 wird mit der Formel (2) wie folgt ausgedrückt: α = ArcCos ( D3 / D2 )
    Figure DE102018110356A1_0002
  • Die zweite Gruppe von Kachelelementen 604 umfasst ein oder mehrere der Kachelelemente 608[1,2], 608[2,2] ... 608[P,2], 608[1,4], 608[2,4] ... 608[P,4], 608[1,Q], 608[2,Q] ... 608[P,Q]. Die zweite Gruppe von Kachelelementen 604 ist von der ersten Gruppe von Kachelelementen 602 in der zweiten Richtung Y beabstandet.
  • Die erste Gruppe von Kachelelementen 602 und die zweite Gruppe von Kachelelementen 604 wechseln sich in der zweiten Richtung Y miteinander ab. Jedes Kachelelement der zweiten Gruppe von Kachelelementen 604 verläuft in der ersten Richtung X. Jedes Kachelelement der zweiten Gruppe von Kachelelementen 604 hat vier Aussparungen (die der einfachen Erläuterung halber nicht bezeichnet sind). Bei einigen Ausführungsformen entsprechen die vier Aussparungen jedes Kachelelements der zweiten Gruppe von Kachelelementen 604 den Eckenaussparungen 490a, 490b, 490c und 490d der Gruppe von Eckenaussparungen 490 der 4A und 4B. Zum Beispiel hat das Kachelelement 608[P,Q] Aussparungen 640a, 640b, 640c und 640d. Bei einigen Ausführungsformen sind die Aussparungen 640a, 640b, 640c und 640d die entsprechenden Eckenaussparungen 490a, 490b, 490c und 490d der 4A und 4B. Jede Aussparung 640a, 640b, 640c und 640d ist in einer entsprechenden Ecke des Kachelelements 608[P,Q] angeordnet. Bei einigen Ausführungsformen sind die Aussparungen (die der einfachen Erläuterung halber nicht bezeichnet sind) der einzelnen Kachelelemente der zweiten Gruppe von Kachelelementen 604 jeweils in einer entsprechenden Ecke des Kachelelements der zweiten Gruppe von Kachelelementen 604 angeordnet. Eine Mitte jedes Kachelelements der zweiten Gruppe von Kachelelementen 604 ist gegenüber einer Mitte eines benachbarten Kachelelements der zweiten Gruppe von Kachelelementen 604 in der zweiten Richtung Y um einen Abstand D1' versetzt. Zum Beispiel ist die Mitte des Kachelelements 608[1,2] durch den Abstand D1 in der zweiten Richtung Y von der Mitte des Kachelelements 608[2,2] getrennt.
  • Eine Mitte jedes Kachelelements der zweiten Gruppe von Kachelelementen 604 ist von einer Mitte eines benachbarten Kachelelements der zweiten Gruppe von Kachelelementen 604 in der dritten Richtung S durch einen Abstand D2' getrennt. Zum Beispiel ist die Mitte des Kachelelements 608[1,2] durch den Abstand D2' in der dritten Richtung S von der Mitte des Kachelelements 6o8[2,2] getrennt.
  • Eine Mitte jedes Kachelelements der zweiten Gruppe von Kachelelementen 604 ist von einer Mitte eines benachbarten Kachelelements der zweiten Gruppe von Kachelelementen 604 in der ersten Richtung X durch einen Abstand D3' beabstandet. Zum Beispiel ist die Mitte des Kachelelements 608[1,2] durch den Abstand D3' in der ersten Richtung X von der Mitte des Kachelelements 608[2,2] getrennt.
  • Die Beziehung zwischen den Abständen D1', D2' und D3' wird mit der Formel (3) wie folgt ausgedrückt: D2' = ( D1' 2 + D3' 2 ) 0,5
    Figure DE102018110356A1_0003
  • Die Beziehung zwischen dem Winkel α und den Abständen D2' und D3' wird mit der Formel (4) wie folgt ausgedrückt: α = ArcCos ( D3' / D2' )
    Figure DE102018110356A1_0004
  • Eine Mitte eines Kachelelements der zweiten Gruppe von Kachelelementen 604 ist von einer Mitte eines benachbarten Kachelelements der zweiten Gruppe von Kachelelementen 604 in einer vierten Richtung T durch einen Abstand D4 getrennt. Zum Beispiel ist die Mitte des Kachelelements 608[1,1] durch den Abstand D4 in der vierten Richtung T von der Mitte des Kachelelements 608[1,2] getrennt. Die vierte Richtung T steht in Beziehung zu der ersten Richtung X und der zweiten Richtung Y. Zum Beispiel ist die vierte Richtung T von der ersten Richtung X um einen Winkel β zu der zweiten Richtung Y gedreht. Bei einigen Ausführungsformen liegt der Winkel β in dem Bereich von etwa 0 Grad bis etwa 180 Grad. Die Beziehung zwischen dem Winkel β und den Abständen D5 und D4 wird durch die folgende Formel (5) angegeben: βς= ArcCos ( D5 / D4 )
    Figure DE102018110356A1_0005
  • Bei einigen Ausführungsformen ist die vierte Richtung T gleich der ersten Richtung X oder der zweiten Richtung Y. Bei einigen Ausführungsformen ist die vierte Richtung T von der ersten Richtung X oder der zweiten Richtung Y verschieden. Die Mitte eines Kachelelements der zweiten Gruppe von Kachelelementen 604 ist in der ersten Richtung X durch einen Abstand D5 von der Mitte eines benachbarten Kachelelements der ersten Gruppe von Kachelelementen 604 getrennt. Zum Beispiel ist die Mitte des Kachelelements 608[P,1] in der ersten Richtung X durch den Abstand D5 von der Mitte des Kachelelements 608[P,2] getrennt.
  • Bei einigen Ausführungsformen sind zwei Aussparungen (nicht bezeichnet) eines Kachelelements in der zweiten Gruppe von Kachelelementen 604 bündig mit Kachelelementen in der ersten Gruppe von Kachelelementen 602, und die anderen zwei Aussparungen (nicht bezeichnet) sind nicht bündig mit benachbarten Kachelelementen der ersten Gruppe von Kachelelementen 602 oder der zweiten Gruppe von Kachelelementen 604, sodass ein entsprechender Zwischenraum (nicht bezeichnet) zwischen benachbarten Kachelelementen entsteht. Bei einigen Ausführungsformen können ein oder mehrere Zwischenräume (nicht bezeichnet) zwischen benachbarten Kachelelementen für Wannenkontakte (nicht dargestellt) oder Substratkontakte (nicht dargestellt) verwendet werden. Bei einigen Ausführungsformen können weitere Wannenkontakte (nicht dargestellt) oder Substratkontakte (nicht dargestellt) für eine bessere Latch-up-Vermeidung verwendet werden. Bei einigen Ausführungsformen ist der Latch-up ein Kurzschluss zwischen einer oder mehreren Wannen und dem Substrat. Bei einigen Ausführungsformen sind zwei Aussparungen (nicht bezeichnet) eines Kachelelements in der zweiten Gruppe von Kachelelementen 604 bündig mit entsprechen Aussparungen (nicht bezeichnet) von zwei verschiedenen Kachelelementen in der ersten Gruppe von Kachelelementen 602. Zum Beispiel ist die Aussparung 610a des Kachelelements 608[2,4] der zweiten Gruppe von Kachelelementen 604 bündig mit einer entsprechenden Aussparung 612a des Kachelelements 608[1,Q-1] der ersten Gruppe von Kachelelementen 602, und die Aussparung 610b des Kachelelements 608[2,4] der zweiten Gruppe von Kachelelementen 604 ist bündig mit einer entsprechenden Aussparung 612b des Kachelelements 608[2,3] der ersten Gruppe von Kachelelementen 602.
  • Bei einigen Ausführungsformen sind zwei Aussparungen (die der einfachen Erläuterung halber nicht bezeichnet sind) eines Kachelelements in der ersten Gruppe von Kachelelementen 602 bündig mit entsprechenden Aussparungen (die der einfachen Erläuterung halber nicht bezeichnet sind) von zwei anderen Kachelelementen in der zweiten Gruppe von Kachelelementen 604. Zum Beispiel ist die Aussparung 612b des Kachelelements 608[2,3] der ersten Gruppe von Kachelelementen 602 bündig mit einer entsprechenden Aussparung 610b des Kachelelements 608[2,4] der zweiten Gruppe von Kachelelementen 604, und die Aussparung 614a des Kachelelements 608[2,3] der ersten Gruppe von Kachelelementen 602 ist bündig mit einer entsprechenden Aussparung 614b des Kachelelements 608[P,2] der zweiten Gruppe von Kachelelementen 604. Bei einigen Ausführungsformen sind zwei Aussparungen (die der einfachen Erläuterung halber nicht bezeichnet sind) eines Kachelelements in der zweiten Gruppe von Kachelelementen 604 nicht bündig mit Teilen von benachbarten Kachelelementen in der ersten Gruppe von Kachelelementen 602 oder in der zweiten Gruppe von Kachelelementen 604, sodass ein Zwischenraum (der der einfachen Erläuterung halber nicht bezeichnet ist) entsteht, der für Wannenkontakte (nicht dargestellt) oder Substratkontakte (nicht dargestellt) verwendet werden kann. Zum Beispiel ist bei einigen Ausführungsformen eine Aussparung 650a des Kachelelements 608[2,4] nicht bündig mit benachbarten Kachelelementen 608[1,4] und 608[1,3], sodass ein Zwischenraum 622a entsteht. In ähnlicher Weise ist bei einigen Ausführungsformen eine Aussparung 650b des Kachelelements 608[2,4] nicht bündig mit benachbarten Kachelelementen 608[2,Q-1] und 608[P,4], sodass ein Zwischenraum 622b entsteht. Wie in 6 gezeigt ist, befindet sich zum Beispiel bei einigen Ausführungsformen ein Zwischenraum 620a zwischen dem Kachelelement 6o8[2,Q-i] und dem Kachelelement 608[1,Q-1] der ersten Gruppe von Kachelelementen 602, und ein Zwischenraum 620b befindet sich zwischen dem Kachelelement 608[2,Q-1] und dem Kachelelement 608[P,Q-1] der ersten Gruppe von Kachelelementen 602. Bei diesen Ausführungsformen können der Zwischenraum 622a und der Zwischenraum 622b für Wannenkontakte (nicht dargestellt) oder Substratkontakte (nicht dargestellt) verwendet werden. Bei einigen Ausführungsformen sind zwei Aussparungen (die der einfachen Erläuterung halber nicht bezeichnet sind) eines Kachelelements in der ersten Gruppe von Kachelelementen 602 nicht bündig mit Teilen von benachbarten Kachelelementen in der zweiten Gruppe von Kachelelementen 604 oder in der ersten Gruppe von Kachelelementen 602. Zum Beispiel ist bei einigen Ausführungsformen eine Aussparung 652a des Kachelelements 608[2,Q-1] nicht bündig mit benachbarten Kachelelementen 608[2,4] und 608[1,Q-1], sodass ein Zwischenraum 620a entsteht. In ähnlicher Weise ist bei einigen Ausführungsformen eine Aussparung 652b des Kachelelements 608[2,Q-1] nicht bündig mit benachbarten Kachelelementen 608[P,Q-1] und 608[2,Q], sodass ein Zwischenraum 620b entsteht. Bei diesen Ausführungsformen können der Zwischenraum 620a und der Zwischenraum 620b für Wannenkontakte (nicht dargestellt) oder Substratkontakte (nicht dargestellt) verwendet werden. Bei einigen Ausführungsformen macht der Zwischenraum 620a, 620b, 622a und/oder 622b 12,5 % der Fläche eines Kachelelements in der ersten Gruppe von Kachelelementen 602 oder der zweiten Gruppe von Kachelelementen 604 aus.
  • Bei einigen Ausführungsformen ist mindestens einer der Abstände D1, D1', D2, D2', D3, D3', D4 und D5 von einem anderen der Abstände D1, D1', D2, D2', D3, D3', D4 und D5 verschieden. Bei einigen Ausführungsformen ist mindestens einer der Abstände D1, D1', D2, D2', D3, D3', D4 und D5 gleich einem anderen der Abstände D1, D1', D2, D2', D3, D3', D4 und D5. Andere Größen oder Konfigurationen für die erste Gruppe von Kachelelementen 602 oder die zweite Gruppe von Kachelelementen 604 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Erfindung. Bei einigen Ausführungsformen sind die Aussparungen mindestens eines Kachelelements der ersten Gruppe von Kachelelementen 602 oder der zweiten Gruppe von Kachelelementen 604 jeweils rechtwinklig. Bei einigen Ausführungsformen werden die Aussparungen mindestens eines Kachelelements der ersten Gruppe von Kachelelementen 602 oder der zweiten Gruppe von Kachelelementen 604 jeweils als eine Eckenaussparung bezeichnet. Bei einigen Ausführungsformen sind die Aussparungen mindestens eines Kachelelements der ersten Gruppe von Kachelelementen 602 oder der zweiten Gruppe von Kachelelementen 604 jeweils spitz gekehlt. Andere Formen oder Konfigurationen der Aussparungen in der ersten Gruppe von Kachelelementen 602 oder der zweiten Gruppe von Kachelelementen 604 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Erfindung.
  • Bei einigen Ausführungsformen sind eine Form der ersten Gruppe von Kachelelementen 602 und eine Form der zweiten Gruppe von Kachelelementen 604 nicht-rechteckig, und sie können daher als Standardzellen in dem Layoutentwurf 600 dichter aneinander platziert werden als bei anderen Entwürfen. Bei einigen Ausführungsformen kann dadurch, dass die erste Gruppe von Kachelelementen 602 und die zweite Gruppe von Kachelelementen 604 dichter aneinander platziert werden als andere Zellen, die erste Gruppe von Kachelelementen oder die zweite Gruppe von Kachelelementen zum Herstellen von entsprechenden integrierten Schaltkreisen verwendet werden, die dichter aneinander angeordnet sind als andere integrierte Schaltkreise. Bei einigen Ausführungsformen ist dadurch, dass die integrierten Schaltkreise dichter aneinander als andere integrierte Schaltkreise hergestellt werden, außerdem die Fläche der hergestellten integrierten Schaltkreise kleiner als bei anderen integrierten Schaltkreisen.
  • 7 ist ein Schema eines Layoutentwurfs 700, gemäß einigen Ausführungsformen.
  • Der Layoutentwurf 700 ist eine Abwandlung des Layoutentwurfs 600 (6). Ähnliche Elemente haben eine ähnliche Bezugszahl, die um 100 erhöht ist. Der Layoutentwurf 700 vereint Elemente des Layoutentwurfs 300A von 3A, des Layoutentwurfs 400A von 4A und des Layoutentwurfs 600 von 6.
  • Der Layoutentwurf 700 weist ein Kachelelement 708[1,2], ein Kachelelement 708[2,2], ein Kachelelement 708[1,3] und ein Kachelelement 708[2,3] auf. Die Kachelelemente 708[1,2], 708[2,2], 708[1,3] und 708[2,3] sind eine Abwandlung der entsprechenden Kachelelemente 608[1,2], 608[2,2], 608[1,3] und 608[2,3] von 6.
  • Die Kachelelemente 708[1,2] und 708[2,2] entsprechen jeweils dem Layoutentwurf 300A von 3A, und die Kachelelemente 708[1,3] und 708[2,3] entsprechen jeweils dem Layoutentwurf 400A von 4A. Bei einigen Ausführungsformen entsprechen die Kachelelemente 708[1,2] und 708[2,2] jeweils dem Layoutentwurf 400A von 4A, und die Kachelelemente 708[1,3] und 708[2,3] entsprechen jeweils dem Layoutentwurf 300A von 3A. Der einfachen Erläuterung halber sind die Elemente in den Kachelelementen 708[1,2], 708[2,2], 708[1,3] und 708[2,3] nicht bezeichnet.
  • Die Kachelelemente 708[1,2], 708[2,2], 708[1,3] und 708[2,3] umfassen eine entsprechende Gruppe von Aktiver-Bereich-Layoutstrukturen 702, 704, 712 und 714.
  • Die Gruppe von aktiven Bereichen 702 oder 704 entspricht der Gruppe von Aktiver-Bereich-Layoutstrukturen 412a, 412b, 412c und 412d des Layoutentwurfs 400A. Die Gruppe von aktiven Bereichen 712 oder 714 entspricht der Gruppe von Aktiver-Bereich-Layoutstrukturen 312a, 312b, 312c und 312d des Layoutentwurfs 300A.
  • Die Gruppe von aktiven Bereichen 702 weist Aktiver-Bereich-Layoutstrukturen 702a, 702b, 702c, 702d, 702e, 702f, 702g und 702h auf. Die Aktiver-Bereich-Layoutstruktur 702a entspricht den Aktiver-Bereich-Layoutstrukturen 404a und 404b, die Aktiver-Bereich-Layoutstruktur 702b entspricht den Aktiver-Bereich-Layoutstrukturen 406a und 406b, die Aktiver-Bereich-Layoutstruktur 702c entspricht den Aktiver-Bereich-Layoutstrukturen 408a und 408b, die Aktiver-Bereich-Layoutstruktur 702d entspricht den Aktiver-Bereich-Layoutstrukturen 410a und 410b, die Aktiver-Bereich-Layoutstruktur 702e entspricht den Aktiver-Bereich-Layoutstrukturen 410c und 410d, die Aktiver-Bereich-Layoutstruktur 702f entspricht den Aktiver-Bereich-Layoutstrukturen 408c und 408d, die Aktiver-Bereich-Layoutstruktur 702g entspricht den Aktiver-Bereich-Layoutstrukturen 406c und 406d, und die Aktiver-Bereich-Layoutstruktur 702h entspricht den Aktiver-Bereich-Layoutstrukturen 404c und 404d.
  • Die Gruppe von aktiven Bereichen 704 weist Aktiver-Bereich-Layoutstrukturen 704a, 704b, 704c, 704d, 704e, 704f, 704g und 704h auf. Die Aktiver-Bereich-Layoutstrukturen 704a, 704b, 704c, 704d, 704e, 704f, 704g und 704h sind den entsprechenden Aktiver-Bereich-Layoutstrukturen 702a, 702b, 702c, 702d, 702e, 702f, 702g und 702h ähnlich, und daher entfällt die ähnliche detaillierte Beschreibung.
  • Die Gruppe von aktiven Bereichen 712 weist Aktiver-Bereich-Layoutstrukturen 712a, 712b, 712c, 712d, 712e, 712f, 712g und 712h auf. Die Aktiver-Bereich-Layoutstruktur 712a entspricht den Aktiver-Bereich-Layoutstrukturen 304a und 304b, die Aktiver-Bereich-Layoutstruktur 712b entspricht den Aktiver-Bereich-Layoutstrukturen 306a und 306b, die Aktiver-Bereich-Layoutstruktur 712c entspricht den Aktiver-Bereich-Layoutstrukturen 308a und 308b, die Aktiver-Bereich-Layoutstruktur 712d entspricht den Aktiver-Bereich-Layoutstrukturen 310a und 310b, die Aktiver-Bereich-Layoutstruktur 712e entspricht den Aktiver-Bereich-Layoutstrukturen 310c und 310d, die Aktiver-Bereich-Layoutstruktur 712f entspricht den Aktiver-Bereich-Layoutstrukturen 308c und 308d, die Aktiver-Bereich-Layoutstruktur 712g entspricht den Aktiver-Bereich-Layoutstrukturen 306c und 306d, und die Aktiver-Bereich-Layoutstruktur 712h entspricht den Aktiver-Bereich-Layoutstrukturen 304c und 304d.
  • Die Gruppe von aktiven Bereichen 714 weist Aktiver-Bereich-Layoutstrukturen 714a, 714b, 714c, 714d, 714e, 714f, 714g und 714h auf. Die Aktiver-Bereich-Layoutstrukturen 714a, 714b, 714c, 714d, 714e, 714f, 714g und 714h sind den entsprechenden Aktiver-Bereich-Layoutstrukturen 712a, 712b, 712c, 712d, 712e, 712f, 712g und 712h ähnlich, und daher entfällt die ähnliche detaillierte Beschreibung.
  • Die n-Layoutstrukturen der Gruppe von Aktiver-Bereich-Layoutstrukturen 702 oder 704 des entsprechenden Kachelelements 708[1,2] oder 708[2,2] sind in der zweiten Richtung Y zu entsprechenden n-Layoutstrukturen der Gruppe von Aktiver-Bereich-Layoutstrukturen 712 oder 714 des entsprechenden Kachelelements 708[1,3] oder 708[2,3] ausgerichtet. Zum Beispiel sind die n-Aktiver-Bereich-Layoutstrukturen 702d, 702e, 702h, 704a, 704d, 704e und 704h in der zweiten Richtung Y zu den entsprechenden n-Aktiver-Bereich-Layoutstrukturen 712b, 712c, 712f, 712g, 714b, 714c und 714f ausgerichtet.
  • Die p-Layoutstrukturen der Gruppe von Aktiver-Bereich-Layoutstrukturen 712 oder 714 des entsprechenden Kachelelements 708[1,2] oder 708[2,2] sind in der zweiten Richtung Y zu entsprechenden p-Layoutstrukturen der Gruppe von Aktiver-Bereich-Layoutstrukturen 712 oder 714 des entsprechenden Kachelelements 708[1,3] oder 708[2,3] ausgerichtet. Zum Beispiel sind die p-Aktiver-Bereich-Layoutstrukturen 702c, 702f, 702g, 704b, 704c, 704f und 704g in der zweiten Richtung Y zu den entsprechenden p-Aktiver-Bereich-Layoutstrukturen 712a, 712d, 712e, 712h, 714a, 714d und 714e ausgerichtet. Andere Größen oder Konfigurationen der Kachelelemente 708[1,2], 708[2,2], 708[1,3] und 708[2,3] liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Erfindung.
  • Bei einigen Ausführungsformen hat der Layoutentwurf 700 eine nichtrechteckige Form, die zu einer kleineren Standardzelle als bei anderen Entwürfen führt. Bei einigen Ausführungsformen kann dadurch, dass die Standardzelle kleiner ist, der Layoutentwurf 700 zum Herstellen von integrierten Schaltkreisen verwendet werden, die kleiner als andere integrierte Schaltkreise sind.
  • 8 ist ein Schema eines Layoutentwurfs 800 gemäß einigen Ausführungsformen.
  • Der Layoutentwurf 800 ist eine Abwandlung des Layoutentwurfs 600 von 6 und des Layoutentwurfs 700 von 7. Ähnliche Elemente haben eine ähnliche Bezugszahl, die um 200 erhöht ist. Der Layoutentwurf 800 vereint Elemente des Layoutentwurfs 300B von 3B, des Layoutentwurfs 400B von 4B und des Layoutentwurfs 600 von 6.
  • Im Vergleich mit dem Layoutentwurf 700 von 7 weist der Layoutentwurf 800 weiterhin eine erste Wannen-Layoutstruktur 802, eine zweite Wannen-Layoutstruktur 804, eine dritte Wannen-Layoutstruktur 806, eine vierte Wannen-Layoutstruktur 808, eine fünfte Wannen-Layoutstruktur 812, eine sechste Wannen-Layoutstruktur 814, eine siebente Wannen-Layoutstruktur 816, eine achte Wannen-Layoutstruktur 818 und Wannen-Layoutstrukturen 822a, 824a und 828a auf.
  • Die erste Wannen-Layoutstruktur 802 und die fünfte Wannen-Layoutstruktur 812 sind der zweiten Wannen-Layoutstruktur 414 des Layoutentwurfs 400B von 4B ähnlich, und daher entfällt die ähnliche detaillierte Beschreibung. Die zweite Wannen-Layoutstruktur 804 und die sechste Wannen-Layoutstruktur 814 sind der ersten Wannen-Layoutstruktur 416 des Layoutentwurfs 400B von 4B ähnlich, und daher entfällt die ähnliche detaillierte Beschreibung. Die dritte Wannen-Layoutstruktur 806 und die siebente Wannen-Layoutstruktur 816 sind der ersten Wannen-Layoutstruktur 314 des Layoutentwurfs 300B von 3B ähnlich, und daher entfällt die ähnliche detaillierte Beschreibung. Die vierte Wannen-Layoutstruktur 808 und die achte Wannen-Layoutstruktur 818 sind der zweiten Wannen-Layoutstruktur 316 des Layoutentwurfs 300B von 3B ähnlich, und daher entfällt die ähnliche detaillierte Beschreibung.
  • Die erste Wannen-Layoutstruktur 802 weist Wannen-Layoutstrukturen 802a, 802b und 802c auf. Die Wannen-Layoutstrukturen 802a, 802b und 802c sind den entsprechenden Wannen-Layoutstrukturen 454a, 454b und 454c des Layoutentwurfs 400B von 4B ähnlich, und daher entfällt die ähnliche detaillierte Beschreibung.
  • Die zweite Wannen-Layoutstruktur 804 weist Wannen-Layoutstrukturen 804a, 804b, 804c und 804d auf. Die Wannen-Layoutstrukturen 804a, 804b, 804c und 804d sind den entsprechenden Wannen-Layoutstrukturen 456a, 456b, 456c und 456d des Layoutentwurfs 400B von 4B ähnlich, und daher entfällt die ähnliche detaillierte Beschreibung.
  • Die dritte Wannen-Layoutstruktur 806 weist Wannen-Layoutstrukturen 806a, 806b und 806c auf. Die Wannen-Layoutstrukturen 806a, 806b und 806c sind den entsprechenden Wannen-Layoutstrukturen 354a, 354b und 354c des Layoutentwurfs 300B von 3B ähnlich, und daher entfällt die ähnliche detaillierte Beschreibung.
  • Die vierte Wannen-Layoutstruktur 808 weist Wannen-Layoutstrukturen 808a und 808b auf. Die Wannen-Layoutstrukturen 808a und 808b sind den entsprechenden Wannen-Layoutstrukturen 356a und 356b des Layoutentwurfs 300B von 3B ähnlich, und daher entfällt die ähnliche detaillierte Beschreibung.
  • Die fünfte Wannen-Layoutstruktur 812 weist Wannen-Layoutstrukturen 812a, 812b und 812c auf. Die Wannen-Layoutstrukturen 812a, 812b und 812c sind den entsprechenden Wannen-Layoutstrukturen 454a, 454b und 454c des Layoutentwurfs 400B von 4B ähnlich, und daher entfällt die ähnliche detaillierte Beschreibung.
  • Die sechste Wannen-Layoutstruktur 814 weist Wannen-Layoutstrukturen 814a, 814b, 814c und 814d auf. Die Wannen-Layoutstrukturen 814a, 814b, 814c und 814d sind den entsprechenden Wannen-Layoutstrukturen 456a, 456b, 456c und 456d des Layoutentwurfs 400B von 4B ähnlich, und daher entfällt die ähnliche detaillierte Beschreibung.
  • Die siebente Wannen-Layoutstruktur 816 weist Wannen-Layoutstrukturen 816a, 816b und 816c auf. Die Wannen-Layoutstrukturen 816a, 816b und 816c sind den entsprechenden Wannen-Layoutstrukturen 354a, 354b und 354c des Layoutentwurfs 300B von 3B ähnlich, und daher entfällt die ähnliche detaillierte Beschreibung.
  • Die achte Wannen-Layoutstruktur 818 weist Wannen-Layoutstrukturen 818a und 818b auf. Die Wannen-Layoutstrukturen 818a und 818b sind den entsprechenden Wannen-Layoutstrukturen 356a und 356b des Layoutentwurfs 300B von 3B ähnlich, und daher entfällt die ähnliche detaillierte Beschreibung.
  • Die Wannen-Layoutstruktur 822a ist der Wannen-Layoutstruktur 456a des Layoutentwurfs 400B von 4B ähnlich, und daher entfällt die ähnliche detaillierte Beschreibung. Die Wannen-Layoutstruktur 824a ist der Wannen-Layoutstruktur 454a des Layoutentwurfs 400B von 4B ähnlich, und daher entfällt die ähnliche detaillierte Beschreibung. Die Wannen-Layoutstruktur 828a ist der Wannen-Layoutstruktur 356a des Layoutentwurfs 300B von 3B ähnlich, und daher entfällt die ähnliche detaillierte Beschreibung.
  • Bei einigen Ausführungsformen sind die Wannen-Layoutstrukturen 804a und 828a Bestandteil ein und derselben zusammenhängenden Wannen-Layoutstruktur. Bei einigen Ausführungsformen sind mindestens zwei der Wannen-Layoutstrukturen 802a, 802b, 802c, 806a und 806b Bestandteil ein und derselben zusammenhängenden Wannen-Layoutstruktur. Bei einigen Ausführungsformen sind die Wannen-Layoutstrukturen 804c und 808a Bestandteil ein und derselben zusammenhängenden Wannen-Layoutstruktur. Bei einigen Ausführungsformen sind die Wannen-Layoutstrukturen 802c und 806b Bestandteil ein und derselben Wannen-Layoutstruktur. Bei einigen Ausführungsformen sind mindestens zwei der Wannen-Layoutstrukturen 804b, 814a und 808b Bestandteil ein und derselben zusammenhängenden Wannen-Layoutstruktur.
  • Bei einigen Ausführungsformen sind mindestens zwei der Wannen-Layoutstrukturen 812a, 812b, 812e, 816a und 816b Bestandteil ein und derselben zusammenhängenden Wannen-Layoutstruktur. Bei einigen Ausführungsformen sind die Wannen-Layoutstrukturen 814c und 818a Bestandteil ein und derselben zusammenhängenden Wannen-Layoutstruktur. Bei einigen Ausführungsformen sind die Wannen-Layoutstrukturen 812c und 816b Bestandteil ein und derselben zusammenhängenden Wannen-Layoutstruktur. Bei einigen Ausführungsformen sind mindestens zwei der Wannen-Layoutstrukturen 822a, 814b und 818b Bestandteil ein und derselben zusammenhängenden Wannen-Layoutstruktur. Bei einigen Ausführungsformen sind die Wannen-Layoutstrukturen 816c und 824a Bestandteil ein und derselben zusammenhängenden Wannen-Layoutstruktur.
  • Andere Größen oder Konfigurationen einer oder mehrerer der ersten Wannen-Layoutstruktur 802, der zweiten Wannen-Layoutstruktur 804, der dritten Wannen-Layoutstruktur 806, der vierten Wannen-Layoutstruktur 808, der fünften Wannen-Layoutstruktur 812, der sechsten Wannen-Layoutstruktur 814, der siebenten Wannen-Layoutstruktur 816, der achten Wannen-Layoutstruktur 818 und der Wannen-Layoutstrukturen 822a, 824a und 828a liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Erfindung.
  • Bei einigen Ausführungsformen hat der Layoutentwurf 800 eine nichtrechteckige Form, die zu einer kleineren Standardzelle als bei anderen Entwürfen führt. Bei einigen Ausführungsformen kann dadurch, dass die Standardzelle kleiner ist, der Layoutentwurf 800 zum Herstellen von integrierten Schaltkreisen verwendet werden, die kleiner als andere integrierte Schaltkreise sind.
  • 9 ist ein Ablaufdiagramm eines Verfahrens 900 zum Herstellen einer Speicherzellenmatrix gemäß einigen Ausführungsformen. Es ist klar, dass weitere Schritte vor, während und/oder nach dem in 9 dargestellten Verfahren 900 ausgeführt werden können und dass einige weitere Prozesse hier nur kurz beschrieben sein können. Bei einigen Ausführungsformen kann das Verfahren 900 zum Herstellen einer oder mehrerer Speicherzellen, wie etwa der Speicherzelle 100 (1), einer oder mehrerer Speicherzellenmatrizen, wie etwa der Speicherzellenmatrizen 200A und 200B (2A und 2B) oder eines oder mehrerer integrierter Schaltkreise, wie etwa der integrierten Schaltkreise 500A und 500B (5A bis 5H), verwendet werden. Bei einigen Ausführungsformen kann das Verfahren 900 zum Herstellen von Speicherzellenmatrizen oder integrierten Schaltkreisen verwendet werden, die ähnliche bauliche Beziehungen wie ein oder mehrere der Layoutentwürfe 300A und 300B, 400A und 400B und 600 bis 800 (3A und 3B, 4A und 4B und 6 bis 8) haben.
  • Im Schritt 902 des Verfahrens 900 wird eine erste Gruppe von Kachelelementen 602 erzeugt, die in einer ersten Richtung (zum Beispiel der dritten Richtung S) verläuft. Bei einigen Ausführungsformen umfasst das Erzeugen der ersten Gruppe von Kachelelementen 602 im Schritt 902 einen Schritt 902a.
  • Bei einigen Ausführungsformen umfasst der Schritt 902a das Erzeugen eines ersten Layoutentwurfs (z. B. der Layoutentwürfe 300A und 300B) einer ersten Gruppe von Speicherzellen 204. Bei einigen Ausführungsformen entspricht mindestens ein Kachelelement der ersten Gruppe von Kachelelementen 602 dem Layoutentwurf 300A oder 300B. Bei einigen Ausführungsformen entspricht jedes Kachelelement der ersten Gruppe von Kachelelementen 602 dem Layoutentwurf 300A oder 300B der ersten Gruppe von Speicherzellen 204. Bei einigen Ausführungsformen ist jedes Kachelelement der ersten Gruppe von Kachelelementen 602 gegenüber einem benachbarten Kachelelement der ersten Gruppe von Kachelelementen 602 in der zweiten Richtung Y versetzt, die von der ersten Richtung (z. B. der dritten Richtung S) verschieden ist.
  • Bei einigen Ausführungsformen umfasst das Erzeugen des ersten Layoutentwurfs (z. B. der Layoutentwürfe 300A und 300B) der ersten Gruppe von Speicherzellen 204 im Schritt 902a das Erzeugen eines ersten Teils 302a des ersten Layoutentwurfs (z. B. der Layoutentwürfe 300A und 300B), das Erzeugen eines zweiten Teils 302b des ersten Layoutentwurfs, das Erzeugen eines dritten Teils 302c des ersten Layoutentwurfs und das Erzeugen eines vierten Teils 302d des ersten Layoutentwurfs.
  • Bei einigen Ausführungsformen entspricht der erste Teil 302a des ersten Layoutentwurfs (z. B. der Layoutentwürfe 300A und 300B) dem Herstellen einer ersten Speicherzelle 202[1,2] der ersten Gruppe von Speicherzellen 204 der Speicherzellenmatrizen 200A und 200B. Bei einigen Ausführungsformen entspricht der zweite Teil 302b des ersten Layoutentwurfs (z. B. der Layoutentwürfe 300A und 300B) dem Herstellen einer zweiten Speicherzelle 202[2,2] der ersten Gruppe von Speicherzellen 204 der Speicherzellenmatrizen 200A und 200B. Bei einigen Ausführungsformen entspricht der dritte Teil 302c des ersten Layoutentwurfs (z. B. der Layoutentwürfe 300A und 300B) dem Herstellen einer dritten Speicherzelle 202[1,3] der ersten Gruppe von Speicherzellen 204 der Speicherzellenmatrizen 200A und 200B. Bei einigen Ausführungsformen entspricht der vierte Teil 302d des ersten Layoutentwurfs (z. B. der Layoutentwürfe 300A und 300B) dem Herstellen einer vierten Speicherzelle 202[2,3] der ersten Gruppe von Speicherzellen 204 der Speicherzellenmatrizen 200A und 200B.
  • Bei einigen Ausführungsformen sind der erste Teil 302a des ersten Layoutentwurfs (z. B. der Layoutentwürfe 300A und 300B) und der zweite Teil 302b des ersten Layoutentwurfs Spiegelbilder voneinander in Bezug zu der zweiten Richtung Y. Bei einigen Ausführungsformen sind der dritte Teil 302c des ersten Layoutentwurfs (z. B. der Layoutentwürfe 300A und 300B) und der vierte Teil 302d des ersten Layoutentwurfs Spiegelbilder voneinander in Bezug zu der zweiten Richtung Y.
  • Im Schritt 904 des Verfahrens 900 wird eine zweite Gruppe von Kachelelementen 604 erzeugt, die in der ersten Richtung (zum Beispiel der dritten Richtung S) verläuft. Bei einigen Ausführungsformen ist die zweite Gruppe von Kachelelementen 604 mindestens in der zweiten Richtung Y von der ersten Gruppe von Kachelelementen 602 beabstandet. Bei einigen Ausführungsformen umfasst das Erzeugen der zweiten Gruppe von Kachelelementen 604 im Schritt 904 einen Schritt 904a.
  • Bei einigen Ausführungsformen umfasst der Schritt 904a das Erzeugen eines zweiten Layoutentwurfs (z. B. der Layoutentwürfe 400A und 400B) einer zweiten Gruppe von Speicherzellen 206. Bei einigen Ausführungsformen entspricht mindestens ein Kachelelement der zweiten Gruppe von Kachelelementen 604 dem Layoutentwurf 400A oder 400B. Bei einigen Ausführungsformen entspricht jedes Kachelelement der zweiten Gruppe von Kachelelementen 604 dem zweiten Layoutentwurf (z. B. den Layoutentwürfen 400A und 400B) der zweiten Gruppe von Speicherzellen 206. Bei einigen Ausführungsformen ist jedes Kachelelement der zweiten Gruppe von Kachelelementen 604 gegenüber einem benachbarten Kachelelement der zweiten Gruppe von Kachelelementen 604 in der zweiten Richtung Y versetzt.
  • Bei einigen Ausführungsformen umfasst das Erzeugen des zweiten Layoutentwurfs (z. B. der Layoutentwürfe 400A und 400B) der zweiten Gruppe von Speicherzellen 206 im Schritt 904a das Erzeugen eines ersten Teils 402a des zweiten Layoutentwurfs (z. B. der Layoutentwürfe 400A und 400B), das Erzeugen eines zweiten Teils 402b des zweiten Layoutentwurfs, das Erzeugen eines dritten Teils 402c des zweiten Layoutentwurfs und das Erzeugen eines vierten Teils 402d des zweiten Layoutentwurfs.
  • Bei einigen Ausführungsformen entspricht der erste Teil 402a des zweiten Layoutentwurfs (z. B. der Layoutentwürfe 400A und 400B) dem Herstellen einer ersten Speicherzelle 202[2,4] der zweiten Gruppe von Speicherzellen 206 der Speicherzellenmatrizen 200A und 200B. Bei einigen Ausführungsformen entspricht der zweite Teil 402b des zweiten Layoutentwurfs (z. B. der Layoutentwürfe 400A und 400B) dem Herstellen einer zweiten Speicherzelle 202[3,4] der zweiten Gruppe von Speicherzellen 206 der Speicherzellenmatrizen 200A und 200B. Bei einigen Ausführungsformen entspricht der dritte Teil 402c des zweiten Layoutentwurfs (z. B. der Layoutentwürfe 400A und 400B) dem Herstellen einer dritten Speicherzelle 202[2,5] der zweiten Gruppe von Speicherzellen 206 der Speicherzellenmatrizen 200A und 200B. Bei einigen Ausführungsformen entspricht der vierte Teil 402d des zweiten Layoutentwurfs (z. B. der Layoutentwürfe 400A und 400B) dem Herstellen einer vierten Speicherzelle 202[3,5] der zweiten Gruppe von Speicherzellen 206 der Speicherzellenmatrizen 200A und 200B.
  • Bei einigen Ausführungsformen sind der erste Teil 402a des zweiten Layoutentwurfs (z. B. der Layoutentwürfe 400A und 400B) und der dritte Teil 402c des zweiten Layoutentwurfs Spiegelbilder voneinander in Bezug zu der dritten Richtung S (z. B. der ersten Richtung X). Bei einigen Ausführungsformen sind der zweite Teil 402b des zweiten Layoutentwurfs (z. B. der Layoutentwürfe 400A und 400B) und der vierte Teil 402d des zweiten Layoutentwurfs Spiegelbilder voneinander in Bezug zu der dritten Richtung S (z. B. der ersten Richtung X).
  • Bei einigen Ausführungsformen wechseln sich die erste Gruppe von Kachelelementen 602 und die zweite Gruppe von Kachelelementen 604 in der zweiten Richtung Y miteinander ab. Bei einigen Ausführungsformen verlaufen jedes Kachelelement der ersten Gruppe von Kachelelementen 602 und jedes Kachelelement der zweiten Gruppe von Kachelelementen 604 in einer dritten Richtung (z. B. der ersten Richtung X), die von der ersten Richtung und der zweiten Richtung verschieden ist.
  • Bei einigen Ausführungsformen werden der Schritt 902 und/oder der Schritt 904 mit einer Verarbeitungsvorrichtung, z. B. einem Prozessor 1202 (12), ausgeführt, der so konfiguriert ist, dass er Befehle zum Erzeugen der ersten Gruppe von Kachelelementen 602 oder der zweiten Gruppe von Kachelelementen 604 ausführt. Bei einigen Ausführungsformen wird die erste Gruppe von Kachelelementen 602 oder die zweite Gruppe von Kachelelementen 604 in einem Speicher, z. B. einem nichtflüchtigen maschinenlesbaren Medium 1204 (12), als ein Layoutentwurf 1216 gespeichert.
  • Bei einigen Ausführungsformen sind die Layoutentwürfe 300A und 300B, 400A und 400B und/oder 600 bis 800 ein grafisches Datenbanksystem-Dateiformat (GDSII).
  • Im Schritt 906 des Verfahrens 900 wird eine Speicherzellenmatrix 200A oder 200B oder ein integrierter Schaltkreis 500A oder 500B auf Grund des ersten Layoutentwurfs (des Layoutentwurfs 300A oder 300B), des zweiten Layoutentwurfs (des Layoutentwurfs 400A oder 400B) und/oder der Layoutentwürfe 600 bis 800 hergestellt. Bei einigen Ausführungsformen umfasst der Schritt 906 des Verfahrens 900 das Herstellen der Speicherzellenmatrix 200A oder 200B oder des integrierten Schaltkreises 500A oder 500B auf Grund der ersten Gruppe von Kachelelementen 602 und/oder der zweiten Gruppe von Kachelelementen 604. Bei einigen Ausführungsformen umfasst der Schritt 906 das Herstellen der Speicherzelle 100 auf Grund des ersten Layoutentwurfs 300A oder 300B und/oder des zweiten Layoutentwurfs 400A oder 400B. Bei einigen Ausführungsformen umfasst der Schritt 906 des Verfahrens 900 das Herstellen der Speicherzellenmatrix 200A oder 200B oder des integrierten Schaltkreises 500A oder 500B auf Grund der ersten Gruppe von Kachelelementen 602 und/oder der zweiten Gruppe von Kachelelementen 604.
  • Bei einigen Ausführungsformen umfasst der Schritt 906 des Verfahrens 900 das Herstellen mindestens einer Maske auf Grund der ersten Layoutentwürfe 300A und 300B, 400A und 400B und/oder 600 bis 800 und das Herstellen der Speicherzellenmatrix (z. B. der Speicherzelle 100, der Speicherzellenmatrizen 200A und 200B) oder des integrierten Schaltkreises (z. B. des integrierten Schaltkreises 500A oder 500B) auf Grund der mindestens einen Maske.
  • Bei einigen Ausführungsformen werden ein oder mehrere der Schritte 902, 904 und 906 nicht ausgeführt.
  • Bei einigen Ausführungsformen werden bei dem Verfahren 900 ein oder mehrere Layoutentwürfe (z. B. erste Layoutentwürfe 300A und 300B, zweite Layoutentwürfe 400A und 400B oder Layoutentwürfe 600 bis 800) erzeugt, die eine kleinere Fläche als bei anderen Ansätzen einnehmen. Bei einigen Ausführungsformen wird das Verfahren 900 zum Herstellen einer Speicherzellenmatrix (z. B. der Speicherzelle 100, der Speicherzellenmatrizen 200A und 200B oder der integrierten Schaltkreise 500A und 500B) verwendet, die eine kleinere Fläche als andere Speicherzellenmatrizen einnehmen.
  • Die 10A und 10B sind ein Ablaufdiagramm eines Verfahrens 1000 zum Erzeugen eines Layoutentwurfs einer Speicherzellenmatrix gemäß einigen Ausführungsformen. Es ist klar, dass weitere Schritte vor, während und/oder nach dem in den 10A und 10B dargestellten Verfahren 1000 ausgeführt werden können und dass einige weitere Prozesse hier nur kurz beschrieben sein können. Das Verfahren 1000 ist eine Ausführungsform der Schritte 902a und/oder 904a. Bei einigen Ausführungsformen kann das Verfahren 1000 zum Erzeugen eines oder mehrerer der Layoutentwürfe 300A und 300B (3A und 3B) oder 400A und 400B (4A und 4B) oder 600 und 700 (6 und 7) der Speicherzelle 100 (1), der Speicherzellenmatrizen 200A und 200B ( 2A und 2B) oder der integrierten Schaltkreise 500A und 500B (5A bis 5H) verwendet werden.
  • Im Schritt 1002 des Verfahrens 1000 wird eine erste Gruppe von Aktiver-Bereich-Layoutstrukturen 312a oder 412a erzeugt. Bei einigen Ausführungsformen entspricht das Erzeugen der Gruppe von Aktiver-Bereich-Layoutstrukturen 312a oder 412a dem Herstellen einer Gruppe von aktiven Bereichen 504 oder 505 der Speicherzellenmatrizen 200A und 200B. Bei einigen Ausführungsformen sind die Layoutstrukturen der Gruppe von Aktiver-Bereich-Layoutstrukturen 312a oder 412a jeweils in der ersten Richtung X durch einen ersten Abstand von einer benachbarten Layoutstruktur der Gruppe von Aktiver-Bereich-Layoutstrukturen 312a oder 412a getrennt. Bei einigen Ausführungsformen verläuft die Gruppe von Aktiver-Bereich-Layoutstrukturen 312a oder 412a in der zweiten Richtung Y, die von der ersten Richtung X verschieden ist, und sie ist auf einer ersten Layoutebene (z. B. einem aktiven Bereich oder einer Wanne) angeordnet.
  • Bei einigen Ausführungsformen umfasst die Gruppe von Aktiver-Bereich-Layoutstrukturen bei dem Verfahren 1000 eine oder mehrere der Gruppen von Aktiver-Bereich-Layoutstrukturen 312b, 312c, 312d, 412a, 412b und 412c.
  • Bei einigen Ausführungsformen umfasst das Erzeugen der Gruppe von Aktiver-Bereich-Layoutstrukturen 312a oder 412a in dem Schritt 1002 das Erzeugen einer ersten Aktiver-Bereich-Layoutstruktur 304a oder 404a, die zu einer ersten Seite 352a oder 452a der Layoutentwürfe 300A und 300B oder 400A und 400B der Speicherzelle 100 benachbart ist, und das Erzeugen einer zweiten Aktiver-Bereich-Layoutstruktur 310a oder 410a, die zu einer zweiten Seite 352b1 oder 452b1 der Speicherzelle 100 benachbart ist, die der ersten Seite 352a oder 452a der Speicherzelle 100 gegenüberliegt. Bei einigen Ausführungsformen ist eine Länge der ersten Aktiver-Bereich-Layoutstruktur 304a oder 404a in der zweiten Richtung Y von einer Länge der zweiten Aktiver-Bereich-Layoutstruktur 310a oder 410a in der zweiten Richtung Y verschieden.
  • Im Schritt 1004 wird eine Gruppe von Aktiver-Bereich-Layoutstrukturen 312a oder 412a auf einer ersten Layoutebene platziert. Bei einigen Ausführungsformen entspricht die erste Layoutebene dem aktiven Bereich der Layoutentwürfe 300A und 300B oder 400A und 400B (400A und 400B).
  • Im Schritt 1006 werden eine Gruppe von Gate-Layoutstrukturen 326a oder 426a erzeugt. Bei einigen Ausführungsformen entspricht die Gruppe von Gate-Layoutstrukturen 326a oder 426a dem Herstellen einer Gruppe von Gate-Strukturen 527 der Speicherzellenmatrizen 200A und 200B oder der integrierten Schaltkreise 500A und 500B. Bei einigen Ausführungsformen verläuft die Gruppe von Gate-Layoutstrukturen 326a oder 426a in der ersten Richtung X und überlappt die Gruppe von Aktiver-Bereich-Layoutstrukturen 312a oder 412a.
  • Bei einigen Ausführungsformen umfasst die Gruppe von Gate-Layoutstrukturen bei dem Verfahren 1000 eine oder mehrere Gate-Layoutstrukturen aus der Gruppe von Gate-Layoutstrukturen 326b, 326c, 326d, 426b, 426c und 426d.
  • Im Schritt 1008 wird die Gruppe von Gate-Layoutstrukturen 326a oder 426a auf einer zweiten Layoutebene (z. B. POLY) platziert, die von der ersten Layoutebene verschieden ist.
  • Im Schritt 1010 wird eine erste Gruppe von Leitfähiges-Element-Layoutstrukturen 338a oder 438a erzeugt. Bei einigen Ausführungsformen entspricht die erste Gruppe von Leitfähiges-Element-Layoutstrukturen 338a oder 438a dem Herstellen einer ersten Gruppe von leitfähigen Strukturen 538 der Speicherzellenmatrizen 200A und 200B oder der integrierten Schaltkreise 500A und 500B. Bei einigen Ausführungsformen verläuft die erste Gruppe von Leitfähiges-Element-Layoutstrukturen 338a oder 438a in der ersten Richtung X und sie befindet sich über der Gruppe von Aktiver-Bereich-Layoutstrukturen 312a oder 412a und/oder der Gruppe von Gate-Layoutstrukturen 326a oder 426a. Bei einigen Ausführungsformen ist jede Leitfähiges-Element-Layoutstruktur der ersten Gruppe von Leitfähiges-Element-Layoutstrukturen 338a oder 438a in der ersten Richtung X und/oder der zweiten Richtung Y von einer benachbarten Layoutstruktur der ersten Gruppe von Leitfähiges-Element-Layoutstrukturen 338a oder 438a beabstandet.
  • Bei einigen Ausführungsformen umfasst die erste Gruppe von Leitfähiges-Element-Layoutstrukturen 338a oder 438a bei dem Verfahren 1000 eine oder mehrere Leitfähiges-Element-Layoutstrukturen der Gruppe von Leitfähiges-Element-Layoutstrukturen 338b, 338c, 338d, 340, 342, 344, 438b, 438c, 438d, 440, 442 und 444.
  • Im Schritt 1012 wird die erste Gruppe von Leitfähiges-Element-Layoutstrukturen 338a oder 438a auf einer dritten Layoutebene (z. B. M1) platziert, die von der ersten Layoutebene und der zweiten Layoutebene verschieden ist.
  • Im Schritt 1014 wird eine zweite Gruppe von Leitfähiges-Element-Layoutstrukturen 350 oder 450 erzeugt. Bei einigen Ausführungsformen entspricht die zweite Gruppe von Leitfähiges-Element-Layoutstrukturen 350 oder 450 dem Herstellen einer zweiten Gruppe von leitfähigen Strukturen 552 der Speicherzellenmatrizen 200A und 200B oder der integrierten Schaltkreise 500A und 500B. Bei einigen Ausführungsformen verläuft die zweite Gruppe von Leitfähiges-Element-Layoutstrukturen 350 oder 450 in der ersten Richtung X und sie überlappt die zweite Aktiver-Bereich-Layoutstruktur 310a, 310b, 310c, 310d, 410a, 410b, 410c oder 41od und/oder die zweite Seite 352b1 oder 452b1 der Layoutentwürfe 300A und 300B oder 400A und 400B der Speicherzelle 100. Bei einigen Ausführungsformen ist jede Leitfähiges-Element-Layoutstruktur der zweiten Gruppe von Leitfähiges-Element-Layoutstrukturen 350 oder 450 in der ersten Richtung X und/oder der zweiten Richtung Y von einer benachbarten Layoutstruktur der zweiten Gruppe von Leitfähiges-Element-Layoutstrukturen 350 oder 450 beabstandet.
  • Im Schritt 1016 wird die zweite Gruppe von Leitfähiges-Element-Layoutstrukturen 350 oder 450 auf einer vierten Layoutebene (z. B. M2) platziert, die von der ersten Layoutebene, der zweiten Layoutebene und der dritten Layoutebene verschieden ist.
  • Im Schritt 1018 wird eine zweite Gruppe von Durchkontaktierungs-Layoutstrukturen 358a oder 458a erzeugt. Bei einigen Ausführungsformen entspricht die erste Gruppe von Durchkontaktierungs-Layoutstrukturen 358a oder 458a dem Herstellen einer ersten Gruppe von Durchkontaktierungen 572. Bei einigen Ausführungsformen verbindet die erste Gruppe von Durchkontaktierungen 572 die erste Gruppe von leitfähigen Strukturen 538 mit der Gruppe von aktiven Bereichen 504 oder 505. Bei einigen Ausführungsformen ist jede Durchkontaktierungs-Layoutstruktur der ersten Gruppe von Durchkontaktierungs-Layoutstrukturen 358a oder 458a an der Stelle angeordnet, an der jede Leitfähiges-Element-Layoutstruktur der ersten Gruppe von Leitfähiges-Element-Layoutstrukturen 338a oder 438a jede Aktiver-Bereich-Layoutstruktur der Gruppe von Aktiver-Bereich-Layoutstrukturen 312a oder 412a überlappt.
  • Bei einigen Ausführungsformen umfasst die erste Gruppe von Durchkontaktierungs-Layoutstrukturen bei dem Verfahren 1000 eine oder mehrere der Durchkontaktierungs-Layoutstrukturen 358b, 358c, 358d, 458b, 458c, 458d, 374, 376, 378, 380, 474, 476, 478 und 480.
  • Im Schritt 1020 wird die erste Gruppe von Durchkontaktierungs-Layoutstrukturen 358a oder 458a zwischen der ersten Gruppe von Leitfähiges-Element-Layoutstrukturen 338a oder 438a und der Gruppe von Aktiver-Bereich-Layoutstrukturen 312a oder 412a platziert. Bei einigen Ausführungsformen befindet sich die erste Gruppe von Durchkontaktierungs-Layoutstrukturen 358a oder 458a mindestens auf der Vo-Ebene der Layoutentwürfe 300A und 300B oder 400A und 400B.
  • Im Schritt 1022 wird eine zweite Gruppe von Durchkontaktierungs-Layoutstrukturen 380 oder 480 erzeugt. Bei einigen Ausführungsformen entspricht die zweite Gruppe von Durchkontaktierungs-Layoutstrukturen 380 oder 480 dem Herstellen einer zweiten Gruppe von Durchkontaktierungen 532. Bei einigen Ausführungsformen verbindet die zweite Gruppe von Durchkontaktierungen 532 die erste Gruppe von Leitfähiges-Element-Layoutstrukturen 338a oder 438a mit der Gruppe von Gates 527. Bei einigen Ausführungsformen ist eine erste Durchkontaktierungs-Layoutstruktur 380a oder 480a der zweiten Gruppe von Durchkontaktierungs-Layoutstrukturen 380 oder 480 an der Stelle angeordnet, an der eine erste Leitfähiges-Element-Layoutstruktur 340a oder 440a der Gruppe von Leitfähiges-Element-Layoutstrukturen 340 oder 440 eine erste Gate-Layoutstruktur 324a, 324c, 424a oder 424c der Gruppe von Gate-Layoutstrukturen 326a oder 426a überlappt.
  • Bei einigen Ausführungsformen umfasst die zweite Gruppe von Durchkontaktierungs-Layoutstrukturen bei dem Verfahren 1000 eine oder mehrere der Durchkontaktierungs-Layoutstrukturen 358a, 358b, 358c, 358d, 458a, 458b, 458c, 458d, 374,376, 378, 474, 476 und 478.
  • Im Schritt 1024 wird die zweite Gruppe von Durchkontaktierungs-Layoutstrukturen 380 oder 480 zwischen der ersten Gruppe von Leitfähiges-Element-Layoutstrukturen 340 oder 440 und der Gruppe von Gate-Layoutstrukturen 326a oder 426a angeordnet. Bei einigen Ausführungsformen befindet sich die zweite Gruppe von Durchkontaktierungs-Layoutstrukturen 380 oder 480 mindestens auf der VG-Ebene der Layoutentwürfe 300A und 300B oder 400A und 400B.
  • Das Verfahren 1000 umfasst entweder die Schritte 1026 bis 1032 oder die Schritte 1026' bis 1032'.
  • Die Schritte 1026 bis 1032 werden unter Bezugnahme auf die Layoutentwürfe 300A und 300B erörtert. Zum Beispiel entspricht die erste Wannen-Layoutstruktur 314 der ersten Wannen-Layoutstruktur der Schritte 1026 bis 1032, und die zweite Wannen-Layoutstruktur 316 entspricht der zweiten Wannen-Layoutstruktur der Schritte 1026 bis 1032 der Layoutentwürfe 300A und 300B.
  • Die Schritte 1026' bis 1032' werden unter Bezugnahme auf die Layoutentwürfe 400A und 400B erörtert, wobei die erste Wannen-Layoutstruktur 416 der ersten Wannen-Layoutstruktur der Schritte 1026' bis 1032' entspricht und die zweite Wannen-Layoutstruktur 414 der zweiten Wannen-Layoutstruktur der Schritte 1026' bis 1032' entspricht.
  • Der Einfachheit halber werden die Schritte 1026' bis 1032' nach der Erörterung der Schritte 1026 bis 1032 erörtert.
  • Im Schritt 1026 wird eine erste Wannen-Layoutstruktur 314 erzeugt. Bei einigen Ausführungsformen entspricht die erste Wannen-Layoutstruktur 314 dem Herstellen einer ersten Wanne 501 der Speicherzellenmatrizen 200A und 200B oder des integrierten Schaltkreises 500A. Bei einigen Ausführungsformen hat die erste Wanne 501 eine erste Dotandenart. Bei einigen Ausführungsformen ist die ersten Dotandenart ein n-Dotand. Bei einigen Ausführungsformen ist die erste Dotandenart ein p-Dotand.
  • Bei einigen Ausführungsformen umfasst der Schritt 1026 einen oder mehrere der Schritte 1026a und 1026b.
  • Bei einigen Ausführungsformen umfasst der Schritt 1026a das Erzeugen einer ersten Layoutstruktur (z. B. der Layoutstruktur 354a oder 354c). Bei einigen Ausführungsformen entspricht die erste Layoutstruktur 354a dem Herstellen eines ersten Teils 501a der ersten Wanne 501. Bei einigen Ausführungsformen verläuft die erste Layoutstruktur 354a in der zweiten Richtung Y und ist zu der ersten Seite 352a der Layoutstruktur 300B der Speicherzelle 100 benachbart.
  • Bei einigen Ausführungsformen umfasst der Schritt 1026b das Erzeugen einer zweiten Layoutstruktur (z. B. der Layoutstruktur 354b). Bei einigen Ausführungsformen entspricht die zweite Layoutstruktur 354b dem Herstellen eines zweiten Teils 501b der ersten Wanne 501. Bei einigen Ausführungsformen verläuft die zweite Layoutstruktur in der zweiten Richtung und ist zu der zweiten Seite der Speicherzelle 100 benachbart.
  • Im Schritt 1028 wird die erste Wannen-Layoutstruktur 314 auf einer vierten Layoutebene (z. B. der Wannen-Ebene) platziert, die von der ersten Layoutebene, der zweiten Layoutebene und der dritten Layoutebene verschieden ist. Bei einigen Ausführungsformen umfasst ein Teil der vierten Layoutebene die erste Layoutebene. Bei einigen Ausführungsformen ist ein Teil der vierten Layoutebene gleich der ersten Layoutebene.
  • Bei einigen Ausführungsformen umfasst der Schritt 1028 den Schritt 1028a und/oder den Schritt 1028b.
  • Bei einigen Ausführungsformen umfasst der Schritt 1028a das Platzieren der ersten Layoutstruktur 354a unter der ersten Aktiver-Bereich-Layoutstruktur 304a.
  • Bei einigen Ausführungsformen umfasst der Schritt 1028b das Platzieren der zweiten Layoutstruktur 354b unter der zweiten Aktiver-Bereich-Layoutstruktur 310a.
  • Im Schritt 1030 wird eine zweite Wannen-Layoutstruktur 316 erzeugt. Bei einigen Ausführungsformen entspricht die zweite Wannen-Layoutstruktur 316 dem Herstellen einer zweiten Wanne 501' der Speicherzellenmatrizen 200A und 200B oder des integrierten Schaltkreises 500A. Bei einigen Ausführungsformen hat die zweite Wanne 501' eine zweite Dotandenart, die von der ersten Dotandenart verschieden ist. Bei einigen Ausführungsformen ist die zweite Dotandenart ein p-Dotand. Bei einigen Ausführungsformen ist die zweite Dotandenart ein n-Dotand.
  • Bei einigen Ausführungsformen umfasst der Schritt 1030 den Schritt 1030a und/oder den Schritt 1030b.
  • Bei einigen Ausführungsformen umfasst der Schritt 1030a das Erzeugen einer dritten Layoutstruktur (z. B. der Layoutstruktur 356a). Bei einigen Ausführungsformen entspricht die dritte Layoutstruktur 356a dem Herstellen eines Teils 5010 der zweiten Wanne 501'. Bei einigen Ausführungsformen verläuft die dritte Layoutstruktur 356a in der zweiten Richtung Y. Bei einigen Ausführungsformen ist die dritte Layoutstruktur 356a zwischen der ersten Layoutstruktur 354a und der zweiten Layoutstruktur 354b angeordnet.
  • Bei einigen Ausführungsformen umfasst der Schritt 1030b das Erzeugen einer vierten Layoutstruktur (z. B. der Layoutstruktur 356b). Bei einigen Ausführungsformen entspricht die vierte Layoutstruktur 356b dem Herstellen eines Teils der zweiten Wanne 501', der dem Teil 501c ähnlich ist. Bei einigen Ausführungsformen verläuft die vierte Layoutstruktur 356b in der zweiten Richtung Y. Bei einigen Ausführungsformen ist die vierte Layoutstruktur 356b zwischen der zweiten Layoutstruktur 354b und der dritten Layoutstruktur 354c angeordnet.
  • Im Schritt 1032 wird die zweite Wannen-Layoutstruktur 316 auf der vierten Layoutebene platziert. Bei einigen Ausführungsformen umfasst der Schritt 1032 weiterhin das Anordnen der zweiten Wannen-Layoutstruktur 316 zwischen der ersten Layoutstruktur 354a und der zweiten Layoutstruktur 354b. Bei einigen Ausführungsformen umfasst der Schritt 1032 weiterhin das Anordnen der zweiten Wannen-Layoutstruktur 316 unter einer dritten Aktiver-Bereich-Layoutstruktur 306a der Gruppe von Aktiver-Bereich-Layoutstrukturen 312a und einer vierten Aktiver-Bereich-Layoutstruktur 308a der Gruppe von Aktiver-Bereich-Layoutstrukturen 312a.
  • Bei einigen Ausführungsformen umfasst der Schritt 1032 den Schritt 1032a und/oder den Schritt 1032b.
  • Bei einigen Ausführungsformen umfasst der Schritt 1032a das Platzieren der dritten Layoutstruktur 356a unter der dritten Aktiver-Bereich-Layoutstruktur 306a der Gruppe von Aktiver-Bereich-Layoutstrukturen 312a und der vierten Aktiver-Bereich-Layoutstruktur 308a der Gruppe von Aktiver-Bereich-Layoutstrukturen 312a.
  • Bei einigen Ausführungsformen umfasst der Schritt 1032b das Platzieren der vierten Layoutstruktur 356b unter der vierten Aktiver-Bereich-Layoutstruktur 306b der Gruppe von Aktiver-Bereich-Layoutstrukturen 312a und der Aktiver-Bereich-Layoutstruktur 308b der Gruppe von Aktiver-Bereich-Layoutstrukturen 312a.
  • Der Einfachheit halber werden die Schritte 1026' bis 1032' nach der Erörterung der Schritte 1026 bis 1032 erörtert.
  • Im Schritt 1026' wird eine erste Wannen-Layoutstruktur 416 erzeugt. Bei einigen Ausführungsformen entspricht die erste Wannen-Layoutstruktur 416 dem Herstellen einer ersten Wanne 502 der Speicherzellenmatrizen 200A und 200B oder des integrierten Schaltkreises 500B. Bei einigen Ausführungsformen hat die erste Wanne 502 eine erste Dotandenart. Bei einigen Ausführungsformen ist die ersten Dotandenart ein p-Dotand. Bei einigen Ausführungsformen ist die erste Dotandenart ein n-Dotand.
  • Bei einigen Ausführungsformen umfasst der Schritt 1026' den Schritt 1026a' und/oder den Schritt 1026b'.
  • Bei einigen Ausführungsformen umfasst der Schritt 1026a' das Erzeugen einer ersten Layoutstruktur (z. B. der Layoutstruktur 456a oder 456b). Bei einigen Ausführungsformen entspricht die erste Layoutstruktur 456a dem Herstellen eines ersten Teils 502a der ersten Wanne 502. Bei einigen Ausführungsformen verläuft die erste Layoutstruktur 456a in der zweiten Richtung Y und sie ist zu der ersten Seite 452a der Layoutstruktur 400B der Speicherzelle 100 benachbart.
  • Bei einigen Ausführungsformen umfasst der Schritt 1026b' das Erzeugen einer zweiten Layoutstruktur (z. B. der Layoutstruktur 456c oder 456d). Bei einigen Ausführungsformen entspricht die zweite Layoutstruktur 456c dem Herstellen eines zweiten Teils 502b der ersten Wanne 501. Bei einigen Ausführungsformen verläuft die zweite Layoutstruktur 456c in der zweiten Richtung Y und sie ist zu der zweiten Seite 452b1 des Layoutentwurfs 400B der Speicherzelle 100 benachbart.
  • Im Schritt 1028' wird die erste Wannen-Layoutstruktur 416 auf der vierten Layoutebene platziert. Bei einigen Ausführungsformen umfasst der Schritt 1028' den Schritt 1028a' und/oder den Schritt 1028b'.
  • Bei einigen Ausführungsformen umfasst der Schritt 1028a' das Platzieren der ersten Layoutstruktur 456a unter der ersten Aktiver-Bereich-Layoutstruktur 404a.
  • Bei einigen Ausführungsformen umfasst der Schritt 1028b' das Platzieren der zweiten Layoutstruktur 456c unter einem ersten Teil 410a1 der zweiten Aktiver-Bereich-Layoutstruktur 410a.
  • Im Schritt 1030' wird eine zweite Wannen-Layoutstruktur 414 erzeugt. Bei einigen Ausführungsformen entspricht die zweite Wannen-Layoutstruktur 414 dem Herstellen einer zweiten Wanne 502' der Speicherzellenmatrizen 200A und 200B oder des integrierten Schaltkreises 500B. Bei einigen Ausführungsformen hat die zweite Wanne 502' eine zweite Dotandenart, die von der ersten Dotandenart verschieden ist. Bei einigen Ausführungsformen ist die zweite Dotandenart ein n-Dotand. Bei einigen Ausführungsformen ist die zweite Dotandenart ein p-Dotand.
  • Bei einigen Ausführungsformen umfasst der Schritt 1030' den Schritt 1030a' und/oder den Schritt 1030b'.
  • Bei einigen Ausführungsformen umfasst der Schritt 1030a' das Erzeugen einer dritten Layoutstruktur (z. B. der Layoutstruktur 454a oder 454c). Bei einigen Ausführungsformen entspricht die dritte Layoutstruktur 454a dem Herstellen eines ersten Teils 502c der zweiten Wanne 502'. Bei einigen Ausführungsformen verläuft die dritte Layoutstruktur 454a in der zweiten Richtung Y.
  • Bei einigen Ausführungsformen umfasst der Schritt 1030b' das Erzeugen einer vierten Layoutstruktur (z. B. der Layoutstruktur 454b). Bei einigen Ausführungsformen entspricht die vierte Layoutstruktur 454b dem Herstellen eines zweiten Teils 502d der zweiten Wanne 502'. Bei einigen Ausführungsformen verläuft die vierte Layoutstruktur 454b in der zweiten Richtung Y und ist zu der zweiten Seite 452b1 des Layoutentwurfs 400B der Speicherzelle 100 benachbart.
  • Im Schritt 1032' wird die zweite Wannen-Layoutstruktur 414 auf der vierten Layoutebene platziert.
  • Bei einigen Ausführungsformen umfasst der Schritt 1032' den Schritt 1032a' und/oder den Schritt 1032b'.
  • Bei einigen Ausführungsformen umfasst der Schritt 1032a' das Anordnen der dritten Layoutstruktur 454a zwischen der ersten Layoutstruktur 456a und der zweiten Layoutstruktur 456c und/oder der vierten Layoutstruktur 456d. Bei einigen Ausführungsformen umfasst der Schritt 1032a' das Anordnen der dritten Layoutstruktur 454a unter einer dritten Aktiver-Bereich-Layoutstruktur 406a der Gruppe von Aktiver-Bereich-Layoutstrukturen 412a und einer vierten Aktiver-Bereich-Layoutstruktur 408a der Gruppe von Aktiver-Bereich-Layoutstrukturen 412a.
  • Bei einigen Ausführungsformen umfasst der Schritt 1032b' das Anordnen der vierten Layoutstruktur 454b unter einem zweiten Teil 410a2 der zweiten Aktiver-Bereich-Layoutstruktur 410a.
  • Bei einigen Ausführungsformen werden ein oder mehrere der Schritte 1002 bis 1024, 1026 bis 1032 und 1026' bis 1032' nicht ausgeführt.
  • Ein oder mehrere der Schritte des Verfahrens 1000 werden mit einer Verarbeitungsvorrichtung, z. B. einem Prozessor 1202 (12), ausgeführt, der so konfiguriert ist, dass er Befehle zum Erzeugen eines Layoutentwurfs (z. B. der ersten Layoutentwürfe 300A und 300B, der zweiten Layoutentwürfe 400A und 400B oder der Layoutentwürfe 600 bis 800) ausführt. Bei einigen Ausführungsformen werden die ersten Layoutentwürfe 300A und 300B, die zweiten Layoutentwürfe 400A und 400B oder die Layoutentwürfe 600 bis 800 in einem Speicher, z. B. einem nichtflüchtigen maschinenlesbaren Medium 1204 (12), als ein Layoutentwurf 1216 gespeichert. Bei einigen Ausführungsformen werden ein oder mehrere Schritte der Verfahren 900 und 1000 mit der gleichen Verarbeitungsvorrichtung wie derjenigen ausgeführt, die in einem oder mehreren anderen Schritten der Verfahren 900 und 1000 verwendet wird. Bei einigen Ausführungsformen wird eine andere Verarbeitungsvorrichtung als diejenige, die zum Ausführen eines oder mehrerer anderer Schritte der Verfahren 900 und 1000 verwendet wird, zum Ausführen eines oder mehrerer Schritte der Verfahren 900 und 1000 verwendet.
  • Bei einigen Ausführungsformen werden bei dem Verfahren 1000 ein oder mehrere Layoutentwürfe (z. B. die ersten Layoutentwürfe 300A und 300B, die zweiten Layoutentwürfe 400A und 400B oder die Layoutentwürfe 600 bis 800) erzeugt, die eine kleinere Fläche als bei anderen Ansätzen einnehmen.
  • 11 ist ein Blockschaltbild einer IC-Herstellungsanlage 1100 (IC: integrierter Schaltkreis) und eines damit assoziierten IC-Herstellungsablaufs, gemäß mindestens einer Ausführungsform der vorliegenden Erfindung.
  • In 11 weist die IC-Herstellungsanlage 1100 mehrere Einheiten auf, wie etwa ein Entwurfshaus 1120, ein Maskenhaus 1130 und einen IC-Hersteller („Chipfabrik“) 1140, die miteinander in den Entwurfs-, Entwicklungs- und Herstellungszyklen und/oder bei den Dienstleistungen interagieren, die mit der Herstellung eines IC-Bauelements 1160 verbunden sind. Die Einheiten in der Anlage 1100 sind durch ein Kommunikationsnetzwerk verbunden. Bei einigen Ausführungsformen ist das Kommunikationsnetzwerk ein einzelnes Netzwerk. Bei einigen Ausführungsformen umfasst das Kommunikationsnetzwerk verschiedene Netzwerke, wie etwa ein Intranet und das Internet. Das Kommunikationsnetzwerk umfasst drahtgebundene und/oder drahtlose Kommunikationskanäle. Jede Einheit interagiert mit einer oder mehreren der anderen Einheiten und stellt Dienste für eine oder mehrere der anderen Einheiten bereit und/oder empfängt Dienste von diesen. Bei einigen Ausführungsformen sind zwei oder mehr der Einheiten Entwurfshaus 1120, Maskenhaus 1130 und IC-Fabrik 1140 im Besitz eines einzigen größeren Unternehmens. Bei einigen Ausführungsformen bestehen zwei oder mehr der Einheiten Entwurfshaus 1120, Maskenhaus 1130 und IC-Fabrik 1140 in einer gemeinsamen Einrichtung nebeneinander und nutzen gemeinsame Ressourcen.
  • Das Entwurfshaus (oder Entwurfs-Team) 1120 erzeugt ein IC-Entwurfslayout 1122. Das IC-Entwurfslayout 1122 weist verschiedene geometrische Strukturen auf, die für das IC-Bauelement 1160 konzipiert sind. Die geometrischen Strukturen entsprechen Strukturen von Metall-, Oxid- oder Halbleiterschichten, die die verschiedenen Komponenten des herzustellenden IC-Bauelements 1160 bilden. Die verschiedenen Schichten verbinden sich zu verschiedenen IC-Strukturelementen. Zum Beispiel weist ein Teil des IC-Entwurfslayouts 1122 verschiedene IC-Strukturelemente auf, wie etwa einen aktiven Bereich, eine Gate-Elektrode, eine Source-Elektrode und eine Drain-Elektrode, Metallleitungen oder Durchkontaktierungen einer Zwischenschichtverbindung und Öffnungen für Bondpads, die in einem Halbleitersubstrat (wie etwa einem Siliziumwafer) und verschiedenen Materialschichten, die auf dem Halbleitersubstrat angeordnet sind, hergestellt werden sollen. Das Entwurfshaus 1120 implementiert ein passendes Entwurfsverfahren für die Herstellung des IC-Entwurfslayouts 1122. Das Entwurfsverfahren umfasst einen oder mehrere der Schritte Logikentwurf, physischer Entwurf und Platzierung und Leitungsführung. Das IC-Entwurfslayout 1122 wird in einer oder mehreren Datendateien mit Informationen der geometrischen Strukturen dargestellt. Das IC-Entwurfslayout 1122 kann zum Beispiel in einem GDSII-Dateiformat oder einem DFII-Dateiformat dargestellt werden.
  • In dem Maskenhaus 1130 werden eine Maskendatenaufbereitung 1132 und eine Maskenherstellung 1134 durchgeführt. Das Maskenhaus 1130 verwendet das IC-Entwurfslayout 1122 zur Herstellung einer oder mehrerer Masken, die für die Herstellung der verschiedenen Schichten des IC-Bauelements 1160 entsprechend dem IC-Entwurfslayout 1122 genutzt werden sollen. Das Maskenhaus 1130 führt die Maskendatenaufbereitung 1132 durch, bei der das IC-Entwurfslayout 1122 in eine repräsentative Datendatei (RDF) übersetzt wird. Bei der Maskendatenaufbereitung 1132 wird die RDF für die Maskenherstellung 1134 bereitgestellt. Bei der Maskenherstellung 1134 wird ein Maskenschreiber verwendet. Ein Maskenschreiber wandelt die RDF in ein Bild auf einem Substrat um, wie etwa auf einer Maske (Retikel) oder einem Halbleiterwafer. Das IC-Entwurfslayout 1122 wird bei der Maskendatenaufbereitung 1132 so manipuliert, dass es bestimmten Eigenschaften des Maskenschreibers entspricht und/oder Anforderungen der IC-Fabrik 1140 erfüllt. In 11 sind die Maskendatenaufbereitung 1132 und die Maskenherstellung 1134 als getrennte Elemente dargestellt. Bei einigen Ausführungsformen können die Maskendatenaufbereitung 1132 und die Maskenherstellung 1134 kollektiv als Maskendatenaufbereitung bezeichnet werden.
  • Bei einigen Ausführungsformen umfasst die Maskendatenaufbereitung 1132 eine Optical Proximity Correction (OPC), für die Methoden zur Verbesserung der Lithografie verwendet werden, um Bildfehler auszugleichen, wie etwa solche, die durch Beugung, Interferenz, andere Prozess-Effekte und dergleichen entstehen können. Durch die OPC wird das IC-Entwurfslayout 1122 justiert. Bei einigen Ausführungsformen umfasst die Maskendatenaufbereitung 1132 weiterhin Methoden zur Verbesserung der Auflösung (resolution enhancement techniques; RET), wie etwa außeraxiale Beleuchtung, Teilauflösungs-Unterstützungselemente, Phasenverschiebungsmasken, andere geeignete Methoden und dergleichen, oder Kombinationen davon. Bei einigen Ausführungsformen wird auch die inverse Lithografie-Technologie (ILT) verwendet, die die OPC als ein inverses Abbildungsproblem behandelt.
  • Bei einigen Ausführungsformen wird bei der Maskendatenaufbereitung 1132 ein Maskenregelprüfer (mask rule checker; MRC) verwendet, der das IC-Entwurfslayout, das Prozesse in der OPC durchlaufen hat, mit einer Gruppe von Masken-Erzeugungsregeln überprüft, die bestimmte geometrische und/oder Verbindungseinschränkungen enthalten, um ausreichende Spannen zu gewährleisten, um der Veränderlichkeit bei Halbleiterherstellungsprozessen und dergleichen Rechnung zu tragen. Um die Masken-Erzeugungsregeln einzuhalten, modifiziert bei einigen Ausführungsformen der MRC das IC-Entwurfslayout 1122, um Beschränkungen während der Maskenherstellung 1134 auszugleichen, die einen Teil der von der OPC durchgeführten Modifikationen rückgängig machen können.
  • Bei einigen Ausführungsformen umfasst die Maskendatenaufbereitung 1132 eine Prüfung des lithografischen Prozesses (lithography process checking; LPC), bei der die Bearbeitung simuliert wird, die von der IC-Fabrik 1140 implementiert wird, um das IC-Bauelement 1160 herzustellen. Bei der LPC wird diese Bearbeitung auf Grund des IC-Entwurfslayouts 1122 simuliert, um ein simuliertes hergestelltes Bauelement, wie etwa das IC-Bauelement 1160, zu erzeugen. Die Bearbeitungsparameter bei der LPC-Simulation können Parameter, die mit verschiedenen Prozessen des IC-Herstellungszyklus assoziiert sind, Parameter, die mit Anlagen assoziiert sind, die für die Herstellung des IC verwendet werden, und/oder andere Aspekte des Herstellungsprozesses umfassen. Bei der LPC werden verschiedene Faktoren berücksichtigt, wie etwa Zwischenbildkontrast, Tiefenschärfe (DOF), Maskenfehler-Verbesserungsfaktor (mask error enhancement factor; MEEF), andere geeignete Faktoren und dergleichen, oder Kombinationen davon. Bei einigen Ausführungsformen müssen in dem Fall, dass nach der Erzeugung eines simulierten hergestellten Bauelements durch die LPC das simulierte Bauelement hinsichtlich der Form zu weit von der Einhaltung der Entwurfsregeln entfernt ist, die OPC und/oder MRC wiederholt werden, um das IC-Entwurfslayout 1122 weiter zu verbessern.
  • Es dürfte klar sein, dass die vorstehende Beschreibung der Maskendatenaufbereitung 1132 der Klarheit halber vereinfacht worden ist. Bei einigen Ausführungsformen umfasst die Maskendatenaufbereitung 1132 weitere Funktionen, wie etwa eine logische Operation (LOP), um das IC-Entwurfslayout 1122 entsprechend den Herstellungsregeln zu modifizieren. Darüber hinaus können die Prozesse, die für das IC-Entwurfslayout 1122 während der Maskendatenaufbereitung 1132 verwendet werden, in verschiedenen Reihenfolgen ausführt werden.
  • Nach der Maskendatenaufbereitung 1132 und während der Maskenherstellung 1134 wird eine Maske oder eine Gruppe von Masken auf der Grundlage des modifizierten IC-Entwurfslayouts hergestellt. Bei einigen Ausführungsformen wird ein Elektronenstrahl oder ein Mechanismus mit mehreren Elektronenstrahlen zum Herstellen einer Struktur auf einer Maske (Fotomaske oder Retikel) auf der Grundlage des modifizierten IC-Entwurfslayouts verwendet. Die Maske kann mit verschiedenen Technologien hergestellt werden. Bei einigen Ausführungsformen wird die Maske unter Verwendung der Binärtechnologie hergestellt. Bei einigen Ausführungsformen weist eine Maskenstruktur opake Bereiche und transparente Bereiche auf. Ein Strahlungsstrahl, wie etwa ein Ultraviolett(UV)-Strahl, der zum Belichten der lichtempfindlichen Materialschicht (z. B. Fotoresist) verwendet wird, die auf einen Wafer aufgebracht worden ist, wird von dem opaken Bereich blockiert und wird durch die transparenten Bereiche durchgelassen. In einem Beispiel weist eine Binärmaske ein transparentes Substrat (z. B. Quarzglas) und ein opakes Material (z. B. Chrom) auf, das in den opaken Bereichen der Maske aufgebracht ist. In einem anderen Beispiel wird die Maske unter Verwendung der Phasenverschiebungstechnologie hergestellt. Bei der Phasenverschiebungsmaske (phase shift mask; PSM) sind verschiedene Elemente in der Struktur, die auf der Maske hergestellt wird, so konfiguriert, dass sie eine Phasendifferenz haben, die zur Verbesserung der Auflösung und der Bildqualität geeignet ist. In verschiedenen Beispielen kann die Phasenverschiebungsmaske eine Maske mit abgeschwächter Phasenverschiebung oder eine Maske mit veränderlicher Phasenverschiebung sein. Die von der Maskenherstellung 1144 erzeugten Masken werden in verschiedenen Prozessen verwendet. Solche Masken werden zum Beispiel in einem Ionenimplantationsprozess zum Herstellen von verschiedenen dotierten Bereichen in einem Halbleiterwafer, in einem Ätzprozess zum Herstellen von verschiedenen Ätzbereichen in dem Halbleiterwafer und/oder in anderen geeigneten Prozessen verwendet.
  • Die IC-Fabrik 1140 ist ein IC-Herstellungsbetrieb, der eine oder mehrere Produktionsanlagen für die Herstellung vieler verschiedener IC-Produkte hat. Bei einigen Ausführungsformen ist die IC-Fabrik 1140 eine Halbleiter-Fertigungsanlage. Es kann zum Beispiel eine Produktionsanlage für die Front-End-Herstellung mehrerer IC-Produkte [FEOL-Herstellung; FEOL: Front End of Line] geben, während eine zweite Produktionsanlage die Back-End-Herstellung für das Verbinden und Packaging der IC-Produkte [BEOL-Herstellung; BEOL: Back End of Line] ermöglicht und eine dritte Produktionsanlage andere Dienstleistungen für den Fertigungsbetrieb bereitstellt.
  • In der IC-Fabrik 1140 werden die eine oder die mehreren Masken, die von dem Maskenhaus 1130 hergestellt werden, zum Herstellen des IC-Bauelements 1160 verwendet. Somit verwendet die IC-Fabrik 1140 zumindest indirekt das IC-Entwurfslayout 1122 zum Herstellen des IC-Bauelements 1160. Bei einigen Ausführungsformen wird ein Halbleiterwafer 1142 von der IC-Fabrik 1140 unter Verwendung der einen oder mehreren Masken zum Herstellen des IC-Bauelements 1160 hergestellt. Der Halbleiterwafer 1142 umfasst ein Siliziumsubstrat oder ein anderes geeignetes Substrat, auf dem Materialschichten hergestellt sind. Der Halbleiterwafer umfasst weiterhin einen oder mehrere verschiedene dotierte Bereiche, dielektrische Strukturelemente, Mehrebenen-Verbindungen und dergleichen (die in späteren Herstellungsschritten hergestellt werden).
  • Einzelheiten zu einer IC-Herstellungsanlage (z. B. der IC-Herstellungsanlage 1100 von 11) und ein mit dieser assoziierter IC-Herstellungsablauf sind z. B. in den folgenden Dokumenten zu finden, die durch Bezugnahme aufgenommen sind: US-Patent Nr. 9.256.709 , das am 9. Februar 2016 erteilt wurde; US-Vorerteilungsveröffentlichung Nr. 20150278429 , die am 1. Oktober 2015 veröffentlicht wurde; US-Vorerteilungsveröffentlichung Nr. 20140040838 , die am 6. Februar 2014 veröffentlicht wurde; und US-Patent Nr. 7.260.442 , das am 21. August 2007 erteilt wurde.
  • 12 ist ein Blockschaltbild eines Systems 1200 zum Erstellen eines IC-Layoutentwurfs gemäß einigen Ausführungsformen. Bei einigen Ausführungsformen erzeugt oder platziert das Systems1200 einen oder mehrere Layoutentwürfe, die hier beschrieben sind. Das Systems1200 weist einen Hardware-Prozessor 1202 und ein nichtflüchtiges maschinenlesbares Speichermedium 1204 auf, das mit einem Computerprogrammcode 1206, d. h. einer Gruppe von ausführbaren Befehlen, codiert ist (d. h. diesen speichert). Das maschinenlesbare Speichermedium 1204 ist zum Anschließen an Maschinen zum Herstellen des integrierten Schaltkreises (z. B. der Speicherzellenmatrix) konfiguriert. Der Prozessor 1202 ist über einen Bus 1208 mit dem maschinenlesbaren Speichermedium 1204 elektrisch verbunden. Der Prozessor 1202 ist über den Bus 1208 außerdem mit einer E/A-Schnittstelle 1210 elektrisch verbunden. Außerdem ist eine Netzwerk-Schnittstelle 1212 über den Bus 1208 mit dem Prozessor 1202 elektrisch verbunden. Die Netzwerk-Schnittstelle 1212 ist mit einem Netzwerk 1214 verbunden, sodass der Prozessor 1202 und das maschinenlesbare Speichermedium 1204 über das Netzwerk 1214 mit externen Elementen verbunden werden können. Der Prozessor 1202 ist so konfiguriert, dass er den Computerprogrammcode 1206, der in dem maschinenlesbaren Speichermedium 1204 codiert ist, abarbeitet, sodass das System 1200 zum Ausführen eines Teils der Schritte oder aller Schritte verwendet werden kann, die bei dem Verfahren 900 oder 1000 beschrieben worden sind.
  • Bei einigen Ausführungsformen ist der Prozessor 1202 eine zentrale Verarbeitungseinheit (CPU), ein Multiprozessor, ein verteiltes Verarbeitungssystem, eine anwendungsspezifische integrierte Schaltung (ASIC) und/oder eine geeignete Verarbeitungseinheit.
  • Bei einigen Ausführungsformen ist das maschinenlesbare Speichermedium 1204 ein elektronisches, magnetisches, optisches, elektromagnetisches, Infrarot- und/oder Halbleitersystem (oder -Vorrichtung oder -Bauelement). Das maschinenlesbare Speichermedium 1204 umfasst zum Beispiel einen Halbleiter- oder Festspeicher, ein Magnetband, eine Wechselplatte, einen Direktzugriffsspeicher (RAM), einen Festspeicher (ROM), eine starre Magnetplatte und/oder eine optische Platte. Bei einigen Ausführungsformen, bei denen optische Platten verwendet werden, umfasst das maschinenlesbare Speichermedium 1204 einen Compact Disc Read-Only Memory (CD-ROM), eine Compact Disc Read/Write (CD-R/W) und/oder eine digitale Videoplatte (DVD).
  • Bei einigen Ausführungsformen speichert das Speichermedium 1204 den Computerprogrammcode 1206, der so konfiguriert ist, dass er das System 1200 veranlasst, das Verfahren 900 oder 1000 auszuführen. Bei einigen Ausführungsformen speichert das Speichermedium 1204 außerdem Informationen, die zum Durchführen des Verfahrens 900 oder 1000 benötigt werden, sowie Informationen, die beim Durchführen des Verfahrens 900 oder 1000 erzeugt werden, wie etwa den Layoutentwurf 1216 und eine Benutzerschnittstelle 1218, und/oder eine Gruppe von ausführbaren Befehlen zum Ausführen der Schritte des Verfahrens 900 oder 1000. Bei einigen Ausführungsformen umfasst der Layoutentwurf 1216 einen oder mehrere der Layoutentwürfe 300A, 300B, 400A, 400B und 600 bis 800.
  • Bei einigen Ausführungsformen speichert das Speichermedium 1204 Befehle (z. B. den Computerprogrammcode 1206) zum Anschließen an Herstellungsmaschinen. Mit den Befehlen (z. B. dem Computerprogrammcode 1206) kann der Prozessor 1202 Herstellungsbefehle erzeugen, die von den Herstellungsmaschinen gelesen werden können, um das Verfahren 900 oder 1000 während eines Herstellungsprozesses effektiv zu implementieren.
  • Das System 1200 weist eine E/A-Schnittstelle 1210 auf. Die E/A-Schnittstelle 1210 ist mit externen Schaltungen verbunden. Bei einigen Ausführungsformen umfasst die E/A-Schnittstelle 1210 eine Tastatur, ein Keypad, eine Maus, einen Trackball, ein Touchpad und/oder Cursor-Richtungstasten zum Senden von Informationen und Befehlen an den Prozessor 1202.
  • Das System 1200 weist außerdem eine Netzwerk-Schnittstelle 1212 auf, die mit dem Prozessor 1202 verbunden ist. Die Netzwerk-Schnittstelle 1212 ermöglicht es dem System 1200, mit dem Netzwerk 1214 zu kommunizieren, mit dem ein oder mehrere andere Computersysteme verbunden sind. Die Netzwerk-Schnittstelle 1212 umfasst drahtlose Netzwerk-Schnittstellen, wie etwa BLUETOOTH, WIFI, WIMAX, GPRS oder WCDMA, oder drahtgebundene Netzwerk-Schnittstellen, wie etwa ETHERNET, USB oder IEEE-1394. Bei einigen Ausführungsformen wird das Verfahren 900 oder 1000 in zwei oder mehr Systemen 1200 implementiert, und zwischen verschiedenen Systemen 1200 werden über das Netzwerk 1214 Informationen, wie etwa Layoutentwurf und Benutzerschnittstelle, ausgetauscht.
  • Das System 1200 ist so konfiguriert, dass es Informationen zu einem Layoutentwurf über die E/A-Schnittstelle 1210 oder die Netzwerk-Schnittstelle 1212 empfängt. Die Informationen werden mit dem Bus 1208 an den Prozessor 1202 gesendet, um einen Layoutentwurf zum Herstellen der Speicherzelle 100, der Speicherzellenmatrix 200A oder 200B und/oder der Speicherzellenmatrix 500A oder 500B festzulegen. Der Layoutentwurf wird dann in dem maschinenlesbaren Medium 1204 als Layoutentwurf 1216 gespeichert. Das System 1200 ist so konfiguriert, dass es Informationen zu einer Benutzerschnittstelle über die E/A-Schnittstelle 1210 oder die Netzwerk-Schnittstelle 1212 empfängt. Die Informationen werden in dem maschinenlesbaren Medium 1204 als Benutzerschnittstelle 1218 gespeichert.
  • Bei einigen Ausführungsformen wird das Verfahren 900 oder 1000 als eine unabhängige Software-Anwendung zur Abarbeitung durch einen Prozessor implementiert. Bei einigen Ausführungsformen wird das Verfahren 900 oder 1000 als eine Software-Anwendung implementiert, die Bestandteil einer weiteren Software-Anwendung ist. Bei einigen Ausführungsformen wird das Verfahren 900 oder 1000 als ein Plug-in für eine Software-Anwendung implementiert. Bei einigen Ausführungsformen wird das Verfahren 900 oder 1000 als eine Software-Anwendung implementiert, die Bestandteil eines EDA-Tools ist. Bei einigen Ausführungsformen wird das Verfahren 900 oder 1000 als eine Software-Anwendung implementiert, die von einem EDA-Tool verwendet wird. Bei einigen Ausführungsformen wird das EDA-Tool zum Erzeugen eines Layouts des integrierten Schaltkreiselements oder der Speicherzellenmatrix verwendet. Bei einigen Ausführungsformen wird das Layout auf einem nichtflüchtigen maschinenlesbaren Medium gespeichert. Bei einigen Ausführungsformen wird das Layout mit einem Tool wie VIRTUOSO®, das von der Fa. CADENCE DESIGN SYSTEMS, Inc. lieferbar ist, oder mit einem anderen geeigneten Layout-Erzeugungs-Tool erzeugt. Bei einigen Ausführungsformen wird das Layout auf Grund einer Netzliste erzeugt, die anhand des schematischen Entwurfs erzeugt wird. Bei einigen Ausführungsformen wird das Verfahren 900 oder 1000 mit einer Herstellungsvorrichtung zum Herstellen eines integrierten Schaltkreises (z. B. der Speicherzelle 100 oder der Speicherzellenmatrizen 300A und 300B, 400A und 400B, 600 bis 800 oder 500A bis 500H) unter Verwendung einer Gruppe von Masken implementiert, die auf Grund eines oder mehrerer Layoutentwürfe (z. B. der Layoutentwürfe 300A, 300B, 400A, 400B und 600 bis 800) hergestellt werden, die von dem System 1200 erzeugt werden.
  • Das System 1200 von 12 erzeugt Layoutentwürfe (z. B. die Layoutentwürfe 300A, 300B, 400A, 400B oder 600 bis 800) der Speicherzelle 100, der Speicherzellenmatrix 200A oder 200B oder der Speicherzellenmatrix 500A oder 500B, die eine kleinere Fläche als bei anderen Ansätzen einnehmen.
  • Ein Aspekt dieser Beschreibung betrifft ein Verfahren zum Herstellen einer Speicherzellenmatrix. Das Verfahren umfasst das Erzeugen einer ersten Gruppe von Kachelelementen, die in einer ersten Richtung verläuft; und das Erzeugen einer zweiten Gruppe von Kachelelementen, die in der ersten Richtung verläuft und von der ersten Gruppe von Kachelelementen in einer zweiten Richtung getrennt ist, wobei mindestens einer der vorgenannten Schritte von einem Hardware-Prozessor ausgeführt wird und mindestens einer der vorgenannten Layoutentwürfe auf einem nichtflüchtigen maschinenlesbaren Medium gespeichert wird. Das Verfahren umfasst weiterhin das Herstellen der Speicherzellenmatrix auf Grund des ersten Layoutentwurfs und/oder des zweiten Layoutentwurfs. Bei einigen Ausführungsformen umfasst das Erzeugen der ersten Gruppe von Kachelelementen das Erzeugen eines ersten Layoutentwurfs einer ersten Gruppe von Speicherzellen, wobei jedes Kachelelement der ersten Gruppe von Kachelelementen dem ersten Layoutentwurf der ersten Gruppe von Speicherzellen entspricht und gegenüber einem benachbarten Kachelelement der ersten Gruppe von Kachelelementen in einer zweiten Richtung versetzt ist, die von der ersten Richtung verschieden ist. Bei einigen Ausführungsformen umfasst das Erzeugen der zweiten Gruppe von Kachelelementen das Erzeugen eines zweiten Layoutentwurfs einer zweiten Gruppe von Speicherzellen, wobei jedes Kachelelement der zweiten Gruppe von Kachelelementen dem zweiten Layoutentwurf der zweiten Gruppe von Speicherzellen entspricht und gegenüber einem benachbarten Kachelelement der zweiten Gruppe von Kachelelementen in der zweiten Richtung versetzt ist. Bei einigen Ausführungsformen wechseln sich die erste Gruppe von Kachelelementen und die zweite Gruppe von Kachelelementen miteinander in der zweiten Richtung ab, wobei jedes Kachelelement der ersten Gruppe von Kachelelementen und jedes Kachelelement der zweiten Gruppe von Kachelelementen in einer dritten Richtung verläuft, die von der ersten Richtung und der zweiten Richtung verschieden ist. Bei einigen Ausführungsformen ist die erste Gruppe von Speicherzellen mindestens in einer ersten Zeile und einer zweiten Zeile der Speicherzellenmatrix angeordnet. Bei einigen Ausführungsformen ist die zweite Gruppe von Speicherzellen mindestens in einer dritten Zeile und einer vierten Zeile der Speicherzellenmatrix angeordnet. Bei einigen Ausführungsformen umfasst die erste Gruppe von Speicherzellen 4 Speicherzellen, und die zweite Gruppe von Speicherzellen umfasst ebenfalls 4 Speicherzellen. Bei einigen Ausführungsformen umfasst jede Speicherzelle der ersten Gruppe von Speicherzellen eine Speicherzelle eines synchronen Direktzugriffsspeichers (SRAM) mit fünf Transistoren (5T), und jede Speicherzelle der zweiten Gruppe von Speicherzellen umfasst ebenfalls eine 5T-SRAM-Speicherzelle. Bei einigen Ausführungsformen umfasst das Erzeugen des ersten Layoutentwurfs der ersten Gruppe von Speicherzellen die folgenden Schritte: Erzeugen eines ersten Teils des ersten Layoutentwurfs, wobei der erste Teil des ersten Layoutentwurfs dem Herstellen einer ersten Speicherzelle der ersten Gruppe von Speicherzellen der Speicherzellenmatrix entspricht; Erzeugen eines zweiten Teils des ersten Layoutentwurfs, wobei der zweite Teil des ersten Layoutentwurfs dem Herstellen einer zweiten Speicherzelle der ersten Gruppe von Speicherzellen der Speicherzellenmatrix entspricht; Erzeugen eines dritten Teils des ersten Layoutentwurfs, wobei der dritte Teil des ersten Layoutentwurfs dem Herstellen einer dritten Speicherzelle der ersten Gruppe von Speicherzellen der Speicherzellenmatrix entspricht; und Erzeugen eines vierten Teils des ersten Layoutentwurfs, wobei der vierte Teil des ersten Layoutentwurfs dem Herstellen einer vierten Speicherzelle der ersten Gruppe von Speicherzellen der Speicherzellenmatrix entspricht, wobei der erste Teil des ersten Layoutentwurfs und der zweite Teil des ersten Layoutentwurfs Spiegelbilder voneinander in Bezug zu der zweiten Richtung sind und der dritte Teil des ersten Layoutentwurfs und der vierte Teil des ersten Layoutentwurfs Spiegelbilder voneinander in Bezug zu der zweiten Richtung sind. Bei einigen Ausführungsformen umfasst das Erzeugen des zweiten Layoutentwurfs der zweiten Gruppe von Speicherzellen die folgenden Schritte: Erzeugen eines ersten Teils des zweiten Layoutentwurfs, wobei der erste Teil des zweiten Layoutentwurfs dem Herstellen einer ersten Speicherzelle der zweiten Gruppe von Speicherzellen der Speicherzellenmatrix entspricht; Erzeugen eines zweiten Teils des zweiten Layoutentwurfs, wobei der zweite Teil des zweiten Layoutentwurfs dem Herstellen einer zweiten Speicherzelle der zweiten Gruppe von Speicherzellen der Speicherzellenmatrix entspricht; Erzeugen eines dritten Teils des zweiten Layoutentwurfs, wobei der dritte Teil des zweiten Layoutentwurfs dem Herstellen einer dritten Speicherzelle der zweiten Gruppe von Speicherzellen der Speicherzellenmatrix entspricht; und Erzeugen eines vierten Teils des zweiten Layoutentwurfs, wobei der vierte Teil des zweiten Layoutentwurfs dem Herstellen einer vierten Speicherzelle der zweiten Gruppe von Speicherzellen der Speicherzellenmatrix entspricht, wobei der erste Teil des zweiten Layoutentwurfs und der dritte Teil des zweiten Layoutentwurfs Spiegelbilder voneinander in Bezug zu der dritten Richtung sind und der zweite Teil des zweiten Layoutentwurfs und der vierte Teil des zweiten Layoutentwurfs Spiegelbilder voneinander in Bezug zu der dritten Richtung sind. Bei einigen Ausführungsformen umfasst das Erzeugen des ersten Layoutentwurfs der ersten Gruppe von Speicherzellen oder das Erzeugen des zweiten Layoutentwurfs der zweiten Gruppe von Speicherzellen das Erzeugen einer Gruppe von Aktiver-Bereich-Layoutstrukturen, die dem Herstellen einer Gruppe von aktiven Bereichen der Speicherzellenmatrix entspricht, wobei jede der Layoutstrukturen der Gruppe von Aktiver-Bereich-Layoutstrukturen von einer benachbarten Layoutstruktur der Gruppe von Aktiver-Bereich-Layoutstrukturen in der dritten Richtung durch einen ersten Abstand getrennt ist und die Gruppe von Aktiver-Bereich-Layoutstrukturen in der zweiten Richtung verläuft und auf einer ersten Layoutebene angeordnet ist, wobei das Erzeugen der Gruppe von Aktiver-Bereich-Layoutstrukturen Folgendes umfasst: Erzeugen einer ersten Aktiver-Bereich-Layoutstruktur, Erzeugen einer zweiten Aktiver-Bereich-Layoutstruktur, Erzeugen einer dritten Aktiver-Bereich-Layoutstruktur zwischen der ersten Aktiver-Bereich-Layoutstruktur und der zweiten Aktiver-Bereich-Layoutstruktur, und Erzeugen einer vierten Aktiver-Bereich-Layoutstruktur zwischen der dritten Aktiver-Bereich-Layoutstruktur und der zweiten Aktiver-Bereich-Layoutstruktur, wobei eine Länge der ersten Aktiver-Bereich-Layoutstruktur von einer Länge der zweiten Aktiver-Bereich-Layoutstruktur verschieden ist. Bei einigen Ausführungsformen umfasst das Erzeugen des ersten Layoutentwurfs der ersten Gruppe von Speicherzellen oder das Erzeugen des zweiten Layoutentwurfs der zweiten Gruppe von Speicherzellen Folgendes: Erzeugen einer Gruppe von Gate-Layoutstrukturen, die dem Herstellen einer Gruppe von Gate-Strukturen der Speicherzellenmatrix entspricht, wobei die Gruppe von Gate-Layoutstrukturen in der dritten Richtung verläuft, die Gruppe von Aktiver-Bereich-Layoutstrukturen überlappt und auf einer zweiten Layoutebene angeordnet ist, die von der ersten Layoutebene verschieden ist; und Erzeugen einer ersten Gruppe von Leitfähiges-Element-Layoutstrukturen, die dem Herstellen einer ersten Gruppe von leitfähigen Strukturen der Speicherzellenmatrix entspricht, wobei die erste Gruppe von Leitfähiges-Element-Layoutstrukturen in der dritten Richtung und über der Gruppe von Aktiver-Bereich-Layoutstrukturen und/oder der Gruppe von Gate-Layoutstrukturen verläuft, wobei jede Leitfähiges-Element-Layoutstruktur der ersten Gruppe von Leitfähiges-Element-Layoutstrukturen von einer benachbarten Layoutstruktur der ersten Gruppe von Leitfähiges-Element-Layoutstrukturen in der zweiten Richtung und/oder der dritten Richtung getrennt ist und auf einer dritten Layoutebene angeordnet ist, die von der ersten Layoutebene und der zweiten Layoutebene verschieden ist.
  • Ein weiterer Aspekt dieser Beschreibung betrifft ein Verfahren zum Herstellen einer Speicherzellenmatrix mit einer Speicherzelle. Das Verfahren weist die folgenden Schritte auf: Erzeugen, mit einem Prozessor, eines Layoutentwurfs der Speicherzellenmatrix, wobei das Erzeugen des Layoutentwurfs das Erzeugen einer Gruppe von Aktiver-Bereich-Layoutstrukturen umfasst, die dem Herstellen eine Gruppe von aktiven Bereichen der Speicherzellenmatrix entspricht; Erzeugen eine Gruppe von Gate-Layoutstrukturen, die dem Herstellen einer Gruppe von Gate-Strukturen der Speicherzellenmatrix entspricht; und Erzeugen einer ersten Gruppe von Leitfähiges-Element-Layoutstrukturen, die dem Herstellen einer ersten Gruppe von leitfähigen Strukturen der Speicherzellenmatrix entspricht, wobei mindestens eine der vorgenannten Layoutstrukturen auf einem nichtflüchtigen maschinenlesbaren Medium gespeichert wird und mindestens einer der vorgenannten Schritte von einem Hardware-Prozessor ausgeführt wird. Bei einigen Ausführungsformen ist jede der Layoutstrukturen der Gruppe von Aktiver-Bereich-Layoutstrukturen von einer benachbarten Layoutstruktur der Gruppe von Aktiver-Bereich-Layoutstrukturen in einer ersten Richtung durch einen ersten Abstand getrennt, wobei die Gruppe von Aktiver-Bereich-Layoutstrukturen in einer zweiten Richtung, die von der ersten Richtung verschieden ist, verläuft und auf einer ersten Layoutebene angeordnet ist, wobei das Erzeugen der Gruppe von Aktiver-Bereich-Layoutstrukturen das Erzeugen einer ersten Aktiver-Bereich-Layoutstruktur, die zu einer ersten Seite der Speicherzelle benachbart ist, und das Erzeugen einer zweiten Aktiver-Bereich-Layoutstruktur umfasst, die zu einer zweiten Seite der Speicherzelle benachbart ist, die der ersten Seite der Speicherzelle gegenüberliegt, wobei eine Länge der ersten Aktiver-Bereich-Layoutstruktur von einer Länge der zweiten Aktiver-Bereich-Layoutstruktur verschieden ist. Bei einigen Ausführungsformen verläuft die Gruppe von Gate-Layoutstrukturen in der ersten Richtung, sie überlappt die Gruppe von Aktiver-Bereich-Layoutstrukturen und ist auf einer zweiten Layoutebene angeordnet, die von der ersten Layoutebene verschieden ist. Bei einigen Ausführungsformen verläuft die erste Gruppe von Leitfähiges-Element-Layoutstrukturen in der ersten Richtung und über der Gruppe von Aktiver-Bereich-Layoutstrukturen und/oder der Gruppe von Gate-Layoutstrukturen, wobei jede Leitfähiges-Element-Layoutstruktur der ersten Gruppe von Leitfähiges-Element-Layoutstrukturen von einer benachbarten Layoutstruktur der ersten Gruppe von Leitfähiges-Element-Layoutstrukturen in der ersten Richtung und/oder der zweiten Richtung getrennt ist und auf einer dritten Layoutebene angeordnet ist, die von der ersten Layoutebene und der zweiten Layoutebene verschieden ist. Bei einigen Ausführungsformen umfasst das Verfahren weiterhin das Herstellen der Speicherzellenmatrix auf Grund des Layoutentwurfs. Bei einigen Ausführungsformen umfasst das Erzeugen des Layoutentwurfs der Speicherzellenmatrix weiterhin das Erzeugen einer zweiten Gruppe von Leitfähiges-Element-Layoutstrukturen, die dem Herstellen einer zweiten Gruppe von leitfähigen Strukturen der Speicherzellenmatrix entspricht, wobei die zweite Gruppe von Leitfähiges-Element-Layoutstrukturen in der ersten Richtung verläuft und mindestens die zweite Aktiver-Bereich-Layoutstruktur und die zweite Seite der Speicherzelle überlappt, wobei jede Leitfähiges-Element-Layoutstruktur der zweiten Gruppe von Leitfähiges-Element-Layoutstrukturen von einer benachbarten Layoutstruktur der zweiten Gruppe von Leitfähiges-Element-Layoutstrukturen in der ersten Richtung und/oder der zweiten Richtung getrennt ist und auf einer vierten Layoutebene angeordnet ist, die von der ersten Layoutebene, der zweiten Layoutebene und der dritten Layoutebene verschieden ist. Bei einigen Ausführungsformen umfasst das Erzeugen des Layoutentwurfs der Speicherzellenmatrix weiterhin das Erzeugen einer ersten Gruppe von Durchkontaktierungs-Layoutstrukturen zwischen der ersten Gruppe von Leitfähiges-Element-Layoutstrukturen und der Gruppe von Aktiver-Bereich-Layoutstrukturen, wobei die erste Gruppe von Durchkontaktierungs-Layoutstrukturen dem Herstellen einer ersten Gruppe von Durchkontaktierungen entspricht, die erste Gruppe von Durchkontaktierungen die erste Gruppe von leitfähigen Strukturen mit der Gruppe von aktiven Bereichen verbindet und jede Durchkontaktierungs-Layoutstruktur der ersten Gruppe von Durchkontaktierungs-Layoutstrukturen an der Stelle angeordnet wird, an der jede Leitfähiges-Element-Layoutstruktur der ersten Gruppe von Leitfähiges-Element-Layoutstrukturen jede Aktiver-Bereich-Layoutstruktur der Gruppe von Aktiver-Bereich-Layoutstrukturen überlappt. Bei einigen Ausführungsformen umfasst das Erzeugen des Layoutentwurfs der Speicherzellenmatrix weiterhin das Erzeugen einer zweiten Gruppe von Durchkontaktierungs-Layoutstrukturen zwischen der ersten Gruppe von Leitfähiges-Element-Layoutstrukturen und der Gruppe von Gate-Layoutstrukturen, wobei die zweite Gruppe von Durchkontaktierungs-Layoutstrukturen dem Herstellen einer zweiten Gruppe von Durchkontaktierungen entspricht, die zweite Gruppe von Durchkontaktierungen die erste Gruppe von leitfähigen Strukturen mit der Gruppe von Gates verbindet und eine erste Durchkontaktierungs-Layoutstruktur der zweiten Gruppe von Durchkontaktierungs-Layoutstrukturen an der Stelle angeordnet wird, an der eine erste Leitfähiges-Element-Layoutstruktur der ersten Gruppe von Leitfähiges-Element-Layoutstrukturen eine erste Gate-Layoutstruktur der Gruppe von Gate-Layoutstrukturen überlappt. Bei einigen Ausführungsformen entspricht die erste Aktiver-Bereich-Layoutstruktur einem ersten aktiven Bereich eines ersten p-Transistors. Bei einigen Ausführungsformen entspricht die zweite Aktiver-Bereich-Layoutstruktur einem zweiten aktiven Bereich eines zweiten p-Transistors, der mit dem ersten p-Transistor verbunden ist, und einem Durchgangs-Gate-Transistor, der mit dem zweiten p-Transistor verbunden ist, wobei der Durchgangs-Gate-Transistor, der erste p-Transistor und der zweite p-Transistor jeweils Bestandteil einer Speicherzelle eines synchronen Direktzugriffsspeichers (SRAM) mit fünf Transistoren (5T) sind. Bei einigen Ausführungsformen umfasst das Erzeugen des Layoutentwurfs der Speicherzellenmatrix weiterhin das Erzeugen einer ersten Wannen-Layoutstruktur, die dem Herstellen einer ersten Wanne der Speicherzellenmatrix entspricht, wobei die erste Wanne eine erste Dotandenart hat und die erste Wannen-Layoutstruktur auf einer vierten Layoutebene angeordnet ist, die von der ersten Layoutebene, der zweiten Layoutebene und der dritten Layoutebene verschieden ist, wobei das Erzeugen der ersten Wannen-Layoutstruktur Folgendes umfasst: Erzeugen einer ersten Layoutstruktur, die dem Herstellen eines ersten Teils der ersten Wanne entspricht, wobei die erste Layoutstruktur in der zweiten Richtung verläuft, zu der ersten Seite der Speicherzelle benachbart ist und unter der ersten Aktiver-Bereich-Layoutstruktur angeordnet ist; und Erzeugen einer zweiten Layoutstruktur, die dem Herstellen eines zweiten Teils der ersten Wanne entspricht, wobei die zweite Layoutstruktur in der zweiten Richtung verläuft, zu der zweiten Seite der Speicherzelle benachbart ist und unter der zweiten Aktiver-Bereich-Layoutstruktur angeordnet ist. Bei einigen Ausführungsformen umfasst das Erzeugen des Layoutentwurfs der Speicherzellenmatrix weiterhin das Erzeugen einer zweiten Wannen-Layoutstruktur, die dem Herstellen einer zweiten Wanne der Speicherzellenmatrix entspricht, wobei die zweite Wanne eine zweite Dotandenart hat, die von der ersten Dotandenart verschieden ist, und die zweite Wannen-Layoutstruktur auf einer vierten Layoutebene, zwischen der ersten Layoutstruktur und der zweiten Layoutstruktur, und unter einer dritten Aktiver-Bereich-Layoutstruktur der Gruppe von Aktiver-Bereich-Layoutstrukturen und einer vierten Aktiver-Bereich-Layoutstruktur der Gruppe von Aktiver-Bereich-Layoutstrukturen angeordnet ist. Bei einigen Ausführungsformen entspricht die erste Aktiver-Bereich-Layoutstruktur einem ersten aktiven Bereich eines ersten n-Transistors. Bei einigen Ausführungsformen entspricht die zweite Aktiver-Bereich-Layoutstruktur einem zweiten aktiven Bereich eines zweiten n-Transistors, der mit dem ersten n-Transistor verbunden ist, und einem zweiten aktiven Bereich eines Durchgangs-Gate-Transistors, der mit dem zweiten n-Transistor verbunden ist, wobei der Durchgangs-Gate-Transistor, der erste n-Transistor und der zweite n-Transistor jeweils Bestandteil einer Speicherzelle eines synchronen Direktzugriffsspeichers (SRAM) mit fünf Transistoren (5T) sind. Bei einigen Ausführungsformen umfasst das Erzeugen des Layoutentwurfs der Speicherzellenmatrix weiterhin das Erzeugen einer ersten Wannen-Layoutstruktur, die dem Herstellen einer ersten Wanne der Speicherzellenmatrix entspricht, wobei die erste Wanne eine erste Dotandenart hat und die erste Wannen-Layoutstruktur auf einer vierten Layoutebene angeordnet ist, die von der ersten Layoutebene, der zweiten Layoutebene und der dritten Layoutebene verschieden ist, wobei das Erzeugen der ersten Wannen-Layoutstruktur Folgendes umfasst: Erzeugen einer ersten Layoutstruktur, die dem Herstellen eines ersten Teils der ersten Wanne entspricht, wobei die erste Layoutstruktur in der zweiten Richtung verläuft, zu der ersten Seite der Speicherzelle benachbart ist und unter der ersten Aktiver-Bereich-Layoutstruktur angeordnet ist; und Erzeugen einer zweiten Layoutstruktur, die dem Herstellen eines zweiten Teils der ersten Wanne entspricht, wobei die zweite Layoutstruktur in der zweiten Richtung verläuft, zu der zweiten Seite der Speicherzelle benachbart ist und unter einem ersten Teil der zweiten Aktiver-Bereich-Layoutstruktur angeordnet ist. Bei einigen Ausführungsformen umfasst das Erzeugen des Layoutentwurfs der Speicherzellenmatrix weiterhin das Erzeugen einer zweiten Wannen-Layoutstruktur, die dem Herstellen einer zweiten Wanne der Speicherzellenmatrix entspricht, wobei die zweite Wanne eine zweite Dotandenart hat, die von der ersten Dotandenart verschieden ist, und die zweite Wannen-Layoutstruktur auf der vierten Layoutebene angeordnet ist, wobei das Erzeugen der zweiten Wannen-Layoutstruktur Folgendes umfasst: Erzeugen einer dritten Layoutstruktur, die dem Herstellen eines ersten Teils der zweiten Wanne entspricht, wobei die dritte Layoutstruktur in der zweiten Richtung verläuft und zwischen der ersten Layoutstruktur und der zweiten Layoutstruktur und unter einer dritte Aktiver-Bereich-Layoutstruktur der Gruppe von Aktiver-Bereich-Layoutstrukturen und unter einer vierten Aktiver-Bereich-Layoutstruktur der Gruppe von Aktiver-Bereich-Layoutstrukturen angeordnet ist; und Erzeugen einer vierten Layoutstruktur, die dem Herstellen eines zweiten Teils der zweiten Wanne entspricht, wobei die vierte Layoutstruktur in der zweiten Richtung verläuft, zu der zweiten Seite der Speicherzelle benachbart ist und unter einem zweiten Teil der zweiten Aktiver-Bereich-Layoutstruktur angeordnet ist.
  • Ein noch weiterer Aspekt dieser Beschreibung betrifft eine Speicherzellenmatrix. Die Speicherzellenmatrix weist Folgendes auf: eine erste Speicherzelle, die in einer ersten Zeile in einer ersten Richtung angeordnet ist; und eine zweite Speicherzelle, die in einer zweiten Zeile in der ersten Richtung angeordnet ist. Bei einigen Ausführungsformen weist die erste Speicherzelle oder die zweite Speicherzelle eine Gruppe von aktiven Bereichen auf, wobei jeder der aktiven Bereiche der Gruppe von aktiven Bereichen von einem benachbarten aktiven Bereich der Gruppe von aktiven Bereichen in der ersten Richtung durch einen ersten Abstand getrennt ist, wobei die Gruppe von aktiven Bereichen in einer zweiten Richtung, die von der ersten Richtung verschieden ist, verläuft und auf einer ersten Ebene angeordnet ist. Bei einigen Ausführungsformen weist die Gruppe von aktiven Bereichen einen ersten aktiven Bereich, der zu einer ersten Seite der ersten Speicherzelle benachbart ist, und einen zweiten aktiven Bereich auf, der zu einer zweiten Seite der ersten Speicherzelle benachbart ist, die der ersten Seite der ersten Speicherzelle gegenüberliegt, wobei eine Länge des ersten aktiven Bereichs von einer Länge des zweiten aktiven Bereichs verschieden ist. Bei einigen Ausführungsformen weist die erste Speicherzelle oder die zweite Speicherzelle eine Gruppe von Gates auf, die in der ersten Richtung verläuft, die Gruppe von aktiven Bereichen überlappt und auf einer zweiten Ebene angeordnet ist, die von der ersten Ebene verschieden ist. Bei einigen Ausführungsformen weist die erste Speicherzelle oder die zweite Speicherzelle eine erste Gruppe von leitfähigen Strukturen auf, die in der ersten Richtung und über der Gruppe von aktiven Bereichen und/oder der Gruppe von Gates verläuft, wobei jede leitfähige Struktur der ersten Gruppe von leitfähigen Strukturen von einer benachbarten leitfähigen Struktur der ersten Gruppe von leitfähigen Strukturen in der ersten Richtung und/oder der zweiten Richtung getrennt ist und auf einer dritten Ebene angeordnet ist, die von der ersten Ebene und der zweiten Ebene verschieden ist. Bei einigen Ausführungsformen weist die Speicherzellenmatrix weiterhin eine zweite Gruppe von leitfähigen Strukturen auf, die in der ersten Richtung verläuft und mindestens den zweiten aktiven Bereich und die zweite Seite der ersten Speicherzelle überlappt, wobei jede leitfähige Struktur der zweiten Gruppe von leitfähigen Strukturen von einer benachbarten leitfähigen Struktur der zweiten Gruppe von leitfähigen Strukturen in der ersten Richtung und/oder der zweiten Richtung getrennt ist und auf einer vierten Ebene angeordnet ist, die von der ersten Ebene, der zweiten Ebene und der dritten Ebene verschieden ist. Bei einigen Ausführungsformen weist die Speicherzellenmatrix weiterhin eine erste Gruppe von Durchkontaktierungen zwischen der ersten Gruppe von leitfähigen Strukturen und der Gruppe von aktiven Bereichen auf, wobei die erste Gruppe von Durchkontaktierungen die erste Gruppe von leitfähigen Strukturen mit der Gruppe von aktiven Bereichen verbindet und mindestens eine Durchkontaktierung der ersten Gruppe von Durchkontaktierungen an der Stelle angeordnet ist, an der mindestens eine leitfähige Struktur der ersten Gruppe von leitfähigen Strukturen mindestens einen aktiven Bereich der Gruppe von aktiven Bereichen überlappt. Bei einigen Ausführungsformen weist die Speicherzellenmatrix weiterhin eine zweite Gruppe von Durchkontaktierungen zwischen der ersten Gruppe von leitfähigen Strukturen und der Gruppe von Gates auf, wobei die zweite Gruppe von Durchkontaktierungen die erste Gruppe von leitfähigen Strukturen mit der Gruppe von Gates verbindet und eine erste Durchkontaktierung der zweiten Gruppe von Durchkontaktierungen an der Stelle angeordnet ist, an der sich eine erste leitfähige Struktur der ersten Gruppe von leitfähigen Strukturen über einem ersten Gate der Gruppe von Gates befindet. Bei einigen Ausführungsformen weist die Speicherzellenmatrix weiterhin eine erste Wanne mit einer ersten Dotandenart auf, die mindestens auf der ersten Ebene angeordnet ist, wobei die erste Wanne Folgendes aufweist: einen ersten Teil, der in der zweiten Richtung verläuft und zu der ersten Seite der Speicherzellenmatrix benachbart ist, wobei der erste aktive Bereich der Gruppe von aktiven Bereichen in den ersten Teil der ersten Wanne eingebettet ist; und einen zweiten Teil, der in der zweiten Richtung verläuft und zu der zweiten Seite der Speicherzellenmatrix benachbart ist, wobei der zweite aktive Bereich der Gruppe von aktiven Bereichen in den zweiten Teil der ersten Wanne eingebettet ist. Bei einigen Ausführungsformen weist die Speicherzellenmatrix weiterhin eine zweite Wanne mit einer zweiten Dotandenart, die von der ersten Dotandenart verschieden ist, auf, wobei die zweite Wanne zwischen dem ersten Teil der ersten Wanne und dem zweiten Teil der ersten Wanne angeordnet ist. Bei einigen Ausführungsformen weist die Gruppe von aktiven Bereichen weiterhin Folgendes auf: einen dritten aktiven Bereich, der in die zweite Wanne eingebettet ist; und einen vierten aktiven Bereich, der in die zweite Wanne eingebettet ist, wobei der dritte aktive Bereich zwischen dem ersten aktiven Bereich und dem vierten aktiven Bereich angeordnet ist und der vierte aktive Bereich zwischen dem dritten aktiven Bereich und dem zweiten aktiven Bereich angeordnet ist. Bei einigen Ausführungsformen weist die Speicherzellenmatrix weiterhin eine erste Wanne mit einer ersten Dotandenart auf, die mindestens auf der ersten Ebene angeordnet ist, wobei die erste Wanne Folgendes umfasst: einen ersten Teil der ersten Wanne, der in der zweiten Richtung verläuft und zu der ersten Seite der ersten Speicherzelle benachbart ist, wobei der erste aktive Bereich in den ersten Teil der ersten Wanne eingebettet ist; und einen zweiten Teil der ersten Wanne, der in der zweiten Richtung verläuft und zu der zweiten Seite der ersten Speicherzelle benachbart ist. Bei einigen Ausführungsformen weist die Speicherzellenmatrix weiterhin eine zweite Wanne mit einer zweiten Dotandenart, die von der ersten Dotandenart verschieden ist, auf, wobei die zweite Wanne Folgendes umfasst: einen ersten Teil der zweiten Wanne, der in der zweiten Richtung verläuft und zu dem ersten Teil der ersten Wanne benachbart ist; und einen zweiten Teil der zweiten Wanne, der in der zweiten Richtung verläuft und jeweils zu der zweiten Seite der ersten Speicherzelle, dem zweiten Teil der ersten Wanne und dem ersten Teil der zweiten Wanne benachbart ist. Bei einigen Ausführungsformen umfasst der zweite aktive Bereich einen ersten Teil des zweiten aktiven Bereichs, der in den zweiten Teil der ersten Wanne eingebettet ist; und einen zweiten Teil des zweiten aktiven Bereichs, der in den zweiten Teil der zweiten Wanne eingebettet ist und zu dem ersten Teil des zweiten aktiven Bereichs in der zweiten Richtung ausgerichtet ist. Bei einigen Ausführungsformen umfasst die Gruppe von aktiven Bereichen weiterhin einen dritten aktiven Bereich, der in den ersten Teil der zweiten Wanne eingebettet ist; und einen vierten aktiven Bereich, der in den ersten Teil der zweiten Wanne eingebettet ist, wobei der dritte aktive Bereich zwischen dem ersten aktiven Bereich und dem vierten aktiven Bereich angeordnet ist und der vierte aktive Bereich zwischen dem dritten aktiven Bereich und dem zweiten aktiven Bereich angeordnet ist.
  • Vorstehend sind Merkmale verschiedener Ausführungsformen beschrieben worden, sodass Fachleute die Aspekte der vorliegenden Erfindung besser verstehen können. Fachleuten dürfte klar sein, dass sie die vorliegende Erfindung ohne Weiteres als eine Grundlage zum Gestalten oder Modifizieren anderer Verfahren und Strukturen zum Erreichen der gleichen Ziele und/oder zum Erzielen der gleichen Vorzüge wie bei den hier vorgestellten Ausführungsformen verwenden können. Fachleute dürften ebenfalls erkennen, dass solche äquivalenten Auslegungen nicht von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abweichen und dass sie hier verschiedene Änderungen, Ersetzungen und Abwandlungen vornehmen können, ohne von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 62/660834 [0001]
    • US 9256709 B [0357]
    • US 20150278429 [0357]
    • US 20140040838 [0357]
    • US 7260442 B [0357]

Claims (20)

  1. Verfahren zum Herstellen einer Speicherzellenmatrix mit den folgenden Schritten: Erzeugen einer ersten Gruppe von Kachelelementen, die in einer ersten Richtung verläuft, wobei das Erzeugen der ersten Gruppe von Kachelelementen Folgendes umfasst: Erzeugen eines ersten Layoutentwurfs einer ersten Gruppe von Speicherzellen, wobei jedes Kachelelement der ersten Gruppe von Kachelelementen dem ersten Layoutentwurf der ersten Gruppe von Speicherzellen entspricht und gegenüber einem benachbarten Kachelelement der ersten Gruppe von Kachelelementen in einer zweiten Richtung, die von der ersten Richtung verschieden ist, versetzt ist, wobei jedes Kachelelement der ersten Gruppe von Kachelelementen in einer dritten Richtung, die von der ersten Richtung und der zweiten Richtung verschieden ist, verläuft, mindestens einer der vorgenannten Erzeugungsschritte von einem Hardware-Prozessor ausgeführt wird und der erste Layoutentwurf in einem nichtflüchtigen maschinenlesbaren Medium gespeichert wird; und Herstellen der Speicherzellenmatrix auf Grundlage mindestens des ersten Layoutentwurfs.
  2. Verfahren nach Anspruch 1, das weiterhin das Erzeugen einer zweiten Gruppe von Kachelelementen umfasst, wobei das Erzeugen der zweiten Gruppe von Kachelelementen Folgendes umfasst: Erzeugen eines zweiten Layoutentwurfs einer zweiten Gruppe von Speicherzellen, wobei jedes Kachelelement der zweiten Gruppe von Kachelelementen dem zweiten Layoutentwurf der zweiten Gruppe von Speicherzellen entspricht und gegenüber einem benachbarten Kachelelement der zweiten Gruppe von Kachelelementen in der zweiten Richtung versetzt ist, wobei sich die erste Gruppe von Kachelelementen und die zweite Gruppe miteinander in der zweiten Richtung abwechseln und jedes Kachelelement der zweiten Gruppe von Kachelelementen in der dritten Richtung verläuft.
  3. Verfahren nach Anspruch 2, wobei das Erzeugen des zweiten Layoutentwurfs der zweiten Gruppe von Speicherzellen Folgendes umfasst: Erzeugen eines ersten Teils des zweiten Layoutentwurfs, wobei der erste Teil des zweiten Layoutentwurfs dem Herstellen einer ersten Speicherzelle der zweiten Gruppe von Speicherzellen der Speicherzellenmatrix entspricht; Erzeugen eines zweiten Teils des zweiten Layoutentwurfs, wobei der zweite Teil des zweiten Layoutentwurfs dem Herstellen einer zweiten Speicherzelle der zweiten Gruppe von Speicherzellen der Speicherzellenmatrix entspricht; Erzeugen eines dritten Teils des zweiten Layoutentwurfs, wobei der dritte Teil des zweiten Layoutentwurfs dem Herstellen einer dritten Speicherzelle der zweiten Gruppe von Speicherzellen der Speicherzellenmatrix entspricht; und Erzeugen eines vierten Teils des zweiten Layoutentwurfs, wobei der vierte Teil des zweiten Layoutentwurfs dem Herstellen einer vierten Speicherzelle der zweiten Gruppe von Speicherzellen der Speicherzellenmatrix entspricht, wobei der erste Teil des zweiten Layoutentwurfs und der dritte Teil des zweiten Layoutentwurfs Spiegelbilder voneinander in Bezug zu der dritten Richtung sind, und der zweite Teil des zweiten Layoutentwurfs und der vierte Teil des zweiten Layoutentwurfs Spiegelbilder voneinander in Bezug zu der dritten Richtung sind.
  4. Verfahren nach Anspruch 2 oder 3, wobei das Erzeugen des ersten Layoutentwurfs der ersten Gruppe von Speicherzellen oder das Erzeugen des zweiten Layoutentwurfs der zweiten Gruppe von Speicherzellen Folgendes umfasst: Erzeugen einer Gruppe von Aktiver-Bereich-Layoutstrukturen, die dem Herstellen einer Gruppe von aktiven Bereichen der Speicherzellenmatrix entspricht, wobei jede Aktiver-Bereich-Layoutstruktur der Gruppe von Aktiver-Bereich-Layoutstrukturen von einer benachbarten Layoutstruktur der Gruppe von Aktiver-Bereich-Layoutstrukturen in der dritten Richtung durch einen ersten Abstand getrennt ist, die Gruppe von Aktiver-Bereich-Layoutstrukturen in der zweiten Richtung verläuft und auf einer ersten Layoutebene angeordnet ist, wobei das Erzeugen der Gruppe von Aktiver-Bereich-Layoutstrukturen Folgendes umfasst: Erzeugen einer ersten Aktiver-Bereich-Layoutstruktur, Erzeugen einer zweiten Aktiver-Bereich-Layoutstruktur, Erzeugen einer dritten Aktiver-Bereich-Layoutstruktur zwischen der ersten Aktiver-Bereich-Layoutstruktur und der zweiten Aktiver-Bereich-Layoutstruktur, und Erzeugen einer vierten Aktiver-Bereich-Layoutstruktur zwischen der dritten Aktiver-Bereich-Layoutstruktur und der zweiten Aktiver-Bereich-Layoutstruktur, wobei eine Länge der ersten Aktiver-Bereich-Layoutstruktur von einer Länge der zweiten Aktiver-Bereich-Layoutstruktur verschieden ist; Erzeugen einer Gruppe von Gate-Layoutstrukturen, die dem Herstellen einer Gruppe von Gate-Strukturen der Speicherzellenmatrix entspricht, wobei die Gruppe von Gate-Layoutstrukturen in der dritten Richtung verläuft, die Gruppe von Aktiver-Bereich-Layoutstrukturen überlappt und auf einer zweiten Layoutebene angeordnet ist, die von der ersten Layoutebene verschieden ist; und Erzeugen einer ersten Gruppe von Leitfähiges-Element-Layoutstrukturen, die dem Herstellen einer ersten Gruppe von leitfähigen Strukturen der Speicherzellenmatrix entspricht, wobei die erste Gruppe von Leitfähiges-Element-Layoutstrukturen in der dritten Richtung und über der Gruppe von Aktiver-Bereich-Layoutstrukturen und/oder der Gruppe von Gate-Layoutstrukturen verläuft, wobei jede Leitfähiges-Element-Layoutstruktur der ersten Gruppe von Leitfähiges-Element-Layoutstrukturen von einer benachbarten Layoutstruktur der ersten Gruppe von Leitfähiges-Element-Layoutstrukturen in der zweiten Richtung und/oder der dritten Richtung getrennt ist und auf einer dritten Layoutebene angeordnet ist, die von der ersten Layoutebene und der zweiten Layoutebene verschieden ist.
  5. Verfahren nach einem der vorhergehenden Ansprüche, wobei die erste Gruppe von Speicherzellen mindestens in einer ersten Zeile und einer zweiten Zeile der Speicherzellenmatrix angeordnet ist, wobei die erste Gruppe von Speicherzellen vier Speicherzellen umfasst, wobei jede Speicherzelle der ersten Gruppe von Speicherzellen eine Speicherzelle eines synchronen Direktzugriffsspeichers (SRAM) mit fünf Transistoren (5T) umfasst, und die zweite Gruppe von Speicherzellen mindestens in einer dritten Zeile und einer vierten Zeile der Speicherzellenmatrix angeordnet ist, wobei die zweite Gruppe von Speicherzellen vier Speicherzellen umfasst, wobei jede Speicherzelle der zweiten Gruppe von Speicherzellen eine 5T-SRAM-Speicherzelle umfasst.
  6. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Erzeugen des ersten Layoutentwurfs der ersten Gruppe von Speicherzellen Folgendes umfasst: Erzeugen eines ersten Teils des ersten Layoutentwurfs, wobei der erste Teil des ersten Layoutentwurfs dem Herstellen einer ersten Speicherzelle der ersten Gruppe von Speicherzellen der Speicherzellenmatrix entspricht; Erzeugen eines zweiten Teils des ersten Layoutentwurfs, wobei der zweite Teil des ersten Layoutentwurfs dem Herstellen einer zweiten Speicherzelle der ersten Gruppe von Speicherzellen der Speicherzellenmatrix entspricht; Erzeugen eines dritten Teils des ersten Layoutentwurfs, wobei der dritte Teil des ersten Layoutentwurfs dem Herstellen einer dritten Speicherzelle der ersten Gruppe von Speicherzellen der Speicherzellenmatrix entspricht; und Erzeugen eines vierten Teils des ersten Layoutentwurfs, wobei der vierte Teil des ersten Layoutentwurfs dem Herstellen einer vierten Speicherzelle der ersten Gruppe von Speicherzellen der Speicherzellenmatrix entspricht, wobei der erste Teil des ersten Layoutentwurfs und der zweite Teil des ersten Layoutentwurfs Spiegelbilder voneinander in Bezug zu der zweiten Richtung sind, und der dritte Teil des ersten Layoutentwurfs und der vierte Teil des ersten Layoutentwurfs Spiegelbilder voneinander in Bezug zu der zweiten Richtung sind.
  7. Verfahren zum Herstellen einer Speicherzellenmatrix mit einer Speicherzelle mit den folgenden Schritten: Erzeugen, mit einem Prozessor, eines Layoutentwurfs der Speicherzellenmatrix, wobei der Layoutentwurf eine Eckenaussparung hat, wobei das Erzeugen des Layoutentwurfs Folgendes umfasst: Erzeugen einer Gruppe von Aktiver-Bereich-Layoutstrukturen, die dem Herstellen einer Gruppe von aktiven Bereichen der Speicherzellenmatrix entspricht, wobei jede Aktiver-Bereich-Layoutstruktur der Gruppe von Aktiver-Bereich-Layoutstrukturen von einer benachbarten Layoutstruktur der Gruppe von Aktiver-Bereich-Layoutstrukturen in einer ersten Richtung durch einen ersten Abstand getrennt ist, wobei die Gruppe von Aktiver-Bereich-Layoutstrukturen in einer zweiten Richtung, die von der ersten Richtung verschieden ist, verläuft und auf einer ersten Layoutebene angeordnet ist, wobei die Gruppe von Aktiver-Bereich-Layoutstrukturen eine erste Aktiver-Bereich-Layoutstruktur, die zu der Eckenaussparung und einer ersten Seite der Speicherzelle benachbart ist, und eine zweite Aktiver-Bereich-Layoutstruktur umfasst, die zu der zweiten Seite der Speicherzelle, die der ersten Seite der Speicherzelle entgegengesetzt ist, benachbart ist, wobei mindestens eine der vorgenannten Layoutstrukturen in einem nichtflüchtigen maschinenlesbaren Medium gespeichert wird und mindestens einer der vorgenannten Erzeugungsschritte von einem Hardware-Prozessor ausgeführt wird; und Herstellen der Speicherzellenmatrix auf Grundlage des Layoutentwurfs.
  8. Verfahren nach Anspruch 7, das weiterhin Folgendes umfasst: Erzeugen einer Gruppe von Gate-Layoutstrukturen, die dem Herstellen einer Gruppe von Gate-Strukturen der Speicherzellenmatrix entspricht, wobei die Gruppe von Gate-Layoutstrukturen in der ersten Richtung verläuft, die Gruppe von Aktiver-Bereich-Layoutstrukturen überlappt und auf einer zweiten Layoutebene angeordnet ist, die von der ersten Layoutebene verschieden ist; und Erzeugen einer ersten Gruppe von Leitfähiges-Element-Layoutstrukturen, die dem Herstellen einer ersten Gruppe von leitfähigen Strukturen der Speicherzellenmatrix entspricht, wobei die erste Gruppe von Leitfähiges-Element-Layoutstrukturen in der ersten Richtung und über der Gruppe von Aktiver-Bereich-Layoutstrukturen und/oder der Gruppe von Gate-Layoutstrukturen verläuft, wobei jede Leitfähiges-Element-Layoutstruktur der ersten Gruppe von Leitfähiges-Element-Layoutstrukturen von einer benachbarten Layoutstruktur der ersten Gruppe von Leitfähiges-Element-Layoutstrukturen in der ersten Richtung und/oder der zweiten Richtung getrennt ist und auf einer dritten Layoutebene angeordnet ist, die von der ersten Layoutebene und der zweiten Layoutebene verschieden ist.
  9. Verfahren nach Anspruch 8, wobei das Erzeugen des Layoutentwurfs der Speicherzellenmatrix weiterhin Folgendes umfasst: Erzeugen einer zweiten Gruppe von Leitfähiges-Element-Layoutstrukturen, die dem Herstellen einer zweiten Gruppe von leitfähigen Strukturen der Speicherzellenmatrix entspricht, wobei die zweite Gruppe von Leitfähiges-Element-Layoutstrukturen in der ersten Richtung verläuft und mindestens die zweite Aktiver-Bereich-Layoutstruktur und die zweite Seite der Speicherzelle überlappt, wobei jede Leitfähiges-Element-Layoutstruktur der zweiten Gruppe von Leitfähiges-Element-Layoutstrukturen von einer benachbarten Layoutstruktur der zweiten Gruppe von Leitfähiges-Element-Layoutstrukturen in der ersten Richtung und/oder der zweiten Richtung getrennt ist und auf einer vierten Layoutebene angeordnet ist, die von der ersten Layoutebene, der zweiten Layoutebene und der dritten Layoutebene verschieden ist.
  10. Verfahren nach Anspruch 8 oder 9, wobei das Erzeugen des Layoutentwurfs der Speicherzellenmatrix weiterhin Folgendes umfasst: Erzeugen einer ersten Gruppe von Durchkontaktierungs-Layoutstrukturen zwischen der ersten Gruppe von Leitfähiges-Element-Layoutstrukturen und der Gruppe von Aktiver-Bereich-Layoutstrukturen, wobei die erste Gruppe von Durchkontaktierungs-Layoutstrukturen dem Herstellen einer ersten Gruppe von Durchkontaktierungen entspricht, die erste Gruppe von Durchkontaktierungen die erste Gruppe von leitfähigen Strukturen mit der Gruppe von aktiven Bereichen verbindet und jede Durchkontaktierungs-Layoutstruktur der ersten Gruppe von Durchkontaktierungs-Layoutstrukturen an der Stelle angeordnet wird, an der jede Leitfähiges-Element-Layoutstruktur der ersten Gruppe von Leitfähiges-Element-Layoutstrukturen jede Aktiver-Bereich-Layoutstruktur der Gruppe von Aktiver-Bereich-Layoutstrukturen überlappt.
  11. Verfahren nach Anspruch 10, wobei das Erzeugen des Layoutentwurfs der Speicherzellenmatrix weiterhin Folgendes umfasst: Erzeugen einer zweiten Gruppe von Durchkontaktierungs-Layoutstrukturen zwischen der ersten Gruppe von Leitfähiges-Element-Layoutstrukturen und der Gruppe von Gate-Layoutstrukturen, wobei die zweite Gruppe von Durchkontaktierungs-Layoutstrukturen dem Herstellen einer zweiten Gruppe von Durchkontaktierungen entspricht, die zweite Gruppe von Durchkontaktierungen die erste Gruppe von leitfähigen Strukturen mit der Gruppe von Gate-Strukturen verbindet und eine erste Durchkontaktierungs-Layoutstruktur der zweiten Gruppe von Durchkontaktierungs-Layoutstrukturen an der Stelle angeordnet wird, an der eine erste Leitfähiges-Element-Layoutstruktur der ersten Gruppe von Leitfähiges-Element-Layoutstrukturen eine erste Gate-Layoutstruktur der Gruppe von Gate-Layoutstrukturen überlappt.
  12. Verfahren nach einem der Ansprüche 7 bis 11, wobei die erste Aktiver-Bereich-Layoutstruktur einem ersten aktiven Bereich eines ersten p-Transistors entspricht, die zweite Aktiver-Bereich-Layoutstruktur einem zweiten aktiven Bereich eines zweiten p-Transistors, der mit dem ersten p-Transistor verbunden ist, und eines Durchgangs-Gate-Transistors entspricht, der mit dem zweiten p-Transistor verbunden ist, wobei der Durchgangs-Gate-Transistor, der erste p-Transistor und der zweite p-Transistor jeweils Bestandteil einer Speicherzelle eines synchronen Direktzugriffsspeichers (SRAM) mit fünf Transistoren (5T) sind, und eine Länge der ersten Aktiver-Bereich-Layoutstruktur von einer Länge der zweiten Aktiver-Bereich-Layoutstruktur verschieden ist, wobei die erste Aktiver-Bereich-Layoutstruktur von einer Seite der Layoutstruktur zu der Eckenaussparung der Layoutstruktur verläuft und die zweite Aktiver-Bereich-Layoutstruktur von der Seite des Layoutentwurfs zu einer anderen Seite des Layoutentwurfs verläuft.
  13. Verfahren nach Anspruch 12, wobei das Erzeugen des Layoutentwurfs der Speicherzellenmatrix weiterhin Folgendes umfasst: Erzeugen einer ersten Wannen-Layoutstruktur, die dem Herstellen einer ersten Wanne der Speicherzellenmatrix entspricht, wobei die erste Wanne eine erste Dotandenart hat und die erste Wannen-Layoutstruktur auf einer vierten Layoutebene, die von der ersten Layoutebene, der zweiten Layoutebene und der dritten Layoutebene verschieden ist, angeordnet ist, wobei das Erzeugen der ersten Wannen-Layoutstruktur Folgendes umfasst: Erzeugen einer ersten Layoutstruktur, die dem Herstellen eines ersten Teils der ersten Wanne entspricht, wobei die erste Layoutstruktur in der zweiten Richtung verläuft, zu der ersten Seite der Speicherzelle und der Eckenaussparung benachbart ist und unter der ersten Aktiver-Bereich-Layoutstruktur angeordnet ist, und Erzeugen einer zweiten Layoutstruktur, die dem Herstellen eines zweiten Teils der ersten Wanne entspricht, wobei die zweite Layoutstruktur in der zweiten Richtung verläuft, zu der zweiten Seite der Speicherzelle benachbart ist und unter der zweiten Aktiver-Bereich-Layoutstruktur angeordnet ist; und Erzeugen einer zweiten Wannen-Layoutstruktur, die dem Herstellen einer zweiten Wanne der Speicherzellenmatrix entspricht, wobei die zweite Wanne eine zweite Dotandenart hat, die von der ersten Dotandenart verschieden ist, und die zweite Wannen-Layoutstruktur auf einer vierten Layoutebene, zwischen der ersten Layoutstruktur und der zweiten Layoutstruktur, und unter einer dritten Aktiver-Bereich-Layoutstruktur der Gruppe von Aktiver-Bereich-Layoutstrukturen und einer vierten Aktiver-Bereich-Layoutstruktur der Gruppe von Aktiver-Bereich-Layoutstrukturen angeordnet ist.
  14. Verfahren nach einem der Ansprüche 7 bis 11, wobei die erste Aktiver-Bereich-Layoutstruktur einem ersten aktiven Bereich eines ersten n-Transistors entspricht, die zweite Aktiver-Bereich-Layoutstruktur einem zweiten aktiven Bereich eines zweiten n-Transistors, der mit dem ersten n-Transistor verbunden ist, und eines Durchgangs-Gate-Transistors entspricht, der mit dem zweiten n-Transistor verbunden ist, wobei der Durchgangs-Gate-Transistor, der erste n-Transistor und der zweite n-Transistor jeweils Bestandteil einer Speicherzelle eines synchronen Direktzugriffsspeichers (SRAM) mit fünf Transistoren (5T) sind, und eine Länge der ersten Aktiver-Bereich-Layoutstruktur von einer Länge der zweiten Aktiver-Bereich-Layoutstruktur verschieden ist, wobei die erste Aktiver-Bereich-Layoutstruktur von einer Seite des Layoutentwurfs zu der Eckenaussparung des Layoutentwurfs verläuft.
  15. Verfahren nach Anspruch 14, wobei das Erzeugen des Layoutentwurfs der Speicherzellenmatrix weiterhin Folgendes umfasst: Erzeugen einer ersten Wannen-Layoutstruktur, die dem Herstellen einer ersten Wanne der Speicherzellenmatrix entspricht, wobei die erste Wanne eine erste Dotandenart hat und die erste Wannen-Layoutstruktur auf einer vierten Layoutebene, die von der ersten Layoutebene, der zweiten Layoutebene und der dritten Layoutebene verschieden ist, angeordnet ist, wobei das Erzeugen der ersten Wannen-Layoutstruktur Folgendes umfasst: Erzeugen einer ersten Layoutstruktur, die dem Herstellen eines ersten Teils der ersten Wanne entspricht, wobei die erste Layoutstruktur in der zweiten Richtung verläuft, zu der ersten Seite der Speicherzelle benachbart ist und unter der ersten Aktiver-Bereich-Layoutstruktur angeordnet ist, und Erzeugen einer zweiten Layoutstruktur, die dem Herstellen eines zweiten Teils der ersten Wanne entspricht, wobei die zweite Layoutstruktur in der zweiten Richtung verläuft, zu der zweiten Seite der Speicherzelle benachbart ist und unter einem ersten Teil der zweiten Aktiver-Bereich-Layoutstruktur angeordnet ist; und Erzeugen einer zweiten Wannen-Layoutstruktur, die dem Herstellen einer zweiten Wanne der Speicherzellenmatrix entspricht, wobei die zweite Wanne eine zweite Dotandenart hat, die von der ersten Dotandenart verschieden ist, und die zweite Wannen-Layoutstruktur auf der vierten Layoutebene angeordnet ist, wobei das Erzeugen der zweiten Wannen-Layoutstruktur Folgendes umfasst: Erzeugen einer dritten Layoutstruktur, die dem Herstellen eines ersten Teils der zweiten Wanne entspricht, wobei die dritte Layoutstruktur in der zweiten Richtung verläuft und zwischen der ersten Layoutstruktur und der zweiten Layoutstruktur und unter einer dritten Aktiver-Bereich-Layoutstruktur der Gruppe von Aktiver-Bereich-Layoutstrukturen und unter einer vierten Aktiver-Bereich-Layoutstruktur der Gruppe von Aktiver-Bereich-Layoutstrukturen angeordnet ist, und Erzeugen einer vierten Layoutstruktur, die dem Herstellen eines zweiten Teils der zweiten Wanne entspricht, wobei die vierte Layoutstruktur in der zweiten Richtung verläuft, zu der zweiten Seite der Speicherzelle benachbart ist und unter einem zweiten Teil der zweiten Aktiver-Bereich-Layoutstruktur angeordnet ist.
  16. Speicherzellenmatrix mit: einer ersten Speicherzelle, die in einer ersten Zeile in einer ersten Richtung angeordnet ist; einer ersten Bitleitung, die in einer zweiten Richtung, die von der ersten Richtung verschieden ist, verläuft und mit der ersten Speicherzelle verbunden ist; einer zweiten Speicherzelle, die in einer zweiten Zeile in der ersten Richtung angeordnet ist; und einer zweiten Bitleitung, die in der zweiten Richtung verläuft und mit der zweiten Speicherzelle verbunden ist, wobei mindestens die erste Speicherzelle einer Speicherzelle mit fünf Transistoren (5T) entspricht und die erste Speicherzelle Folgendes aufweist: einen ersten aktiven Bereich, der zu einer ersten Seite der ersten Speicherzelle benachbart ist, und einen zweiten aktiven Bereich, der zu einer zweiten Seite der ersten Speicherzelle, die der ersten Seite der ersten Speicherzelle entgegengesetzt ist, benachbart ist, wobei eine Länge des ersten aktiven Bereichs von einer Länge des zweiten aktiven Bereichs verschieden ist, wobei der erste und der zweite aktive Bereich in der zweiten Richtung verlaufen, auf einer ersten Ebene angeordnet sind und in der ersten Richtung voneinander getrennt sind.
  17. Speicherzellenmatrix nach Anspruch 16, die weiterhin Folgendes aufweist: eine Gruppe von Gates, die in der ersten Richtung verläuft, den ersten und den zweiten aktiven Bereich überlappt und auf einer zweiten Ebene angeordnet ist, die von der ersten Ebene verschieden ist; und eine erste Gruppe von leitfähigen Strukturen, die in der ersten Richtung und über zumindest dem ersten und dem zweiten aktiven Bereich und/oder über der Gruppe von Gates verläuft, wobei jede leitfähige Struktur der ersten Gruppe von leitfähigen Strukturen von einer benachbarten leitfähigen Struktur der ersten Gruppe von leitfähigen Strukturen zumindest in der ersten Richtung und/oder der zweiten Richtung getrennt ist und auf einer dritten Ebene angeordnet ist, die von der ersten Ebene und der zweiten Ebene verschieden ist.
  18. Speicherzellenmatrix nach Anspruch 17, die weiterhin Folgendes aufweist: eine zweite Gruppe von leitfähigen Strukturen, die in der ersten Richtung verläuft und mindestens den zweiten aktiven Bereich und die zweite Seite der ersten Speicherzelle überlappt, wobei jede leitfähige Struktur der zweiten Gruppe von leitfähigen Strukturen von einer benachbarten Struktur der zweiten Gruppe von leitfähigen Strukturen zumindest in der ersten Richtung und/oder der zweiten Richtung getrennt ist und auf einer vierten Ebene angeordnet ist, die von der ersten Ebene, der zweiten Ebene und der dritten Ebene verschieden ist; eine erste Gruppe von Durchkontaktierungen zwischen der ersten Gruppe von leitfähigen Strukturen und dem ersten und dem zweiten aktiven Bereich, wobei die erste Gruppe von Durchkontaktierungen die erste Gruppe von leitfähigen Strukturen mit dem ersten und dem zweiten aktiven Bereich verbindet und mindestens eine Durchkontaktierung der ersten Gruppe von Durchkontaktierungen an der Stelle angeordnet ist, an der mindestens eine leitfähige Struktur der ersten Gruppe von leitfähigen Strukturen den ersten und/oder den zweiten aktiven Bereich überlappt; und eine zweite Gruppe von Durchkontaktierungen zwischen der ersten Gruppe von leitfähigen Strukturen und der Gruppe von Gates, wobei die zweite Gruppe von Durchkontaktierungen die erste Gruppe von leitfähigen Strukturen mit der Gruppe von Gates verbindet und eine erste Durchkontaktierung der zweiten Gruppe von Durchkontaktierungen an der Stelle angeordnet ist, an der sich eine erste leitfähige Struktur der ersten Gruppe von leitfähigen Strukturen über einem ersten Gate der Gruppe von Gates befindet.
  19. Speicherzellenmatrix nach Anspruch 17 oder 18, die weiterhin Folgendes aufweist: eine erste Wanne mit einer ersten Dotandenart, die mindestens auf der ersten Ebene angeordnet ist, wobei die erste Wanne Folgendes aufweist: einen ersten Teil, der in der zweiten Richtung verläuft und zu der ersten Seite der ersten Speicherzelle benachbart ist, wobei der erste aktive Bereich in den ersten Teil der ersten Wanne eingebettet ist, und einen zweiten Teil, der in der zweiten Richtung verläuft und zu der zweiten Seite der ersten Speicherzelle benachbart ist, wobei der zweite aktive Bereich in den zweiten Teil der ersten Wanne eingebettet ist; eine zweite Wanne mit einer zweiten Dotandenart, die von der ersten Dotandenart verschieden ist, wobei die zweite Wanne zwischen dem ersten Teil der ersten Wanne und dem zweiten Teil der ersten Wanne angeordnet ist; einen dritten aktiven Bereich, der in die zweite Wanne eingebettet ist; und einen vierten aktiven Bereich, der in die zweite Wanne eingebettet ist, wobei der dritte aktive Bereich zwischen dem ersten aktiven Bereich und dem vierten aktiven Bereich angeordnet ist und der vierte aktive Bereich zwischen dem dritten aktiven Bereich und dem zweiten aktiven Bereich angeordnet ist.
  20. Speicherzellenmatrix nach Anspruch 17 oder 18, die weiterhin Folgendes aufweist: eine erste Wanne mit einer ersten Dotandenart, die mindestens auf der ersten Ebene angeordnet ist, wobei die erste Wanne Folgendes aufweist: einen ersten Teil der ersten Wanne, der in der zweiten Richtung verläuft und zu der ersten Seite der ersten Speicherzelle benachbart ist, wobei der erste aktive Bereich in den ersten Teil der ersten Wanne eingebettet ist, und einen zweiten Teil der ersten Wanne, der in der zweiten Richtung verläuft und zu der zweiten Seite der ersten Speicherzelle benachbart ist; eine zweite Wanne mit einer zweiten Dotandenart, die von der ersten Dotandenart verschieden ist, wobei die zweite Wanne Folgendes aufweist: einen ersten Teil der zweiten Wanne, der in der zweiten Richtung verläuft und zu dem ersten Teil der ersten Wanne benachbart ist, und einen zweiten Teil der zweiten Wanne, der in der zweiten Richtung verläuft und jeweils zu der zweiten Seite der ersten Speicherzelle, dem zweiten Teil der ersten Wanne und dem ersten Teil der zweiten Wanne benachbart ist; einen dritten aktiven Bereich, der in den ersten Teil der zweiten Wanne eingebettet ist; und einen vierten aktiven Bereich, der in den ersten Teil der zweiten Wanne eingebettet ist, wobei der dritte aktive Bereich zwischen dem ersten aktiven Bereich und dem vierten aktiven Bereich angeordnet ist und der vierte aktive Bereich zwischen dem dritten aktiven Bereich und dem zweiten aktiven Bereich angeordnet ist, wobei der zweite aktive Bereich Folgendes aufweist: einen ersten Teil des zweiten aktiven Bereichs, der in den zweiten Teil der ersten Wanne eingebettet ist, und einen zweiten Teil des zweiten aktiven Bereichs, der in den zweiten Teil der zweiten Wanne eingebettet ist und zu dem ersten Teil des zweiten aktiven Bereichs in der zweiten Richtung ausgerichtet ist.
DE102018110356.5A 2018-04-20 2018-04-30 Speicherzellenmatrix und verfahren zu deren herstellung Pending DE102018110356A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862660834P 2018-04-20 2018-04-20
US62/660,834 2018-04-20
US15/964,492 2018-04-27
US15/964,492 US10431576B1 (en) 2018-04-20 2018-04-27 Memory cell array and method of manufacturing same

Publications (1)

Publication Number Publication Date
DE102018110356A1 true DE102018110356A1 (de) 2019-10-24

Family

ID=68063825

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102018110356.5A Pending DE102018110356A1 (de) 2018-04-20 2018-04-30 Speicherzellenmatrix und verfahren zu deren herstellung

Country Status (5)

Country Link
US (5) US10431576B1 (de)
KR (3) KR102113345B1 (de)
CN (2) CN110391237B (de)
DE (1) DE102018110356A1 (de)
TW (4) TWI740550B (de)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11120884B2 (en) 2015-09-30 2021-09-14 Sunrise Memory Corporation Implementing logic function and generating analog signals using NOR memory strings
US9892800B2 (en) 2015-09-30 2018-02-13 Sunrise Memory Corporation Multi-gate NOR flash thin-film transistor strings arranged in stacked horizontal active strips with vertical control gates
US9842651B2 (en) 2015-11-25 2017-12-12 Sunrise Memory Corporation Three-dimensional vertical NOR flash thin film transistor strings
EP3642841A4 (de) 2017-06-20 2021-07-28 Sunrise Memory Corporation 3-dimensionale nor-speicherarrayarchitektur und herstellungsverfahren dafür
US10692874B2 (en) 2017-06-20 2020-06-23 Sunrise Memory Corporation 3-dimensional NOR string arrays in segmented stacks
US10608008B2 (en) 2017-06-20 2020-03-31 Sunrise Memory Corporation 3-dimensional nor strings with segmented shared source regions
US10431576B1 (en) * 2018-04-20 2019-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell array and method of manufacturing same
TWI713195B (zh) 2018-09-24 2020-12-11 美商森恩萊斯記憶體公司 三維nor記憶電路製程中之晶圓接合及其形成之積體電路
US11670620B2 (en) 2019-01-30 2023-06-06 Sunrise Memory Corporation Device with embedded high-bandwidth, high-capacity memory using wafer bonding
WO2021127218A1 (en) 2019-12-19 2021-06-24 Sunrise Memory Corporation Process for preparing a channel region of a thin-film transistor
WO2021159028A1 (en) 2020-02-07 2021-08-12 Sunrise Memory Corporation High capacity memory circuit with low effective latency
WO2021173572A1 (en) 2020-02-24 2021-09-02 Sunrise Memory Corporation Channel controller for shared memory access
WO2021173209A1 (en) * 2020-02-24 2021-09-02 Sunrise Memory Corporation High capacity memory module including wafer-section memory circuit
US11507301B2 (en) 2020-02-24 2022-11-22 Sunrise Memory Corporation Memory module implementing memory centric architecture
US11462282B2 (en) * 2020-04-01 2022-10-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor memory structure
US11887655B2 (en) 2020-08-13 2024-01-30 Anhui University Sense amplifier, memory, and method for controlling sense amplifier by configuring structures using switches
US11929111B2 (en) * 2020-09-01 2024-03-12 Anhui University Sense amplifier, memory and method for controlling sense amplifier
US11862285B2 (en) 2020-09-01 2024-01-02 Anhui University Sense amplifier, memory and control method of sense amplifier
US11410720B2 (en) * 2020-10-01 2022-08-09 Samsung Electronics Co., Ltd. Bitline precharge system for a semiconductor memory device
WO2022108848A1 (en) 2020-11-17 2022-05-27 Sunrise Memory Corporation Methods for reducing disturb errors by refreshing data alongside programming or erase operations
US11848056B2 (en) 2020-12-08 2023-12-19 Sunrise Memory Corporation Quasi-volatile memory with enhanced sense amplifier operation
WO2022164659A1 (en) * 2021-01-27 2022-08-04 Sunrise Memory Corporation Quasi-volatile memory with reference bit line structure
WO2022173700A1 (en) 2021-02-10 2022-08-18 Sunrise Memory Corporation Memory interface with configurable high-speed serial data lanes for high bandwidth memory
US20220415378A1 (en) * 2021-06-25 2022-12-29 Advanced Micro Devices, Inc. Split read port latch array bit cell
TW202310429A (zh) 2021-07-16 2023-03-01 美商日升存儲公司 薄膜鐵電電晶體的三維記憶體串陣列
US11568904B1 (en) * 2021-10-15 2023-01-31 Qualcomm Incorporated Memory with positively boosted write multiplexer
US20230134975A1 (en) * 2021-11-04 2023-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050262293A1 (en) * 2004-05-24 2005-11-24 Han-Hee Yoon SRAM core cell for light-emitting display
US7260442B2 (en) 2004-03-03 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for mask fabrication process control
US20090173971A1 (en) * 2008-01-04 2009-07-09 Texas Instruments Inc. Memory cell layout structure with outer bitline
US20110103137A1 (en) * 2008-05-13 2011-05-05 Silicon Basis Ltd Source controlled sram
US20140040838A1 (en) 2012-08-01 2014-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Methods For Making A Mask For An Integrated Circuit Design
US20150278429A1 (en) 2014-04-01 2015-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. System and Method for Integrated Circuit Manufacturing
US9256709B2 (en) 2014-02-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit mask patterning
US20160308535A1 (en) * 2003-12-04 2016-10-20 Yakimishu Co. Ltd. L.L.C. Programmable structured arrays

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6181640B1 (en) * 1997-06-24 2001-01-30 Hyundai Electronics Industries Co., Ltd. Control circuit for semiconductor memory device
US6570227B2 (en) * 1999-06-23 2003-05-27 Bae Systems Information And Electronics Systems Integration, Inc. High-performance high-density CMOS SRAM cell
CA2299991A1 (en) * 2000-03-03 2001-09-03 Mosaid Technologies Incorporated A memory cell for embedded memories
US6897522B2 (en) 2001-10-31 2005-05-24 Sandisk Corporation Multi-state non-volatile integrated circuit memory systems that employ dielectric storage elements
JP4118045B2 (ja) * 2001-12-07 2008-07-16 富士通株式会社 半導体装置
KR100456688B1 (ko) 2002-01-07 2004-11-10 삼성전자주식회사 완전 씨모스 에스램 셀
US6803610B2 (en) * 2002-09-30 2004-10-12 Mosaid Technologies Incorporated Optimized memory cell physical arrangement
JP2004199829A (ja) * 2002-12-20 2004-07-15 Matsushita Electric Ind Co Ltd 半導体記憶装置
US7327591B2 (en) * 2004-06-17 2008-02-05 Texas Instruments Incorporated Staggered memory cell array
US7130236B2 (en) * 2005-03-16 2006-10-31 Intel Corporation Low power delay controlled zero sensitive sense amplifier
US7304895B2 (en) * 2005-09-13 2007-12-04 International Business Machines Corporation Bitline variable methods and circuits for evaluating static memory cell dynamic stability
US7212458B1 (en) * 2005-10-25 2007-05-01 Sigmatel, Inc. Memory, processing system and methods for use therewith
JP2007193928A (ja) * 2005-12-19 2007-08-02 Matsushita Electric Ind Co Ltd 半導体記憶装置
US7816198B2 (en) * 2007-07-10 2010-10-19 Infineon Technologies Ag Semiconductor device and method for manufacturing the same
US7542331B1 (en) * 2007-10-16 2009-06-02 Juhan Kim Planar SRAM including segment read circuit
JP4466732B2 (ja) * 2007-12-11 2010-05-26 ソニー株式会社 半導体記憶装置
JP5193582B2 (ja) * 2007-12-12 2013-05-08 株式会社東芝 半導体装置の製造方法
JP2009295740A (ja) 2008-06-04 2009-12-17 Elpida Memory Inc メモリチップ及び半導体装置
US7952939B2 (en) * 2008-06-23 2011-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Circuit and method for VDD-tracking CVDD voltage supply
US7848131B2 (en) * 2008-10-19 2010-12-07 Juhan Kim High speed ferroelectric random access memory
KR101527193B1 (ko) * 2008-12-10 2015-06-08 삼성전자주식회사 반도체 소자 및 그의 셀 블록 배치 방법
US8159863B2 (en) * 2009-05-21 2012-04-17 Texas Instruments Incorporated 6T SRAM cell with single sided write
JP5306125B2 (ja) * 2009-09-14 2013-10-02 ルネサスエレクトロニクス株式会社 半導体記憶装置
US8339876B2 (en) * 2009-10-08 2012-12-25 Arm Limited Memory with improved read stability
US20110149661A1 (en) * 2009-12-18 2011-06-23 Rajwani Iqbal R Memory array having extended write operation
JP2011146104A (ja) * 2010-01-15 2011-07-28 Elpida Memory Inc 半導体装置及び半導体装置を含む情報処理システム
US9875788B2 (en) * 2010-03-25 2018-01-23 Qualcomm Incorporated Low-power 5T SRAM with improved stability and reduced bitcell size
US8305798B2 (en) * 2010-07-13 2012-11-06 Texas Instruments Incorporated Memory cell with equalization write assist in solid-state memory
WO2012017535A1 (ja) * 2010-08-05 2012-02-09 ルネサスエレクトロニクス株式会社 半導体装置
JP5703200B2 (ja) * 2011-12-01 2015-04-15 株式会社東芝 半導体記憶装置
JP5880241B2 (ja) * 2012-04-16 2016-03-08 株式会社ソシオネクスト 半導体装置
US8942052B2 (en) * 2012-11-21 2015-01-27 International Business Machines Corporation Complementary metal-oxide-semiconductor (CMOS) min/max voltage circuit for switching between multiple voltages
US8859372B2 (en) * 2013-02-08 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Double channel doping in transistor formation
US9299404B1 (en) * 2013-03-12 2016-03-29 Altera Corporation Methods and apparatus for performing boosted bit line precharge
US9679619B2 (en) 2013-03-15 2017-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Sense amplifier with current regulating circuit
KR102123056B1 (ko) * 2013-08-30 2020-06-15 삼성전자주식회사 듀얼 파워 라인을 구비하는 에스램 및 그것의 비트 라인 프리차지 방법
US9224437B2 (en) * 2013-10-31 2015-12-29 Globalfoundries Inc. Gated-feedback sense amplifier for single-ended local bit-line memories
KR102152772B1 (ko) 2013-11-18 2020-09-08 삼성전자 주식회사 레이아웃 디자인 시스템, 레이아웃 디자인 방법, 및 이를 이용하여 제조된 반도체 장치
US8953380B1 (en) 2013-12-02 2015-02-10 Cypress Semiconductor Corporation Systems, methods, and apparatus for memory cells with common source lines
US9324417B1 (en) * 2014-01-03 2016-04-26 Marvell International Ltd. Systems and methods for avoiding read disturbance in a static random-access memory (SRAM)
US9257172B2 (en) * 2014-02-28 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-port memory cell
JP6353668B2 (ja) 2014-03-03 2018-07-04 ルネサスエレクトロニクス株式会社 半導体記憶装置
JP6122801B2 (ja) * 2014-03-13 2017-04-26 株式会社東芝 半導体記憶装置
US9690510B2 (en) * 2014-04-23 2017-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Two-stage read/write 3D architecture for memory devices
US10431295B2 (en) 2014-05-30 2019-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Static random access memory and method of controlling the same
US9218872B1 (en) * 2014-06-20 2015-12-22 Taiwan Semiconductor Manufactruing Company, Ltd. Memory chip and layout design for manufacturing same
KR102151176B1 (ko) * 2014-08-22 2020-09-02 삼성전자 주식회사 크로스 포인트 어레이 구조의 메모리 장치, 메모리 시스템 및 메모리 장치의 동작방법
US9691471B2 (en) 2014-09-15 2017-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM cells with vertical gate-all-round MOSFETs
TWI571968B (zh) * 2014-11-20 2017-02-21 力晶科技股份有限公司 靜態隨機存取記憶體與其製造方法
CN104637531A (zh) * 2014-12-10 2015-05-20 深圳市国微电子有限公司 Sram存储模块及其灵敏放大器电路
US9787176B2 (en) 2015-03-13 2017-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Charge pump
JP6271810B2 (ja) * 2015-03-31 2018-01-31 ルネサスエレクトロニクス株式会社 半導体装置
EP3096325B1 (de) 2015-05-22 2019-11-13 Commissariat A L'energie Atomique Et Aux Energies Alternatives Statischer ram-speicher
US9865334B2 (en) * 2016-02-19 2018-01-09 Synopsys, Inc. Efficient bitline driven one-sided power collapse write-assist design for SRAMs
TWI640002B (zh) * 2016-08-24 2018-11-01 鈺創科技股份有限公司 低電壓互補式金氧半電路和相關記憶體
US10380315B2 (en) * 2016-09-15 2019-08-13 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit and method of forming an integrated circuit
US10153035B2 (en) * 2016-10-07 2018-12-11 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM-based authentication circuit
US10431576B1 (en) * 2018-04-20 2019-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell array and method of manufacturing same
US10446223B1 (en) * 2018-08-29 2019-10-15 Bitfury Group Limited Data storage apparatus, and related systems and methods

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160308535A1 (en) * 2003-12-04 2016-10-20 Yakimishu Co. Ltd. L.L.C. Programmable structured arrays
US7260442B2 (en) 2004-03-03 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for mask fabrication process control
US20050262293A1 (en) * 2004-05-24 2005-11-24 Han-Hee Yoon SRAM core cell for light-emitting display
US20090173971A1 (en) * 2008-01-04 2009-07-09 Texas Instruments Inc. Memory cell layout structure with outer bitline
US20110103137A1 (en) * 2008-05-13 2011-05-05 Silicon Basis Ltd Source controlled sram
US20140040838A1 (en) 2012-08-01 2014-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Methods For Making A Mask For An Integrated Circuit Design
US9256709B2 (en) 2014-02-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit mask patterning
US20150278429A1 (en) 2014-04-01 2015-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. System and Method for Integrated Circuit Manufacturing

Also Published As

Publication number Publication date
TW202005060A (zh) 2020-01-16
CN110390981A (zh) 2019-10-29
KR20190122520A (ko) 2019-10-30
TW201946060A (zh) 2019-12-01
KR102113345B1 (ko) 2020-05-22
US20200027871A1 (en) 2020-01-23
TWI740550B (zh) 2021-09-21
TW202046494A (zh) 2020-12-16
US20210202467A1 (en) 2021-07-01
TWI671887B (zh) 2019-09-11
CN110391237A (zh) 2019-10-29
CN110390981B (zh) 2021-08-03
US20190325946A1 (en) 2019-10-24
US10431576B1 (en) 2019-10-01
US10872883B2 (en) 2020-12-22
KR102241046B1 (ko) 2021-04-19
CN110391237B (zh) 2022-02-18
US20210104509A1 (en) 2021-04-08
TWI698982B (zh) 2020-07-11
KR20200068613A (ko) 2020-06-15
US20190325945A1 (en) 2019-10-24
TW201944578A (zh) 2019-11-16
TWI705457B (zh) 2020-09-21
US10950595B2 (en) 2021-03-16
US11652096B2 (en) 2023-05-16
KR102297449B1 (ko) 2021-09-06
KR20190122592A (ko) 2019-10-30
US11621258B2 (en) 2023-04-04

Similar Documents

Publication Publication Date Title
DE102018110356A1 (de) Speicherzellenmatrix und verfahren zu deren herstellung
DE102019118040B4 (de) Halbleitervorrichtung, die eine zellregion umfasst, die ähnlichere zelldichten in zeilen verschiedener höhe aufweist, und verfahren und system zum erzeugen eines layoutdiagramms dafür
DE102019116893A1 (de) Zellbereiche doppelter höhe, halbleiterbauelement damit und verfahren zum erzeugen eines entsprechenden aufbaudiagramms
DE102017125395A1 (de) Zellstrunkturen und Halbleitervorrichtungen damit
DE102019117778A1 (de) Speicherzellenschaltung und verfahren zu derer herstellung
DE102019115533A1 (de) Zellregionen mit der höhe einer ungeraden finnenanzahl, halbleiter, der diese aufweist und verfahren zum erzeugen eines layoutdiagramms, das diesen entspricht
DE102021100336A1 (de) Speichervorrichtung, ic-bauteil und verfahren
DE102020100119B4 (de) Halbleiter-bauelement mit antifuse-zelle
DE102019120605A1 (de) Speicherschaltung und verfahren zu deren herstellung
DE102021110414A1 (de) Vier-cpp-breite speicherzelle mit vergrabenem leistungsgitter und verfahren zu deren herstellung
DE102019123621A1 (de) Routungsressourcenverbesserndes verfahren zum generieren von layout-diagrammen, und system dafür
DE102020119280A1 (de) Platzbedarf für multi-bit-flip-flop
DE102020115617B4 (de) Halbleitervorrichtung, integrierte Schaltung und darauf basierendes System
DE102019125900B4 (de) Metallschnittgebiet-positionierungsverfahren und system
DE102020132547A1 (de) Speichervorrichtung, ic-bauteil und verfahren
DE102019128723A1 (de) Puf-zellenanordnung, system und verfahren zur deren herstellung
DE102022132158A1 (de) Verfahren, system und computerprogrammprodukt zum entwerfen von integrierten schaltkreisen
DE102022101653A1 (de) Gebiete aktive gebiete für eine halbleitervorrichtung und verfahren zu deren herstellung
DE102020125647A1 (de) Halbleitervorrichtung mit Komplementärfeldeffekttransistor des Typs mit vergrabenenen Logikleitern, Layout-Diagramm-Herstellungsverfahren und System dafür
DE102020124480B3 (de) Power-gating-zellenstruktur
DE102021116850A1 (de) VERFAHREN ZUM ERZEUGEN EINER NETZLISTE, DIE NAHEFFEKT-AUSLÖSERPARAMETER (PEI-PARAMETERN) EINSCHLIEßT
DE102020132921A1 (de) HALBLEITERVORRICHTUNG MIT GESTUFTEM GATESTUMPFGRÖßENPROFIL UND VERFAHREN ZUR HERSTELLUNG DAVON
DE102019121309A1 (de) Halbleiter- Vorrichtung, einschließlich Sicherungszellenstruktur
DE102019125632A1 (de) Integrierte schaltung, system und verfahren zum ausbilden derselben
DE102020124606A1 (de) Integriertes schaltungslayout, verfahren, struktur und system

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0027110000

Ipc: H10B0010000000

R016 Response to examination communication
R016 Response to examination communication