DE102019125900B4 - Metallschnittgebiet-positionierungsverfahren und system - Google Patents

Metallschnittgebiet-positionierungsverfahren und system Download PDF

Info

Publication number
DE102019125900B4
DE102019125900B4 DE102019125900.2A DE102019125900A DE102019125900B4 DE 102019125900 B4 DE102019125900 B4 DE 102019125900B4 DE 102019125900 A DE102019125900 A DE 102019125900A DE 102019125900 B4 DE102019125900 B4 DE 102019125900B4
Authority
DE
Germany
Prior art keywords
metal layer
cell
cells
layout diagram
alignment
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102019125900.2A
Other languages
English (en)
Other versions
DE102019125900A1 (de
Inventor
Jung-Chan YANG
Fong-Yuan Chang
Li-Chun Tien
Ting Yu CHEN
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/580,874 external-priority patent/US10997348B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102019125900A1 publication Critical patent/DE102019125900A1/de
Application granted granted Critical
Publication of DE102019125900B4 publication Critical patent/DE102019125900B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2115/00Details relating to the type of the circuit
    • G06F2115/08Intellectual property [IP] blocks or IP cores
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2117/00Details relating to the type or aim of the circuit design
    • G06F2117/12Sizing, e.g. of transistors or gates
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • G06F30/3953Routing detailed

Abstract

Verfahren zum Erzeugen eines IC-Layout-Diagramms, wobei das Verfahren umfasst:Positionieren von einer oder mehreren Zellen in dem IC-Layout-Diagramm (200, 300); undZum-Überlappen-Bringen der einen oder mehreren Zellen mit einem ersten Metallschicht-Schnittgebiet basierend auf einer ersten Metallschicht-Schnittgebiet-Ausrichtungsstruktur,wobei die erste Metallschicht-Schnittgebiet-Ausrichtungsstruktur einen Struktur-Pitch umfasst, der gleich einer Höhe von der einen oder den mehreren Zellen ist;wobei das Zum-Überlappen-Bringen der einen oder mehreren Zellen mit dem ersten Metallschicht-Schnittgebiet basierend auf der ersten Metallschicht-Schnittgebiet-Ausrichtungsstruktur ein Positionieren der ersten Metallschicht-Schnittgebiet-Ausrichtungsstruktur basierend auf mehreren zweite-Metallschicht-Leiterbahnen umfasst.

Description

  • HINTERGRUND
  • Eine integrierte Schaltung (Integrated Circuit - IC) umfasst typischerweise eine Anzahl von Halbleitervorrichtungen, die in einem Layout-Diagramm für integrierte Schaltungen dargestellt sind. Ein IC-Layout-Diagramm ist hierarchisch und umfasst Module, die Funktionen auf höherer Ebene gemäß den Entwurfsspezifikationen der Halbleitervorrichtung durchführen. Die Module werden häufig aus einer Kombination von Zellen gebaut, von denen jede eine oder mehrere Halbleiterstrukturen darstellt, die ausgestaltet sind, um eine spezifische Funktion durchzuführen.
  • Um Module auf höherer Ebene zu bilden und externe Verbindungen zu ermöglichen, erfolgt die Leitungsführung von Zellen und anderen integrierten Schaltungsmerkmalen zueinander durch Interconnect-Strukturen, die in mehreren übereinanderliegenden Metallschichten gebildet sind. Zellenplatzierung und Interconnect-Leitungsführung sind Teil eines Gesamtentwurfsprozesses für die integrierte Schaltung.
  • Verschiedene Electronic Design Automation (EDA) Werkzeuge werden verwendet, um Entwürfe für Halbleitervorrichtungen zu erzeugen, zu überarbeiten und zu überprüfen, wobei gleichzeitig sichergestellt wird, dass Entwurfs- und Herstellungsspezifikationen eingehalten werden. Zum Stand der Technik wird auf die DE 10 2017 118 336 A1 , die US 2017 / 0 317 063 A1 , die US 2015 / 0 048 424 A1 und die US 2004 / 0 195 690 A1 verwiesen, welche Verfahren zum Erzeugen eines Layout-Diagramms für integrierte Schaltungen betreffen.
  • Figurenliste
  • Gesichtspunkte der vorliegenden Offenbarung sind bei der Lektüre der nachfolgenden detaillierten Beschreibung im Zusammenhang mit den begleitenden Figuren am besten verständlich. Es sei erwähnt, dass verschiedene Merkmale gemäß der Standardpraxis in der Branche nicht maßstabsgetreu sind. Tatsächlich kann es sein, dass die Abmessungen der verschiedenen Merkmale der Deutlichkeit der Erörterung halber beliebig vergrößert oder verkleinert wurden.
    • 1 ist ein Ablaufdiagramm eines Verfahrens zum Erzeugen eines IC-Layout-Diagramms gemäß einigen Ausführungsformen.
    • 2A bis 2D sind bildliche Darstellungen eines IC-Layout-Diagramms in verschiedenen Stufen der Erzeugung des IC-Layout-Diagramms gemäß einigen Ausführungsformen.
    • 3A bis 3D sind bildliche Darstellungen eines IC-Layout-Diagramms in verschiedenen Stufen der Erzeugung des IC-Layout-Diagramms gemäß einigen Ausführungsformen.
    • 4 ist ein Blockdiagramm eines IC-Vorrichtungsentwurfssystems gemäß einigen Ausführungsformen.
    • 5 ist ein Blockdiagramm eines Systems zur IC-Herstellung und eines dazugehörigen Ablaufs zur IC-Herstellung gemäß einigen Ausführungsformen.
  • DETAILLIERTE BESCHREIBUNG
  • Die nachfolgende Offenbarung stellt viele verschiedene Ausführungsformen oder Beispiele zur Ausführung verschiedener Merkmale des bereitgestellten Erfindungsgegenstands bereit. Spezifische Beispiele von Bauelementen, Werten, Vorgängen, Materialien, Anordnungen oder dergleichen sind in der Folge beschrieben, um die vorliegende Offenbarung zu vereinfachen. Es werden andere Bauelemente, Werte, Vorgänge, Materialien, Anordnungen oder dergleichen ins Auge gefasst. Zum Beispiel kann die Bildung eines ersten Elements über oder auf einem zweiten Element in der nachfolgenden Beschreibung Ausführungsformen umfassen, in denen das erste und das zweite Element in direktem Kontakt gebildet sind, und kann auch Ausführungsformen umfassen, in denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element gebildet sein können, derart, dass es möglich ist, dass das erste und das zweite Element nicht in direktem Kontakt stehen. Zusätzlich ist es möglich, dass in der vorliegenden Offenbarung Bezugsziffern und/oder -buchstaben sich in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient den Zwecken der Einfachheit und Deutlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Ausgestaltungen vor.
  • Ferner kann es sein, dass Begriffe, die eine räumliche Beziehung beschreiben, wie beispielsweise „unterhalb“, „unter“, „untere/r/s“, „über“, „obere/r/s“ und dergleichen, hier der Einfachheit der Beschreibung halber verwendet werden, um die Beziehung eines Elements oder Merkmals zu (einem) andere/n Element/en oder Merkmal/en zu beschreiben, wie in den Figuren veranschaulicht. Es wird beabsichtigt, dass Begriffe, die eine räumliche Beziehung beschreiben, zusätzlich zu der in den Figuren bildlich dargestellten Ausrichtung verschiedene Ausrichtungen der Vorrichtung bei der Verwendung oder beim Betrieb umfassen. Die Vorrichtung kann anders (um 90 Grad gedreht oder in anderen Ausrichtungen) ausgerichtet sein und die Bezeichnungen für räumliche Beziehungen, die hier verwendet werden, können ebenfalls dementsprechend ausgelegt werden.
  • In verschiedenen Ausführungsformen umfasst das Erzeugen eines IC-Layout-Diagramms das Anwenden einer ersten Metallschicht-Schnittgebiet-Ausrichtungsstruktur auf eine oder mehrere Zellen als Teil des Positionierens der einen oder mehreren Zellen in dem IC-Layout-Diagramm. Durch das Zum-Überlappen-Bringen der einen oder mehreren Zellen mit mindestens einem ersten Metallschicht-Schnittgebiet basierend auf der ersten Metallschicht-Schnittgebiet-Ausrichtungsstruktur wird die elektrische Interconnect-Leitungsführungsflexibilität im Vergleich zu Ansätzen verbessert, die nicht das Anwenden einer ersten Metallschicht-Schnittgebiet-Ausrichtungsstruktur auf eine oder mehrere in einem IC-Layout-Diagramm positionierte Zellen umfassen, z. B. Ansätzen, in denen erste Metallschicht-Schnittgebiete auf der Zellebene definiert sind.
  • Ausführungsformen der IC-Layout-Diagramm-Erzeugung und anderer Herstellungsablaufvorgänge sind in einem Verfahren 100 bereitgestellt, das in 1 bildlich dargestellt ist und unter Verwendung der nicht einschränkenden Beispiele von 2A bis 3D veranschaulicht ist. 2A bis 2D veranschaulichen ein Beispiel für das Erzeugen eines IC-Layout-Diagramms basierend auf einer ersten Metallschicht-Schnittgebiet-Ausrichtungsstruktur, die einer Höhe einer einzelnen Zelle entspricht, und 3A bis 3D veranschaulichen ein Beispiel für das Erzeugen eines IC-Layout-Diagramms basierend auf einer ersten Metallschicht-Schnittgebiet-Ausrichtungsstruktur, die einer kombinierten Höhe von zwei Zellen entspricht.
  • 1 ist ein Ablaufdiagramm des Verfahrens 100 zum Erzeugen eines IC-Layout-Diagramms gemäß einigen Ausführungsformen. In einigen Ausführungsformen ist das Erzeugen des IC-Layout-Diagramms Teil des Betriebs eines IC-Herstellungssystems. In einigen Ausführungsformen umfasst das Erzeugen des IC-Layout-Diagramms das Erzeugen eines IC-Layout-Diagramms, das mehreren Transistoren, z. B. Planartransistoren und/oder Fin-Feldeffekttransistoren (FinFETs), entspricht. Die Vorgänge des Verfahrens 100 können als Teil eines Verfahrens zum Bilden von einer oder mehreren Halbleitervorrichtungen durchgeführt werden, von denen nicht einschränkende Beispiele Speicherschaltungen, Logikbausteine, Verarbeitungsvorrichtungen, Signalverarbeitungsschaltungen oder dergleichen umfassen.
  • In einigen Ausführungsformen werden die Vorgänge des Verfahrens 100 in der in 1 bildlich dargestellten Reihenfolge durchgeführt. In einigen Ausführungsformen werden die Vorgänge des Verfahrens 100 in einer anderen Reihenfolge als der in 1 bildlich dargestellten Reihenfolge durchgeführt. In einigen Ausführungsformen werden ein oder mehrere Vorgänge vor, zwischen, während und/oder nach dem Durchführen von einem oder mehreren Vorgängen des Verfahrens 100 durchgeführt.
  • In einigen Ausführungsformen wird das gesamte Verfahren 100 oder ein Teil davon durch einen Prozessor eines Computers ausgeführt. In einigen Ausführungsformen wird das gesamte Verfahren 100 oder ein Teil davon durch einen Prozessor 402 eines IC-Layout-Erzeugungssystems 400 ausgeführt, das nachfolgend unter Bezugnahme auf 4 erörtert wird.
  • Einige oder alle von den Vorgängen des Verfahrens 100 können als Teil eines Herstellungsablaufs, z. B. eines nachfolgend unter Bezugnahme auf ein IC-Herstellungssystem 500 und 5 erörterten Herstellungsablaufs, durchgeführt werden.
  • 2A bis 2D stellen bildlich ein IC-Layout 200 an verschiedenen Stufen der Erzeugung des IC-Layouts 200 durch Ausführen von einem oder mehreren Vorgängen des Verfahrens 100 in einigen Ausführungsformen bildlich dar. Das IC-Layout 200 umfasst die Zellen C1 und C2, zweite-Metallschicht-Leiterbahnen M2T1-M2T8, die einen zweite-Metallschicht-Pitch M2P aufweisen, und zusätzliche Merkmale, wie nachfolgend erörtert.
  • 3A bis 3D stellen bildlich ein IC-Layout 300 an verschiedenen Stufen der Erzeugung des IC-Layouts 300 durch Ausführen von einem oder mehreren Vorgängen des Verfahrens 100 in einigen Ausführungsformen bildlich dar. Das IC-Layout 300 umfasst die Zellen C3 und C4, zweite-Metallschicht-Leiterbahnen M2T1-M2T12, die einen zweite-Metallschicht-Pitch M2P aufweisen, und zusätzliche Merkmale, wie nachfolgend erörtert.
  • Die IC-Layouts 200 und 300 sind der Deutlichkeit halber vereinfacht. In verschiedenen Ausführungsformen umfasst einer oder beide von den IC-Layouts 200 oder 300 Merkmale zusätzlich zu denjenigen, die in 2A bis 3D bildlich dargestellt sind, z. B. ein/e oder mehrere Transistorelemente, Stromschienen, Isolationsstrukturen, Interconnect-Merkmale oder dergleichen. Jede von 2A bis 3D stellt ferner eine X-Richtung und eine Y-Richtung bildlich dar.
  • Beim Vorgang 110 werden in einigen Ausführungsformen eine oder mehrere Zellen aufgenommen. Das Aufnehmen der einen oder mehreren Zellen umfasst das Aufnehmen von mindestens einer Zelle von der einen oder den mehreren Zellen, die mindestens ein erstes Metallschichtgebiet umfasst, das sich längs in einer ersten Metallschichtrichtung erstreckt. In einigen Ausführungsformen umfasst das Aufnehmen der einen oder mehreren Zellen das Aufnehmen von mindestens einer Zelle von der einen oder den mehreren Zellen, die mindestens ein Metall-Null-Durchkontaktierungsgebiet umfasst, das das mindestens eine erste Metallschichtgebiet überlappt.
  • Ein Metallgebiet, z. B. ein erstes Metallschichtgebiet, ist ein Gebiet in dem IC-Layout-Diagramm, das in dem Herstellungsprozess als Teil des Definierens von einem oder mehreren Segmenten von einem oder mehreren leitfähigen Materialien in einer Metallschicht, z. B. einer ersten Metallschicht, einer IC-Vorrichtung enthalten ist, die ausgestaltet ist, um eine elektrische Verbindung zwischen einer oder mehreren darunterliegenden Kontakt- und/oder Durchkontaktierungsstrukturen, einer oder mehreren darüberliegenden Durchkontaktierungsstrukturen und/oder einem oder mehreren benachbarten Metallsegmenten zu bilden.
  • Ein Durchkontaktierungsgebiet, z. B. ein Metall-Null-Durchkontaktierungsgebiet, ist ein Gebiet in dem IC-Layout-Diagramm, das in dem Herstellungsprozess als Teil des Definierens einer Durchkontaktierungsstruktur enthalten ist, die ein oder mehrere Segmente von einer oder mehreren leitfähigen Schichten in der IC-Vorrichtung umfasst, die ausgestaltet ist, um eine elektrische Verbindung zwischen einem oder mehreren darunterliegenden Metallsegmenten und/oder Kontakt- oder Gate-Strukturen und einem oder mehreren darüberliegenden Metallsegmenten, z. B. einem oder mehreren ersten Metallschichtsegmenten, zu bilden. Ein Metall-Null-Durchkontaktierungsgebiet definiert eine Durchkontaktierungsstruktur, die ausgestaltet ist, um eine elektrische Verbindung zwischen einem ersten Metallschichtsegment und einem Segment einer darunterliegenden leitfähigen Schicht zu bilden, z. B. einer Metall-Null-Schicht, die an einer Zellenebene einer IC-Layout-Hierarchie definiert ist.
  • In einigen Ausführungsformen umfasst das Aufnehmen der einen oder mehreren Zellen das Aufnehmen von mindestens einer Zelle von der einen oder den mehreren Zellen, die ein oder mehrere erste Metallschichtgebiete, die einer ersten Maske entsprechen, und ein oder mehrere zweite Metallschichtgebiete umfassen, die einer zweiten Maske entsprechen, die sich von der ersten Maske unterscheidet.
  • In verschiedenen Ausführungsformen entsprechen die erste und die zweite Maske einer ersten und einer zweiten Maskenmenge eines Herstellungsablaufs. Jede von der ersten und der zweiten Maskenmenge definiert eine Teilmenge von IC-Merkmalen, die Abmessungen basierend auf einer Standardmerkmalsgröße aufweisen, und die Teilmengen von Merkmalen sind auf eine sich ergänzende Weise angeordnet, um kombinierte Merkmale zu bilden, die Abmessungen aufweisen, die kleiner als diejenigen der Merkmale sind, die durch eine einzelne von den Maskenmengen gebildet werden.
  • In einigen Ausführungsformen umfasst das Aufnehmen der einen oder mehreren Zellen das Aufnehmen von mindestens einer Zelle von der einen oder den mehreren Zellen, die eine erste und eine zweite Menge von ersten Metallschichtgebieten umfasst, die der ersten und der zweiten Maske entsprechen, wobei die ersten Metallschichtgebiete der ersten Menge sich mit den ersten Metallschichtgebieten der zweiten Menge entlang einer Richtung senkrecht zu der ersten Metallschichtrichtung abwechseln.
  • In verschiedenen Ausführungsformen umfasst das Aufnehmen der einen oder mehreren Zellen das Aufnehmen von einem oder mehreren Layouts von einem oder mehreren von einer Standardzelle, einer Logikzelle, einer Speicherzelle, einer Engineering Change Order (ECO) Zelle, einer individuell gefertigten Zelle, einer physischen Vorrichtungszelle oder eines anderen vordefinierten IC-Vorrichtungsbauteils.
  • Das Aufnehmen der einen oder mehreren Zellen umfasst das Aufnehmen von jeder von der einen oder den mehreren Zellen, die eine Grenze und eine entsprechende Zellenhöhe aufweisen, die in der ersten Metallschichtrichtung zwischen ersten und zweiten Grenzsegmenten definiert ist, wodurch die eine oder mehreren Zellen eine Zellenhöhe in der ersten Metallschichtrichtung aufweisen. In verschiedenen Ausführungsformen entspricht die Zellenhöhe der einen oder mehreren Zellen der Höhe einer einzelnen Zelle oder den kombinierten Höhen von mehreren Zellen. In verschiedenen Ausführungsformen umfasst das Aufnehmen der einen oder mehreren Zellen das Aufnehmen von mehreren Zellen, die eine gleiche Zellenhöhe aufweisen, oder das Aufnehmen von mehreren Zellen, die mindestens eine Zelle umfassen, die eine Zellenhöhe aufweist, die sich von mindestens einer anderen Zellenhöhe der mehreren Zellen unterscheidet.
  • In einigen Ausführungsformen umfasst das Aufnehmen der einen oder mehreren Zellen das Empfangen der einen oder mehreren Zellen von einer Zellbibliothek. In einigen Ausführungsformen umfasst das Aufnehmen der einen oder mehreren Zellen von einer Zellbibliothek das Aufnehmen der einen oder mehreren Zellen von einer Datenbank, von mehreren elektronischen Dateien und/oder über ein Netzwerk. In einigen Ausführungsformen umfasst das Aufnehmen der einen oder mehreren Zellen das Aufnehmen der einen oder mehreren Zellen von der Zellbibliothek 420 des IC-Layout-Erzeugungssystems 400, das nachfolgend unter Bezugnahme auf 4 erörtert wird.
  • In einigen Ausführungsformen umfasst das Aufnehmen der einen oder mehreren Zellen das Aufnehmen von mindestens einer Zelle von der einen oder den mehreren Zellen, die kein erstes Metallschicht-Schnittgebiet umfassen. Ein Metallschicht-Schnittgebiet, z. B. ein erstes Metallschicht-Schnittgebiet, ist ein Gebiet in dem IC-Layoutdiagramm, das als Teil des Definierens von einem oder mehreren Abschnitten von einem oder mehreren Metallsegmenten, z. B. ersten Metallschichtsegmenten, einer IC-Vorrichtung enthalten ist, die in dem Herstellungsprozess, z. B. durch einen Ätzprozess, im Anschluss an die Bildung von dem einen oder den mehreren Segmenten entfernt werden. Der eine oder die mehreren entfernten Abschnitte werden dann mit einer dielektrischen Schicht gefüllt, wodurch das oder die entsprechende/n eine oder mehreren Metallsegmente oder verbleibenden Segmentteile von benachbarten Merkmalen in der IC-Vorrichtung elektrisch isoliert werden.
  • Um einen Abschnitt eines Metallsegments zu definieren, der in dem Herstellungsprozess entfernt wird, erstreckt sich ein Metallschicht-Schnittgebiet längs in eine Richtung senkrecht zu einer Richtung, in der das entsprechende Metallsegment sich längs erstreckt. Dementsprechend erstreckt sich ein erstes Metallschicht-Schnittgebiet längs in eine Richtung senkrecht zu der ersten Metallschichtrichtung.
  • In einigen Ausführungsformen umfasst der Herstellungsprozess erste und zweite Maskenmengen, die jeweils einem oder mehreren ersten Metallschicht-Schnittgebieten entsprechen, und das Aufnehmen der einen oder mehreren Zellen umfasst das Aufnehmen von mindestens einer Zelle von der einen oder mehreren Zellen, die kein erstes Metallschicht-Schnittgebiet umfassen, das einer gegebenen von der ersten oder zweiten Maskenmenge oder beiden von der ersten und der zweiten Maskenmenge entspricht.
  • In einigen Ausführungsformen umfasst das Aufnehmen der einen oder mehreren Zellen das Aufnehmen von einer oder beiden von den Zellen C1 und C2, wie in 2A bildlich dargestellt. Jede von den Zellen C1 und C2 wird mit ersten Metallschichtgebieten MiA und MiB, die sich längst in der Y-Richtung erstrecken, und Metall-Null-Durchkontaktierungsgebieten Vo (der Deutlichkeit halber ist nur eines bezeichnet) aufgenommen, die die ersten Metallschichtgebiete M1A und M1B überlappen. Die ersten Metallschichtgebiete MiA entsprechen einer ersten Maskenmenge, die ersten Metallschichtgebiete M1B entsprechen einer zweiten Maskenmenge, die sich von der ersten Maskenmenge unterscheidet, und jede von den Zellen C1 und C2 umfasst kein erstes Metallschicht-Schnittgebiet, das einer von der ersten oder zweiten Maskenmenge entspricht. Die Zelle C1 weist eine Höhe H1 in der Y-Richtung zwischen den Grenzsegmenten BiA und BiB auf und die Zelle C2 weist eine Höhe H1 in der Y-Richtung zwischen den Grenzsegmenten B2A und B2B auf.
  • Die Zellen C1 und C2 sind in 2A vor ihrer Positionierung in dem IC-Layoutdiagramm 200, z. B. durch Ausführen des nachfolgend beschriebenen Vorgangs 120, bildlich dargestellt. In der in 2A bildlich dargestellten Ausführungsform werden die Zellen C1 und C2 aufgenommen, die Positionen aufweisen, die voneinander unabhängig sind. In einigen Ausführungsformen werden die Zellen C1 und C2 aufgenommen, bei denen das Grenzsegment B1B der Zelle C1 an das Grenzsegment B2A der Zelle C2 anstößt, wodurch die Zellen C1 und C2 aneinander anstoßend aufgenommen werden.
  • In einigen Ausführungsformen umfasst das Aufnehmen der einen oder mehreren Zellen das Aufnehmen der Zellen C3 und C4, wie in 3A bildlich dargestellt. Jede von den Zellen C3 und C4 wird mit ersten Metallschichtgebieten M1A und M1B aufgenommen, die sich längs in die Y-Richtung erstrecken und den entsprechenden ersten und zweiten Maskenmengen entsprechen, wobei die Metall-Null-Durchkontaktierungsgebiete Vo (der Deutlichkeit halber ist nur eines bezeichnet) die ersten Metallschichtgebiete M1A und M1B überlappen, und umfasst kein erstes Metallschicht-Schnittgebiet, das einer von der ersten oder zweiten Maskenmenge entspricht. Die Zelle C3 weist eine Höhe H2 in der Y-Richtung zwischen den Grenzsegmenten B3A und B3B auf und die Zelle C4 weist eine Höhe H3 in der Y-Richtung auf, die sich von der Höhe H2 zwischen den Grenzsegmenten B4A und B4B unterscheidet.
  • Die Zellen C3 und C4 sind in 3A bildlich dargestellt, bevor sie, z. B. durch Ausführen des nachfolgend erörterten Vorgangs 120, in dem IC-Layoutdiagramm 300 positioniert werden. In der in 3A bildlich dargestellten Ausführungsform werden die Zellen C3 und C4 aufgenommen, die Positionen aufweisen, die voneinander unabhängig sind. In einigen Ausführungsformen werden die Zellen C3 und C4 aufgenommen, bei denen das Grenzsegment B3B der Zelle C3 an das Grenzsegment B4A der Zelle C4 anstößt, wodurch die Zellen C3 und C4 aneinander anstoßend aufgenommen werden.
  • In verschiedenen Ausführungsformen umfasst das Aufnehmen der einen oder mehreren Zellen, dass die Zellenhöhe von der einen oder den mehreren Zellen der Höhe H1 der in 2A bildlich dargestellten Zelle C1 entspricht oder der Summe der Höhen H2 und H3 von entsprechenden Zellen C3 und C4 entspricht, die in 3A bildlich dargestellt sind, die auch als eine Höhe H4 in 3B bildlich dargestellt ist.
  • In einigen Ausführungsformen umfasst das Aufnehmen der einen oder mehreren Zellen das Aufnehmen der einen oder mehreren Zellen unter Verwendung eines Prozessors eines Computers. In einigen Ausführungsformen umfasst das Aufnehmen der einen oder mehreren Zellen das Aufnehmen der einen oder mehreren Zellen unter Verwendung eines Prozessors 402 des IC-Layout-Erzeugungssystems 400, das nachfolgend unter Bezugnahme auf 4 erörtert wird.
  • Am Vorgang 120 werden die eine oder mehreren Zellen in dem IC-Layout-Diagramm positioniert. Das Positionieren der einen oder mehreren Zellen in dem IC-Layout-Diagramm umfasst das Positionieren der einen oder mehreren Zellen in Bezug zu Leiterbahnen in dem IC-Layout-Diagramm. Die Leiterbahnen, z. B. zweite-Metallschicht-Leiterbahnen, sind parallele Leitungen, die einen Pitch in der zweiten Metallschicht- oder Pitch-Richtung aufweisen und sich in einer Leiterbahnrichtung senkrecht zu der ersten Metallschichtrichtung erstrecken. Die Leiterbahnen definieren Stellen von Metallgebieten, z. B. zweiten Metallschichtgebieten, in dem IC-Layout-Diagramm, die verwendet werden, um Metallsegmente zu definieren, die sich längs in der Leiterbahnrichtung in einer Metallschicht, z. B. einer zweiten Metallschicht, der basierend auf dem IC-Layout-Diagramm hergestellten IC-Vorrichtung erstrecken.
  • Ein Pitch definiert ein Vielfaches, um das entsprechende Metallsegmente in der Pitch-Richtung getrennt sind. In einigen Ausführungsformen umfasst das Ausrichten der Metallsegmente, um den Pitch aufzuweisen, das Zentrieren von jedem Metallgebiet auf einer entsprechenden Leiterbahn, derart dass eine Breite von jedem Metallgebiet durch die Leiterbahn in Hälften geteilt wird. In einigen Ausführungsformen umfasst das Ausrichten der Metallsegmente, um den Pitch aufzuweisen, das Ausrichten der Metallgebiete in der Pitch-Richtung basierend auf einem gemeinsamen Punkt entlang der Breiten der Metallgebiete ohne Zentrieren von jedem Metallgebiet auf einer entsprechenden Leiterbahn.
  • Die Leiterbahnen oder gemeinsamen Punkte definieren dadurch mehrere Stellen entlang der Pitch-Richtung, an denen ein Metallgebiet und dadurch ein entsprechendes Metallsegment sich potenziell befindet. In verschiedenen Ausführungsformen umfasst das Ausrichten der Metallsegmente, um den Pitch aufzuweisen, das Positionieren von mindestens einem Metallgebiet an jeder möglichen Stelle entlang der Pitch-Richtung oder das Positionieren der Metallgebiete durch Auslassen von einer oder mehreren möglichen Stellen entlang der Pitch-Richtung.
  • In einigen Ausführungsformen entsprechen erste und zweite Leiterbahn-Teilmengen der ersten und der zweiten Maskenmenge, wobei die erste Teilmenge von Leiterbahnen sich entlang der Leiterbahnrichtung mit der zweiten Teilmenge von Leiterbahnen abwechselt.
  • Das Positionieren der einen oder mehreren Zellen in Bezug zu den Leiterbahnen umfasst das Ausrichten der Höhe von der einen oder den mehreren Zellen entlang der Pitch-Richtung. In einigen Ausführungsformen ist die Höhe der einen oder mehreren Zellen gleich einem Vielfachen des Pitch. In einigen Ausführungsformen umfasst das Ausrichten der Höhe von der einen oder den mehreren Zellen entlang der Pitch-Richtung das Ausrichten der Höhe H1 der Zelle C1 gleich dem Sechsfachen des zweite-Metallschicht-Pitch M2P, wie in 2B bildlich dargestellt. In einigen Ausführungsformen umfasst das Ausrichten der Höhe von der einen oder den mehreren Zellen entlang der Pitch-Richtung das Ausrichten der Höhe H4 gleich dem Elffachen des zweite-Metallschicht-Pitch M2P und der Summe der Höhen H2 der Zelle C3 (Sechsfaches des zweite-Metallschicht-Pitch M2P) und H3 der Zelle C4 (Fünffaches des zweite-Metallschicht-Pitch M2P), wie in 3B bildlich dargestellt.
  • In verschiedenen Ausführungsformen umfasst das Positionieren der einen oder mehreren Zellen in Bezug zu den Leiterbahnen das Ausrichten von mindestens einem Grenzsegment der einen oder mehreren Zellen mit einer gegebenen Leiterbahn oder an einer vorbestimmten Stelle, z. B. einem Mittelpunkt, zwischen benachbarten Leiterbahnen. In einigen Ausführungsformen umfasst das Positionieren der einen oder mehreren Zellen in Bezug zu Leiterbahnen in dem IC-Layout-Diagramm eines oder beide von dem Ausrichten des Grenzsegments BiA der Zelle C1 zwischen den Metall-Zwei-Leiterbahnen M2T1 und M2T1, z. B. am Mittelpunkt (nicht bezeichnet), oder dem Ausrichten des Grenzsegments BiB der Zelle C1 zwischen den zweite-Metallschicht-Leiterbahnen M2T7 und M2T8, z. B. am Mittelpunkt (nicht bezeichnet), wie in 2B bildlich dargestellt. In einigen Ausführungsformen umfasst das Positionieren der einen oder mehreren Zellen in Bezug zu den Leiterbahnen in dem IC-Layout-Diagramm eines oder mehrere von dem Ausrichten des Grenzsegments B3A der Zelle C3 mit der zweite-Metallschicht-Leiterbahn M2T1, dem Ausrichten des Grenzsegments B3B der Zelle C3 mit der zweite-Metallschicht-Leiterbahn M2T7, dem Ausrichten des Grenzsegments B4A der Zelle C4 mit der zweite-Metallschicht-Leiterbahn M2T7 oder dem Ausrichten des Grenzsegments B4B der Zelle C4 mit der zweite-Metallschicht-Leiterbahn M2T12, wie in 3B bildlich dargestellt.
  • In einigen Ausführungsformen umfasst das Positionieren der einen oder mehreren Zellen in dem IC-Layout-Diagramm das Zum-Anstoßen-Bringen einer ersten Zelle von der einen oder den mehreren Zellen mit einer zweiten Zelle von der einen oder den mehreren Zellen. Das Zum-Anstoßen-Bringen der ersten Zelle mit der zweite Zelle umfasst das Zum-Anstoßen-Bringen eines Grenzsegments der ersten Zelle mit einem Grenzsegment der zweiten Zelle, derart dass die Höhen von jeder von den Zellen in der ersten Metallschichtrichtung ausgerichtet sind. Jede von den ausgerichteten Zellen umfasst Grenzsegmente, die den angestoßenen Grenzsegmenten benachbart sind, und in verschiedenen Ausführungsformen umfasst das Ausrichten der Höhen der Zellen in der Metall-Eins-Richtung das Ausrichten von einem, beiden oder keinem von den entsprechenden benachbarten Grenzsegmenten in der ersten Metallschichtrichtung. In einigen Ausführungsformen umfasst das Positionieren der einen oder mehreren Zellen in dem IC-Layout-Diagramm nicht das Zum-Anstoßen-Bringen von ersten und zweiten Zellen, und die eine oder mehreren Zellen werden im Vorgang 110 mit der an die zweite Zelle anstoßenden ersten Zelle aufgenommen.
  • In einigen Ausführungsformen umfasst das Zum-Anstoßen-Bringen der ersten Zelle mit der zweiten Zelle das Zum-Anstoßen-Bringen des Grenzsegments BiB der Zelle C1 mit dem Grenzsegment B2A der Zelle C2, wie in 2D bildlich dargestellt. In einigen Ausführungsformen umfasst das Zum-Anstoßen-Bringen der ersten Zelle mit der zweiten Zelle das Zum-Anstoßen-Bringen des Grenzsegments B3B der Zelle C3 mit dem Grenzsegment B4A der Zelle C4, wie in 3B bis 3D bildlich dargestellt.
  • Das Positionieren der einen oder mehreren Zellen in dem IC-Layout-Diagramm umfasst das Positionieren der einen oder mehreren Zellen in Bezug zu einer ersten Metallschicht-Schnittgebiet-Ausrichtungsstruktur. Die erste Metallschicht-Schnittgebiet-Ausrichtungsstruktur ist eine Anordnung von Ausrichtungsstellen entlang der ersten Metallschichtrichtung, an denen erste Metallschicht-Schnittgebiete potenziell positioniert sind, wobei die erste Metallschicht-Schnittgebiet-Ausrichtungsstruktur einen Struktur-Pitch gleich der Höhe der einen oder mehreren Zellen aufweist. In verschiedenen Ausführungsformen umfasst die erste Metallschicht-Schnittgebiet-Ausrichtungsstruktur eine Anzahl von Ausrichtungsstellen in einem Bereich von zwei bis sechs.
  • In einigen Ausführungsformen umfasst die erste Metallschicht-Schnittgebiet-Ausrichtungsstruktur erste und zweite Unterstrukturen, die den entsprechenden ersten und zweiten Maskenmengen entsprechen. In verschiedenen Ausführungsformen ist mindestens eine Ausrichtungsstelle der ersten Unterstruktur die gleiche wie mindestens eine Ausrichtungsstelle der zweiten Unterstruktur, oder jede Ausrichtungsstelle der ersten Unterstruktur unterscheidet sich von jeder Ausrichtungsstelle der zweiten Unterstruktur.
  • In verschiedenen Ausführungsformen umfasst das Positionieren der einen oder mehreren Zellen in Bezug zu der Metallschicht-Schnittgebiet-Ausrichtungsstruktur das Positionieren der Zelle C1 in Bezug zu den ersten Metallschicht-Schnittgebieten CMiA und CM1B, die angeordnet sind, wie in 2B bildlich dargestellt, oder das Positionieren der Zellen C3 und C4 in Bezug zu den ersten Metallschicht-Schnittgebieten CMiA und CM1B, die angeordnet sind, wie in 3B bildlich dargestellt. In jeder von den in 2B und 3B bildlich dargestellten Ausführungsformen entsprechen die ersten Metallschicht-Schnittgebiete CMiA und die ersten Metallschichtgebiete M1A der ersten Maskenmenge und die ersten Metallschicht-Schnittgebiete CM1B und die ersten Metallschichtgebiete M1B entsprechen der zweiten Maskenmenge. Die in 2B und 3B bildlich dargestellten Anordnungen sind der Veranschaulichung halber vereinfacht, z. B. indem ein oder mehrere erste Metallschicht-Schnittgebiete, die erste Metallschichtgebiete umfassen, die sich über gesamte Entfernungen zwischen den ersten und den zweiten Metallschicht-Schnittgebieten erstrecken, weggelassen wurden.
  • In der in 2B bildlich dargestellten Ausführungsform entspricht ein Paar von ersten Metallschicht-Schnittgebieten CMiA einer ersten Unterstruktur (nicht bezeichnet), die auf die zweite-Metallschicht-Leiterbahnen M2T1 und M2T7 zentriert ist, und ein Paar von zweiten Metallschicht-Schnittgebieten CM1B entspricht einer zweiten Unterstruktur (nicht bezeichnet), die auf die zweite-Metallschicht-Leiterbahnen M2T2 und M2T8 zentriert ist. Jede von der ersten und der zweiten Unterstruktur weist dadurch einen Struktur-Pitch auf, der gleich der Höhe H1 der Zelle C1 und auch gleich dem Sechsfachen des zweite-Metallschicht-Pitch M2P ist.
  • Wie in 2B veranschaulicht, definieren, da die ersten und zweiten Unterstrukturen separaten Maskenmengen entsprechen, die ersten Metallschicht-Schnittgebiete CM1A entfernte Abschnitte der ersten Metallschichtgebiete M1A unabhängig von entfernten Abschnitten von ersten Metallschichtgebieten M1B und die ersten Metallschicht-Schnittgebiete CM1B definieren entfernte Abschnitte von ersten Metallschichtgebieten M1B unabhängig von entfernten Abschnitten der ersten Metallschichtgebiete MiA.
  • Das Positionieren der Zelle C1 in Bezug zu den ersten Metallschicht-Schnittgebieten CM1A und CM1B, die angeordnet sind, wie in 2B bildlich dargestellt, ist in 2C weiter bildlich dargestellt, in der das IC-Layout-Diagramm 200 die Zelle C1 umfasst, die zwei erste Metallschichtgebiete M1A und drei erste Metallschichtgebiete M1B umfasst. 2C umfasst eine erste Metallschicht-Schnittgebiet-Ausrichtungsstruktur CM1P1, die einen Struktur-Pitch CM1PA in der Y-Richtung aufweist und die Unterstrukturen CM1S1 und CM1S2 umfasst. Der Struktur-Pitch CM1PA ist gleich der Höhe H1 der Zelle C1.
  • Die Unterstruktur CM1S1 umfasst die ersten Metallschicht-Schnittgebiete CM1A, die der ersten Maskenmenge entsprechen, gemeinsam mit den ersten Metallschichtgebieten MiA, und die Unterstruktur CM1S2 umfasst die ersten Metallschicht-Schnittgebiete CMiB, die der zweiten Maskenmenge entsprechen, gemeinsam mit den ersten Metallschichtgebieten M1B.
  • Die Unterstruktur CM1S1 umfasst eine erste Ausrichtungsstelle, die dem Grenzsegment B1B entspricht, eine zweite Ausrichtungsstelle, die von der ersten Ausrichtungsstelle um einen Abstand a1 in der positiven Y-Richtung versetzt ist, und eine dritte Ausrichtungsstelle, die von der zweiten Ausrichtungsstelle um einen Abstand b1 in der positiven Y-Richtung versetzt ist. Die Summe der Abstände a1 und b1 ist gleich dem Struktur-Pitch CM1PA, derart dass eine dritte Ausrichtungsstelle dem Grenzsegment BiA entspricht. In Bezug auf die Y-Richtung ist ein unterstes Grenzsegment von jedem ersten Metallschicht-Schnittgebiet CMiA mit einer entsprechenden von der ersten, zweiten und dritten Ausrichtungsstelle ausgerichtet.
  • Die Unterstruktur CM1S2 umfasst eine erste Ausrichtungsstelle, die dem Grenzsegment BiA entspricht, eine zweite Ausrichtungsstelle, die von der ersten Ausrichtungsstelle um den Abstand a1 in der negativen Y-Richtung versetzt ist, und eine dritte Ausrichtungsstelle, die von der zweiten Ausrichtungsstelle um den Abstand b1 in der negativen Y-Richtung versetzt ist und dadurch dem Grenzsegment B1B entspricht. In Bezug auf die Y-Richtung ist ein oberstes Grenzsegment von jedem ersten Metallschicht-Schnittgebiet CM1B mit einer entsprechenden von der ersten, zweiten und dritten Ausrichtungsstelle ausgerichtet.
  • Durch die vorhergehend erörterte Ausgestaltung sind die Unterstrukturen CM1S1 und CM1S2 um eine Achse symmetrisch, die sich in die X-Richtung erstreckt. Jede von den Unterstrukturen CM1S1 und CM1S2 umfasst ein Paar von Ausrichtungsstellen, die einem Struktur-Pitch CM1PA und den Grenzsegmenten BiA und BiB entsprechen, und eine dritte Ausrichtungsstelle zwischen dem Paar an einer Stelle, die den Abständen a1 und b1 entspricht. In verschiedenen Ausführungsformen ist der Abstand a1 größer als, kleiner als oder gleich dem Abstand bi.
  • Das Positionieren der Zelle C1 in Bezug zu den ersten Metallschicht-Schnittgebieten CM1A und CM1B, die angeordnet sind, wie in 2B und 2C bildlich dargestellt, ist in 2D weiter bildlich dargestellt, in der das IC-Layout-Diagramm 200 die Zelle C1 umfasst, die an die Zelle C2 anstößt, wobei jede von den Zellen C1 und C2 zwei erste Metallschichtgebiete M1A und drei erste Metallschichtgebiete M1B umfasst, die sich längs erstrecken und in der Y-Richtung ausgerichtet sind.
  • In der in 2D bildlich dargestellten Ausführungsform wird im Vergleich zu der in 2C bildlich dargestellten Ausführungsform jede von den Unterstrukturen CM1S1 und CM1S2 wiederholt, um den anstoßenden Zellen C1 und C2 zu entsprechen. Die wiederholte Unterstruktur CM1S1 umfasst ein gemeinsam verwendetes erstes Metallschicht-Schnittgebiet CMiA an einer gemeinsam verwendeten Ausrichtungsstelle, die den anstoßenden Grenzsegmenten B1B und B2A entspricht, und die wiederholte Unterstruktur CM1S2 umfasst ein gemeinsam verwendetes erstes Metallschicht-Schnittgebiet CM1B an einer gemeinsam verwendeten Ausrichtungsstelle, die den anstoßenden Grenzsegmenten B1B und B2A entspricht. Wie dadurch in 2D veranschaulicht, umfasst in einigen Ausführungsformen das Positionieren der Zelle C1 in Bezug zu den ersten Metallschicht-Schnittgebieten CM1A und CM1B das Positionieren der anstoßenden Zellen C1 und C2 in Bezug zu der ersten Metallschicht-Schnittgebiet-Ausrichtungsstruktur CM1P1, die die wiederholten Unterstrukturen CM1S1 und CM1S2 umfasst.
  • 2D stellt ferner die zweiten Metallschichtgebiete M2A und M2B bildlich dar, die den vorhergehend erörterten zweite-Metallschicht-Pitch M2P aufweisen. Die zweiten Metallschichtgebiete 2A entsprechen der ersten Maskenmenge, die zweiten Metallschichtgebiete M2B entsprechen der zweiten Maskenmenge und die zweiten Metallschichtgebiete M2A und M2B sind an abwechselnden zweite-Metallschicht-Leiterbahnen (nicht gezeigt) positioniert, die dem zweite-Metallschicht-Pitch M2P entsprechen. Wie dadurch in 2D veranschaulicht, umfasst in einigen Ausführungsformen das Positionieren der Zelle C1 in Bezug zu den ersten Metallschicht-Schnittgebieten CMiA und CM1B das Positionieren der Zelle C1 in Bezug zu der ersten Metallschicht-Schnittgebiet-Ausrichtungsstruktur CM1P1 ausgerichtet mit den abwechselnden zweiten Metallschichtgebieten M2A und M2B.
  • 2D umfasst zusätzliche Merkmale, die nachfolgend unter Bezugnahme auf die Vorgänge 130 bis 150 erörtert werden.
  • In der in 3B bildlich dargestellten Ausführungsform entspricht ein Paar von ersten Metallschicht-Schnittgebieten CM1A einer ersten Unterstruktur (nicht bezeichnet), ein Paar von ersten Metallschicht-Schnittgebieten CM1B entspricht einer zweiten Unterstruktur (nicht bezeichnet) und beide Paare von ersten Metallschicht-Schnittgebieten CMiA und CM1B sind auf die zweite-Metallschicht-Leiterbahnen M2T1 und M2T12 zentriert. Jede von der ersten und der zweiten Unterstruktur weist dadurch einen Struktur-Pitch auf, der gleich der Höhe H4, der Summe der Höhe H2 der Zelle C3 und der Höhe H3 der Zelle C4 ist, und auch gleich dem Elffachen des zweite-Metallschicht-Pitch M2P ist.
  • Das Positionieren der Zellen C3 und C4 in Bezug zu den ersten Metallschicht-Schnittgebieten CMiA und CM1B, die angeordnet sind, wie in 3B bildlich dargestellt, ist in 3C weiter bildlich dargestellt, in der das IC-Layout-Diagramm 300 jede von den Zellen C3 und C4 umfasst, die zwei erste Metallschichtgebiete M1A und zwei erste Metallschichtgebiete M1B umfasst, die sich längs erstrecken und in der Y-Richtung ausgerichtet sind. Basierend auf dem Grenzsegment B3B der Zelle C3, das an das Grenzsegment B4A der Zelle C4 anstößt, erstrecken sich die zwei ersten Metallschichtgebiete M1A der Zelle C4 in die Zelle C3. 3C umfasst eine erste Metallschicht-Schnittgebiet-Ausrichtungsstruktur CM1P2, die einen Struktur-Pitch CM1PB in der Y-Richtung aufweist und die Unterstrukturen CM1S3 und CM1S4 umfasst. Der Struktur-Pitch CM1PB ist gleich der Höhe H4 (in 3C nicht bezeichnet), der Summe der Höhe H2 der Zelle C3 und der Höhe H3 der Zelle C4.
  • Die Unterstruktur CM1S3 umfasst die ersten Metallschicht-Schnittgebiete CM1A, die der ersten Maskenmenge entsprechen, gemeinsam mit den ersten Metallschichtgebieten MiA, und die Unterstruktur CM1S4 umfasst die ersten Metallschicht-Schnittgebiete CM1B, die der zweiten Maskenmenge entsprechen, gemeinsam mit den ersten Metallschichtgebieten M1B.
  • Die Unterstruktur CM1S3 umfasst eine erste Ausrichtungsstelle, die in der negativen Y-Richtung von dem Grenzsegment B4B beabstandet ist, eine zweite Ausrichtungsstelle, die von der ersten Ausrichtungsstelle um einen Abstand a2 in der positiven Y-Richtung versetzt ist, und eine dritte Ausrichtungsstelle, die von der zweiten Ausrichtungsstelle um einen Abstand b2 in der positiven Y-Richtung versetzt ist. Die Summe der Abstände a2 und b2 ist gleich dem Struktur-Pitch CM1PB, derart dass die dritte Ausrichtungsstelle einem Versatz von dem Grenzsegment B3A in die negative Y-Richtung entspricht, der dem Versatz der ersten Ausrichtungsstelle von dem Grenzsegment B4B gleichwertig ist. In Bezug auf die Y-Richtung ist ein unterstes Grenzsegment von jedem ersten Metallschicht-Schnittgebiet CM1A mit einer entsprechenden von der ersten, zweiten und dritten Ausrichtungsstelle ausgerichtet.
  • Die Unterstruktur CM1S4 umfasst eine erste Ausrichtungsstelle, die von dem Grenzsegment B3A in der positiven Y-Richtung versetzt ist, eine zweite Ausrichtungsstelle, die von der ersten Ausrichtungsstelle um den Abstand a2 in der negativen Y-Richtung versetzt ist, und eine dritte Ausrichtungsstelle, die von der zweiten Ausrichtungsstelle um den Abstand b2 in der negativen Y-Richtung versetzt ist und dadurch einem Versatz in der positiven Y-Richtung von dem Grenzsegment B4B entspricht, der dem Versatz der ersten Ausrichtungsstelle von dem Grenzsegment B3A entspricht. In Bezug auf die Y-Richtung ist ein oberstes Grenzsegment von jedem ersten Metallschicht-Schnittgebiet CM1B mit einer entsprechenden von der ersten, zweiten und dritten Ausrichtungsstelle ausgerichtet.
  • Durch die vorhergehend erörterte Ausgestaltung sind die Unterstrukturen CM1S3 und CM1S4 um eine Achse symmetrisch, die sich in die X-Richtung erstreckt. Jede von den Unterstrukturen CM1S3 und CM1S4 umfasst ein Paar von Ausrichtungsstellen, die einem Struktur-Pitch CM1PB und den Grenzsegmenten B3A und B4B entsprechen, und eine dritte Ausrichtungsstelle zwischen dem Paar an einer Stelle, die den Abständen a2 und b2 entspricht. In verschiedenen Ausführungsformen ist der Abstand a2 größer oder kleiner als der Abstand b2.
  • Das Positionieren der Zellen C3 und C4 in Bezug zu den ersten Metallschicht-Schnittgebieten CMiA und CM1B, die angeordnet sind, wie in 3B und 3C bildlich dargestellt, ist in 3D weiter bildlich dargestellt, die abwechselnde zweite Metallschichtgebiete M2A und M2B umfasst, die den zweite-Metallschicht-Pitch M2P aufweisen, wie vorhergehend erörtert. Wie dadurch in 3D veranschaulicht, umfasst in einigen Ausführungsformen das Positionieren der Zellen C3 und C4 in Bezug zu den ersten Metallschicht-Schnittgebieten CM1A und CM1B das Positionieren der Zellen C3 und C4 in Bezug zu der ersten Metallschicht-Schnittgebiet-Ausrichtungsstruktur CM1P2 ausgerichtet mit den abwechselnden zweiten Metallschichtgebieten M2A und M2B.
  • 3D umfasst zusätzliche Merkmale, die nachfolgend unter Bezugnahme auf die Vorgänge 130 bis 150 erörtert werden.
  • Im Vorgang 130 werden die eine oder mehreren Zellen basierend auf der ersten Metallschicht-Schnittgebiet-Ausrichtungsstruktur mit einem ersten Metallschicht-Schnittgebiet zum Überlappen gebracht. Das Zum-Überlappen-Bringen der einen oder mehreren Zellen mit dem ersten Metallschicht-Schnittgebiet umfasst das Positionieren des ersten Metallschicht-Schnittgebiets an einer Ausrichtungsstelle der ersten Metallschicht-Schnittgebiet-Ausrichtungsstruktur. In verschiedenen Ausführungsformen umfasst das Zum-Überlappen-Bringen der einen oder mehreren Zellen mit dem ersten Metallschicht-Schnittgebiet das Zum-Überlappen-Bringen der einen oder mehreren Zellen mit einem oder mehreren ersten Metallschicht-Schnittgebieten, die allen oder einer Untermenge der Ausrichtungsstellen entsprechen, die in der ersten Metallschicht-Schnittgebiet-Ausrichtungsstruktur umfasst sind.
  • Das Zum-Überlappen-Bringen der einen oder mehreren Zellen mit dem ersten Metallschicht-Schnittgebiet umfasst das erste Metallschicht-Schnittgebiet, das sich längs in die Richtung senkrecht zu der ersten Metallschichtrichtung erstreckt. In verschiedenen Ausführungsformen umfasst das Zum-Überlappen-Bringen der einen oder mehreren Zellen mit dem ersten Metallschicht-Schnittgebiet, dass das erste Metallschicht-Schnittgebiet sich längs quer durch ein einzelnes erstes Metallschichtgebiet oder quer durch mehr als ein erstes Metallschichtgebiet erstreckt.
  • In verschiedenen Ausführungsformen, in denen die erste Metallschicht-Schnittgebiet-Ausrichtungsstruktur erste und zweite Unterstrukturen umfasst, die ersten und zweiten Maskenmengen entsprechen, umfasst das Zum-Überlappen-Bringen der einen oder mehreren Zellen mit dem ersten Metallschicht-Schnittgebiet das Zum-Überlappen-Bringen der einen oder mehreren Zellen mit einem einzigen ersten Metallschicht-Schnittgebiet, das der einen von den Unterstrukturen entspricht, wobei mehr als ein erstes Metallschicht-Schnittgebiet einer der Unterstrukturen entspricht oder mindestens ein erstes Metallschicht-Schnittgebiet jeder von den Unterstrukturen entspricht.
  • In einigen Ausführungsformen umfasst das Zum-Überlappen-Bringen der einen oder mehreren Zellen mit dem ersten Metallschicht-Schnittgebiet das Zum-Überlappen-Bringen der Zelle C1 und/oder der Zelle C2 mit einem oder mehreren von den ersten Metallschicht-Schnittgebieten CMiA oder CM1B (in 2D nicht bezeichnet), wie in 2D bildlich dargestellt. In 2D umfasst das IC-Layout-Diagramm 200 die Zelle C1, die durch ein erstes Metallschicht-Schnittgebiet CM1A entlang des Grenzsegments B1B überlappt wird und an der gemeinsam verwendeten Ausrichtungsstelle der wiederholten Unterstruktur CM1S1 positioniert ist.
  • Wie in 2D weiter bildlich dargestellt, umfasst das IC-Layout-Diagramm 200 die Zelle C2, die von dem ersten Metallschicht-Schnittgebiet CM1A entlang des Grenzsegments B2B überlappt ist und an der untersten Ausrichtungsstelle der Unterstruktur CM1S1 positioniert ist und von den ersten Metallschicht-Schnittgebieten CM1B entlang des Grenzsegments B2A überlappt und an der gemeinsam verwendeten Ausrichtungsstelle der wiederholten Unterstruktur CM1S2 positioniert ist.
  • Wie in 2D bildlich dargestellt, umfasst das IC-Layout-Diagramm 200 die Zelle C2, die durch ein erstes Metallschicht-Schnittgebiet CM1B überlappt ist, das an einer Ausrichtungsstelle der Unterstruktur CM1S2 positioniert ist, die in der negativen Y-Richtung von der gemeinsam verwendeten Ausrichtungsstelle um einen Abstand a1 versetzt ist, wodurch ein Abstand EN1 zwischen dem ersten Metallschicht-Schnittgebiet CM1B und einem benachbarten Metall-Null-Durchkontaktierungsgebiet Vo definiert wird.
  • Der Abstand EN1 ist ein nicht einschränkendes Beispiel für eine Mindest-Metall-Null-Durchkontaktierungsumhüllungsregel, die in einigen Ausführungsformen als eine Erste-Metallschicht-NICHT-erste-Metallschicht-Schnittumhüllungsdurchkontaktierungsregel bezeichnet wird. In einigen Ausführungsformen ist ein Herstellungsprozess in der Lage, einen Abschnitt eines ersten Metallschichtsegments mit einer verbesserten Toleranz gegenüber einer Metall-Null-Durchkontaktierung im Vergleich zu einer Toleranz zu entfernen, die beim Definieren eines ersten Metallschichtsegments in Bezug zu einer Metall-Null-Durchkontaktierung anwendbar ist. Dementsprechend ist, da der Abstand EN1 durch ein Metallschnittgebiet, z. B. das erste Metallschicht-Schnittgebiet CMiB, und nicht durch ein Grenzsegment eines ersten Metallschichtgebiets, z. B. das erste Metallschichtgebiet MiB, definiert wird, der Abstand EN1 in der Lage, einen Wert aufzuweisen, der niedriger als ein Wert einer Mindest-Metall-Null-Durchkontaktierungsumhüllungsregel basierend auf einem Grenzsegment eines ersten Metallschichtgebiets ist, z. B. ein Abstand EN2, der nachfolgend unter Bezugnahme auf Vorgang 140 erörtert wird.
  • Das IC-Layout-Diagramm 200 umfasst auch ein erstes Metallschicht-Schnittgebiet CM1A, das außerhalb der Zelle C1 entlang des Grenzsegments B1A positioniert ist und an der obersten Ausrichtungsstelle der Unterstruktur CM1S1 positioniert ist, wodurch eine Ausführungsform veranschaulicht wird, in der das Zum-Überlappen-Bringen der einen oder mehreren Zellen mit dem ersten Metallschicht-Schnittgebiet das Zum-Überlappen-Bringen eines Abschnitts des der einen oder den mehreren Zellen benachbarten IC-Layout-Diagramms umfasst. In einigen Ausführungsformen ist durch Zum-Überlappen-Bringen eines Abschnitts des IC-Layout-Diagramms benachbart zu einer Zelle mit einem ersten Metallschicht-Schnittgebiet ein erstes Metallschichtgebiet in der Zelle in der Lage, auf das entsprechende Grenzsegment der Zelle ausgeweitet zu werden, wie nachfolgend unter Bezugnahme auf den Vorgang 140 erörtert.
  • In einigen Ausführungsformen umfasst das Zum-Überlappen-Bringen der einen oder mehreren Zellen mit dem ersten Metallschicht-Schnittgebiet das Zum-Überlappen-Bringen der Zellen C3 und C4 mit einem oder mehreren von den ersten Metallschnittgebieten CM1A oder CM1B, wie in 3D bildlich dargestellt. In 3D umfasst das IC-Layout-Diagramm 300 die Zelle C3, die durch ein erstes Metallschicht-Schnittgebiet CM1A überlappt wird, das an der Ausrichtungsstelle positioniert ist, die in der positiven Y-Richtung von der untersten Ausrichtungsstelle der Unterstruktur CM1S3 um einen Abstand A2 versetzt ist.
  • Wie in 3 weiter bildlich dargestellt, umfasst das IC-Layout-Diagramm 300 beide von den Zellen C3 und C4, die durch das erste Metallschicht-Schnittgebiet CM1B entlang anstoßender Grenzsegmente B3B und B4A überlappt sind und an der Ausrichtungsstelle in der negativen Y-Richtung von der obersten Ausrichtungsstelle der Unterstruktur CM1S4 um den Abstand a2 versetzt positioniert sind.
  • Im Vorgang 140 wird in einigen Ausführungsformen ein erstes Metallschichtgebiet von der einen oder den mehreren Zellen erweitert. Das Erweitern des ersten Metallschichtgebiets umfasst das Erweitern von jedem von einem oder mehreren ersten Metallschichtgebieten längs entlang der ersten Metallschichtrichtung in einer oder beiden Polaritäten der ersten Metallschichtrichtung. In verschiedenen Ausführungsformen umfasst das Erweitern des ersten Metallschichtgebiets der einen oder mehreren Zellen das Erweitern des ersten Metallschichtgebiets innerhalb einer Grenze einer einzelnen Zelle, bis zu einem oder mehreren Grenzsegmenten einer einzelnen Zelle oder quer durch ein oder mehrere Grenzsegmente einer ersten Zelle in eine oder mehrere zweite Zellen, z. B. als Teil des Verbindens der ersten Metallschichtgebiete von benachbarten Zellen.
  • In einigen Ausführungsformen umfasst das Erweitern des ersten Metallschichtgebiets das Erweitern des ersten Metallschichtgebiets über ein Metall-Null-Durchkontaktierungsgebiet hinaus um einen Betrag, der ausreicht, um eine Metall-Null-Durchkontaktierungsumhüllungsregel zu erfüllen.
  • In verschiedenen Ausführungsformen, in denen die ersten Metallschichtgebiete ersten und zweiten Maskenmengen entsprechen, umfasst das Erweitern des ersten Metallschichtgebiets das Erweitern eines einzelnen ersten Metallschichtgebiets, das einer von den Unterstrukturen entspricht, mehr als eines ersten Metallschicht-Schnittgebiets, das einer der Unterstrukturen entspricht, oder mindestens eines ersten Metallschicht-Schnittgebiets, das jeder von den Unterstrukturen entspricht.
  • In verschiedenen Ausführungsformen umfasst das Erweitern des ersten Metallschichtgebiets von der einen oder den mehreren Zellen das Erweitern von einem oder mehreren von den ersten Metallschichtgebieten M1A und/oder M1B von einer oder beiden von den Zellen C1 oder C2, wie in 2B bildlich dargestellt, oder den Zellen C3 oder C4, wie in 3D bildlich dargestellt, in denen erweiterte Abschnitte der ersten Metallschichtgebiete M1A und M1B gestrichelten Linien entsprechen, die sich in die X-Richtung erstrecken.
  • In der in 2D bildlich dargestellten Ausführungsform umfasst das Erweitern des ersten Metallschichtgebiets von der einen oder den mehreren Zellen z. B. das Erweitern von allen bis auf eines von den ersten Metallschichtgebieten M1A und M1B über entsprechende Metall-Null-Durchkontaktierungsgebiete Vo um einen Betrag, der ausreicht, um eine Metall-Null-Durchkontaktierungsumschließungsregel zu erfüllen, wie durch den Abstand EN2 angegeben.
  • In verschiedenen Ausführungsformen umfasst das Erweitern des ersten Metallschichtgebiets das Erweitern eines ersten Metallschichtgebiets M1A der Zelle C1 zum Grenzsegment B1A, das dem ersten Metallschicht-Schnittgebiet CM1A der Zelle C1 benachbart entspricht, und/oder das Erweitern eines ersten Metallschichtgebiets M1A der Zelle C2 zum Grenzsegment B2A. In jedem Fall ist das erste Metallschichtgebiet M1A in der Lage, basierend auf einem benachbarten ersten Metallschicht-Schnittgebiet CMiA erweitert zu werden, wodurch sichergestellt wird, dass eine Mindestabstandsregel zwischen benachbarten ersten Metallschichtgebieten erfüllt wird.
  • Auf ähnliche Weise umfasst in einigen Ausführungsformen das Erweitern des ersten Metallschichtgebiets das Erweitern von mindestens einem ersten Metallschichtgebiet M1B der Zelle C1 zum Grenzsegment BiB, das einem entsprechenden ersten Metallschicht-Schnittgebiet CM1B benachbart zur Zelle C1 entspricht. In einigen Ausführungsformen umfasst das Erweitern des ersten Metallschichtgebiets das Verbinden eines ersten Metallschichtgebiets M1B der Zelle C1 mit einem entsprechenden ersten Metallschichtgebiet der Zelle C2 quer durch anstoßende Grenzsegmente B1B und B2A.
  • In der in 3D bildlich dargestellten Ausführungsform umfasst das Erweitern des ersten Metallschichtgebiets von der einen oder den mehreren Zellen z. B. das Verbinden eines ersten Metallschichtgebiets M1A der Zelle C3 mit einem entsprechenden ersten Metallschichtgebiet M1A der Zelle C4 und/oder das Verbinden eines ersten Metallschichtgebiets M1B der Zelle C3 mit dem entsprechenden ersten Metallschichtgebiet M1B der Zelle C4 quer durch die anstoßenden Grenzsegmente B3B und B4A.
  • Dadurch, dass es zu dem oder quer durch das entsprechende Grenzsegment erweitert wird, ist ein erstes Metallschichtgebiet M1A oder M2B in der Lage, einen Abschnitt einer elektrischen Verbindung zu einem darüberliegenden Metall-Interconnect-Merkmal zu definieren, z. B. einer ersten Metallschicht-Interconnect-Struktur Mil, die nachfolgend unter Bezugnahme auf den Vorgang 150 erörtert wird.
  • In verschiedenen Ausführungsformen umfasst, basierend auf den Ausrichtungsstellen einer ersten Metallschicht-Schnittgebietsstruktur, z. B. der ersten Metallschicht-Schnittgebietsstruktur CM1P1 oder CM1P2, und dem Positionieren der einen oder mehreren Zellen, z. B. der Zellen C1 und/oder C2 oder C3 und C4 in Bezug zu zweiten Metallschichtgebieten M2A und M2B, eine elektrische Verbindung zu einem ersten Metallschichtsegment, das durch ein erweitertes erstes Metallschichtgebiet M1A definiert wird, ein zweites Metallschichtsegment, das durch ein zweites Metallschichtgebiet M2A definiert wird, und/oder eine elektrische Verbindung zu einem ersten Metallschichtsegment, das durch ein erweitertes erstes Metallschichtgebiet M1B definiert wird, umfasst ein zweites Metallschichtsegment, das durch ein zweites Metallschichtgebiet M2B definiert wird.
  • Im Vorgang 150 wird in einigen Ausführungsformen ein erweiterter Abschnitt eines ersten Metallschichtgebiets von der einen oder den mehreren Zellen mit einem ersten Metallschicht-Interconnect-Element überlappt. In einigen Ausführungsformen umfasst das Zum-Überlappen-Bringen des ersten Metallschichtgebiets das Zum-Überlappen-Bringen des ersten Metallschichtgebiets mit einem ersten Metallschicht-Durchkontaktierungsgebiet. In einigen Ausführungsformen umfasst das Zum-Überlappen-Bringen des erweiterten Abschnitts des ersten Metallschichtgebiets das Zum-Überlappen-Bringen von jedem von mehr als einem ersten Metallschichtgebiet mit einem entsprechenden ersten Metallschicht-Interconnect-Element.
  • In verschiedenen Ausführungsformen, in denen die ersten Metallschichtgebiete ersten und zweiten Maskenmengen entsprechen, umfasst das Zum-Überlappen-Bringen des erweiterten Abschnitts des ersten Metallschichtgebiets das Zum-Überlappen-Bringen eines einzelnen ersten Metallschichtgebiets, das einer von den Unterstrukturen entspricht, mehr als eines ersten Metallschichtgebiets, das einer der Unterstrukturen entspricht, oder mindestens eines ersten Metallschichtgebiets, das jeder von den Unterstrukturen entspricht.
  • In verschiedenen Ausführungsformen umfasst das Zum-Überlappen-Bringen des erweiterten Abschnitts des ersten Metallschichtgebiets das Zum-Überlappen-Bringen von mindestens einem von den ersten Metallschichtgebieten M1A oder M1B mit dem ersten Metallschicht-Interconnect-Element Mil, das in einigen Ausführungsformen auch als ein erstes Metallschicht-Durchkontaktierungsgebiet bezeichnet wird, wie in jeder von 2D und 3D bildlich dargestellt.
  • In verschiedenen Ausführungsformen sind einige oder sämtliche von den Vorgängen 110 bis 150 in der Lage, als Teil eines durch ein APR-System durchgeführten Automated Placement and Routing (APR) Verfahrens durchgeführt zu werden. In verschiedenen Ausführungsformen umfasst ein APR-Verfahren eines oder eine Kombination von einem konstruktiven Algorithmus, einem iterativen Algorithmus oder einem integrierten Algorithmus.
  • In einem konstruktiven Algorithmus werden die Vorgänge der Platzierung und Leitungsführung Zelle für Zelle durchgeführt. Nachdem ein IC-Layout-Diagramm aktualisiert wurde, um die Platzierung einer gegebenen Zelle und ihre zugehörigem Leitungsführungsverbindungen zu umfassen, umfasst eine zusätzliche Layout-Diagramm-Überarbeitung die Platzierung einer zusätzlichen Zelle und ihrer zugehörigen Leitungsführungsverbindungen.
  • In einem iterativen Algorithmus wird ein Ausgangs-ID-Layout-Diagramm, das mehrere Zellen und zugehörige Leitungsführungsverbindungen umfasst, basierend auf Schaltungsleistungs- und Kompromisskriterien iterativ analysiert und überarbeitet.
  • In einem integrierten Algorithmus werden Schaltungsleistungs- und Kompromisskriterien angewandt, während ein IC-Layout-Diagramm überarbeitet wird, um die Platzierung einer gegebenen Zelle und/oder ihrer Leitungsführungsverbindungen zu umfassen.
  • Im Vorgang 160 wird in einigen Ausführungsformen das IC-Layout-Diagramm in einer Speichervorrichtung gespeichert. In verschiedenen Ausführungsformen umfasst das Speichern des IC-Layout-Diagramms in der Speichervorrichtung das Speichern des IC-Layout-Diagramms in einem nichtflüchtigen maschinenlesbaren Speicher oder einer Zellbibliothek, z. B. einer Datenbank, und/oder umfasst das Speichern des IC-Layout-Diagramms über ein Netzwerk. In einigen Ausführungsformen umfasst das Speichern des IC-Layout-Diagramms in der Speichervorrichtung das Verwenden des IC-Vorrichtungsentwurfssystems 400, das nachfolgend unter Bezugnahme auf 4 erörtert wird.
  • Im Vorgang 170 werden in einigen Ausführungsformen ein oder mehrere Herstellungsvorgänge basierend auf dem IC-Layout-Diagramm durchgeführt. In verschiedenen Ausführungsformen umfasst das Durchführen des einen oder der mehreren Herstellungsvorgänge eines oder mehrere vom Fertigen einer oder mehrerer Halbleitermasken oder mindestens eines Bauelements in einer Schicht einer integrierten Halbleiterschaltung oder dem Durchführen von einer oder mehreren lithografischen Belichtungen basierend auf dem IC-Layout-Diagramm als Teil eines Ablaufs zur Herstellung integrierter Schaltungen, der nachfolgend unter Bezugnahme auf das System 500 zur Herstellung integrierter Schaltungen und 5 erörtert wird.
  • Durch das Ausführen von einigen oder allen von den Vorgängen des Verfahrens 100 umfassen die Vorgänge des Herstellungssystems das Erzeugen eines IC-Layout-Diagramms durch Anwenden einer ersten Metallschicht-Schnittgebiet-Ausrichtungsstruktur auf eine oder mehrere Zellen als Teil des Positionierens der einen oder mehreren Zellen in dem IC-Layout-Diagramm. Durch das Zum-Überlappen-Bringen der einen oder mehreren Zellen mit mindestens einem ersten Metallschicht-Schnittgebiet basierend auf der ersten Metallschicht-Schnittgebiet-Ausrichtungsstruktur wird die elektrische Interconnect-Leitungsführungsflexibilität im Vergleich zu Ansätzen verbessert, die nicht das Anwenden einer ersten Metallschicht-Schnittgebiet-Ausrichtungsstruktur auf eine oder mehrere in einem IC-Layout-Diagramm positionierte Zellen umfassen, z. B. Ansätzen, in denen erste Metallschicht-Schnittgebiete auf der Zellebene definiert sind.
  • In verschiedenen Ausführungsformen wird die verbesserte Flexibilität bei der elektrischen Interconnect-Leitungsführung basierend auf der ersten Metallschicht-Schnittgebiet-Ausrichtungsstruktur durch Anwenden einer Mindest-Metall-Null-Durchkontaktierungsumhüllungsregel basierend auf einem angewandten ersten Metallschicht-Schnittgebiet, das unter Bezugnahme auf den Vorgang 130 erörtert wird, Erweitern eines ersten Metallschichtgebiets benachbart zu einem unter Bezugnahme auf den Vorgang 140 erörterten Metall-Null-Durchkontaktierungsgebiet und/oder Zum-Überlappen-Bringen eines erweiterten Metallschichtgebiets mit einem ersten Metallschicht-Interconnect-Element ermöglicht, das unter Bezugnahme auf die Vorgänge 140 und 150 erörtert wird.
  • 4 ist ein Blockdiagramm des IC-Vorrichtungsentwurfssystems 400 gemäß einigen Ausführungsformen. Einer oder mehrere Vorgänge des Verfahrens 100, das vorhergehend unter Bezugnahme auf 1 bis 3C erörtert wurde, sind unter Verwendung des IC-Vorrichtungsentwurfssystems 400 gemäß einigen Ausführungsformen ausführbar. In verschiedenen Ausführungsformen ist das IC-Vorrichtungsentwurfssystem 400 ein Teil oder ein gesamtes von einem EDA-System, z. B. einem APR-Entwurfssystem.
  • In einigen Ausführungsformen ist das IC-Vorrichtungsentwurfssystem 400 eine Rechenvorrichtung, die einen Prozessor 402 und einen nichtflüchtigen maschinenlesbaren Datenträger 404 umfasst. Der nichtflüchtige maschinenlesbare Datenträger 404 ist unter anderem mit Computerprogrammcode 406, d. h. einer Menge von ausführbaren Befehlen, codiert, d. h. er speichert diese. Die Ausführung der Befehle 406 durch den Prozessor 402 stellt (zumindest teilweise) ein IC-Vorrichtungsentwurfssystem dar, das ein gesamtes Verfahren oder einen Teil davon ausführt, z. B. ein Verfahren 100, das vorhergehend unter Bezugnahme auf 1 bis 3C erörtert wurde (nachfolgend die erwähnten Prozesse und/oder Verfahren).
  • Der Prozessor 402 ist über einen Bus 408 elektrisch an den nichtflüchtigen maschinenlesbaren Datenträger 404 gekoppelt. Der Prozessor 402 ist auch durch den Bus 408 elektrisch an eine E/A-Schnittstelle 410 gekoppelt. Eine Netzwerk-Schnittstelle 412 ist auch über den Bus 408 elektrisch mit dem Prozessor 402 verbunden. Die Netzwerk-Schnittstelle 412 ist mit einem Netzwerk 414 verbunden, derart dass der Prozessor 402 und der nichtflüchtige maschinenlesbare Datenträger 404 in der Lage sind, sich über das Netzwerk 414 mit externen Elementen zu verbinden. Der Prozessor 402 ist ausgestaltet, um Computerprogrammcode 406 auszuführen, der in dem nichtflüchtigen maschinenlesbaren Datenträger 404 codiert ist, um zu bewirken, dass das IC-Vorrichtungsentwurfssystem 400 zum Durchführen der gesamten erwähnten Prozesse und/oder Verfahren oder eines Teils davon verwendbar ist. In einer oder mehreren Ausführungsformen ist der Prozessor 402 eine Zentraleinheit (Central Processing Unit - CPU), ein Multiprozessor, ein verteiltes Verarbeitungssystem, eine anwendungsspezifische integrierte Schaltung (Application Specific Integrated Circuit - ASIC) und/oder eine geeignete Verarbeitungseinheit.
  • In einer oder mehreren Ausführungsformen ist der nichtflüchtige maschinenlesbare Datenträger 404 ein elektronisches, magnetisches, optisches, elektromagnetisches, Infrarot- und/oder Halbleitersystem (oder eine Einrichtung oder Vorrichtung). Zum Beispiel umfasst der nichtflüchtige maschinenlesbare Datenträger 404 einen Halbleiter oder Festkörperspeicher, ein Magnetband, eine austauschbare Computer-Diskette, einen Arbeitsspeicher (Random Access Memory - RAM), einen Nur-Lese-Speicher (Read-Only Memory - ROM), eine starre Magnetplatte und/oder eine optische Platte. In einer oder mehreren Ausführungsformen, die optische Platten verwenden, umfasst der nichtflüchtige maschinenlesbare Datenträger 404 einen Compact-Disc-Nur-Lese-Speicher (CD-ROM), eine wiederbeschreibbare Compact-Disc (CD-R/W) und/oder eine Digital Video Disc (DVD).
  • In einer oder mehreren Ausführungsformen speichert der nichtflüchtige maschinenlesbare Datenträger 404 Computerprogrammcode 406, der ausgestaltet ist, um zu bewirken, dass das IC-Vorrichtungsentwurfssystem 400 zum Durchführen sämtlicher von den erwähnten Prozessen und/oder Verfahren oder eines Teils davon verwendbar ist. In einer oder mehreren Ausführungsformen speichert der nichtflüchtige maschinenlesbare Datenträger 404 auch Informationen, die das Durchführen sämtlicher von den erwähnten Prozessen und/oder Verfahren oder eines Teils davon erleichtern. In verschiedenen Ausführungsformen speichert ein nichtflüchtiger maschinenlesbarer Datenträger 404 eine Zellbibliothek 420 oder einen Teil davon und/oder eine Kombination von mindestens einer Ausrichtungsstruktur 422, die vorhergehend unter Bezugnahme auf das Verfahren 100 und 1 bis 3C erörtert wurde.
  • Das IC-Vorrichtungsentwurfssystem 400 umfasst die E/A-Schnittstelle 410. Die E/A-Schnittstelle 410 ist an externe Schaltungen gekoppelt. In verschiedenen Ausführungsformen umfasst die E/A-Schnittstelle 410 eines oder eine Kombination von einer Tastatur, einem Tastenfeld, einer Maus, einem Track-Ball, einem Trackpad, einer Anzeige, einem berührungsempfindlichen Bildschirm und/oder Cursor-Richtungstasten zum Kommunizieren von Informationen und Befehlen an den und/oder von dem Prozessor 402.
  • Das IC-Vorrichtungsentwurfssystem 400 umfasst auch die Netzwerk-Schnittstelle 412, die an den Prozessor 402 gekoppelt ist. Die Netzwerk-Schnittstelle 412 ermöglicht es dem System 400, mit dem Netzwerk 414 zu kommunizieren, mit dem ein oder mehrere andere Computersysteme verbunden sind. Die Netzwerk-Schnittstelle 712 umfasst drahtlose Netzwerk-Schnittstellen, wie beispielsweise BLUETOOTH, WIFI, WIMAX, GPRS oder WCDMA; oder drahtgebundene Netzwerk-Schnittstellen, wie beispielsweise ETHERNET, USB oder IEEE-1364. In einer oder mehreren Ausführungsformen werden die gesamten erwähnten Prozesse und/oder Verfahren oder ein Teil davon in zwei oder mehr Systemen 400 implementiert.
  • Das IC-Vorrichtungsentwurfssystem 400 ist ausgestaltet, um Informationen durch die E/A-Schnittstelle 410 zu empfangen. Die durch die E/A-Schnittstelle 410 empfangenen Informationen umfassen eines von mindestens einem IC-Layout-Diagramm, mindestens einer Ausrichtungsstruktur und/oder anderen Parametern zur Verarbeitung durch den Prozessor 402 oder eine Kombination davon. Die Informationen werden über den Bus 408 an den Prozessor 402 übertragen. Das IC-Vorrichtungsentwurfssystem 400 ist ausgestaltet, um Informationen, die eine Benutzeroberfläche betreffen, durch die E/A-Schnittstelle 410 zu senden und/oder zu empfangen.
  • In einigen Ausführungsformen werden sämtliche von den erwähnten Prozessen und/oder Verfahren oder ein Teil davon als selbständige Software-Anwendung zur Ausführung durch einen Prozessor implementiert. In einigen Ausführungsformen werden sämtliche von den erwähnten Prozessen und/oder Verfahren oder ein Teil davon als eine Software-Anwendung implementiert, die Teil einer zusätzlichen Software-Anwendung ist. In einigen Ausführungsformen werden sämtliche von den erwähnten Prozessen und/oder Verfahren oder ein Teil davon als ein Plug-in in eine Software-Anwendung implementiert. In einigen Ausführungsformen wird mindestens einer/eines von den erwähnten Prozessen und/oder Verfahren als eine Software-Anwendung implementiert, die ein Abschnitt eines EDA-Werkzeugs ist. In einigen Ausführungsformen wird ein IC-Layout-Diagramm unter Verwendung eines Werkzeugs, wie beispielsweise VIRTUOSO®, das bei CADENCE DESIGN SYSTEMS, Inc., erhältlich ist, oder eines anderen geeigneten Layout-Erzeugungswerkzeugs erzeugt.
  • In einigen Ausführungsformen werden die Prozesse als Funktionen eines Programms ausgeführt, das in einem nichtflüchtigen maschinenlesbaren Datenträger gespeichert ist. Beispiele für einen nichtflüchtigen maschinenlesbaren Datenträger umfassen (eine) externe/n/auswechselbare/n und/oder interne/n/eingebaute/n Speicher oder Speichereinheit, z. B. eines oder mehrere von einer optischen Platte, wie beispielsweise eine DVD, einer Magnetplatte, wie beispielsweise eine Festplatte, einem Halbleiterspeicher, wie beispielsweise einen ROM, einen RAM, eine Speicherkarte und dergleichen, sind aber nicht darauf beschränkt.
  • Dadurch, dass sie verwendbar sind, um einen oder mehrere Vorgänge des Verfahrens 100 auszuführen, wie vorhergehend unter Bezugnahme auf 1 bis 3C erörtert, ermöglichen das IC-Vorrichtungsentwurfssystem 400 und der nichtflüchtige maschinenlesbare Datenträger, z. B. der nichtflüchtige maschinenlesbare Datenträger 404, die vorhergehend unter Bezugnahme auf das Verfahren 100 erörterten Vorteile.
  • 5 ist ein Blockdiagramm eines IC-Herstellungssystems 500 und eines zugehörigen Ablaufs zur Herstellung integrierter Schaltungen gemäß einigen Ausführungsformen. In einigen Ausführungsformen wird basierend auf dem Layoutdiagramm mindestens eines von (A) einer oder mehreren Halbleitermasken oder (B) mindestens einem Bauelement in einer Schicht einer integrierten Halbleiterschaltung unter Verwendung des Herstellungssystems 500 hergestellt.
  • In 5 umfasst das Halbleiter-Herstellungssystem 500 Einheiten, wie beispielsweise ein Design-Haus 520, ein Maskenhaus 530 und einen Hersteller der integrierten Schaltungen („Fab“) 550, die bei den Entwurfs-, Entwicklungs- und Herstellungszyklen und/oder Dienstleistungen, die die Herstellung einer integrierten Schaltungsvorrichtung 560 betreffen, miteinander interagieren. Die Einheiten in dem System 500 sind durch ein Kommunikationsnetzwerk verbunden. In einigen Ausführungsformen ist das Kommunikationsnetzwerk ein einziges Netzwerk. In einigen Ausführungsformen ist das Kommunikationsnetzwerk eine Vielzahl von verschiedenen Netzwerken, wie beispielsweise ein Intranet und das Internet. Das Kommunikationsnetzwerk umfasst drahtgebundene und/oder drahtlose Kommunikationskanäle. Jede Einheit interagiert mit einer oder mehreren von den anderen Einheiten und stellt einer oder mehreren von den anderen Einheiten Dienstleistungen bereit und/oder empfängt Dienstleistungen von ihnen. In einigen Ausführungsformen befinden sich zwei oder mehr von dem Design-Haus 520, dem Maskenhaus 530 und dem IC-Fab 550 im Besitz eines einzigen größeren Unternehmens. In einigen Ausführungsformen bestehen zwei oder mehr von dem Design-Haus 520, dem Maskenhaus 530 und dem IC-Fab 550 nebeneinander in einer gemeinsamen Einrichtung und verwenden gemeinsame Ressourcen.
  • Das Design-Haus (oder Design-Team) 520 erzeugt ein integriertes Schaltungsentwurfs-Layout-Diagramm 822 basierend auf dem vorhergehend unter Bezugnahme auf 1 bis 3C erörterten Verfahren. Das IC-Entwurfs-Layout-Diagramm 522 umfasst verschiedene geometrische Strukturen, die Strukturen aus Metall, Oxid oder Halbleiterschichten entsprechen, die die verschiedenen Bauelemente der zu fertigenden integrierten Schaltungsvorrichtung 560 bilden. Die verschiedenen Schichten werden kombiniert, um verschiedene Merkmale der integrierten Schaltung zu bilden. Zum Beispiel umfasst ein Abschnitt des Entwurfs-Layout-Diagramms 522 der integrierten Schaltung verschiedene Merkmale der integrierten Schaltung, wie beispielsweise ein aktives Gebiet, eine Gate-Elektrode, Source und Drain, Metallleitungen oder Durchkontaktierungen einer Zwischenschicht-Zusammenschaltung und Öffnungen für Bondinseln, die innerhalb eines Halbleitersubstrats (wie beispielsweise einem Silizium-Wafer) zu bilden sind, und verschiedene Materialschichten, die auf dem Halbleitersubstrat angeordnet sind. Das Design-Haus 520 führt eine richtige Entwurfsprozedur durch, die einen oder mehrere Vorgänge des Verfahrens 100 umfassen, das vorhergehend unter Bezugnahme auf 1 bis 3C erörtert wurde, um das IC-Entwurfs-Layout-Diagramm 522 zu bilden. Der Entwurfsprozess umfasst eines oder mehrere von dem logischen Entwurf, physischen Entwurf oder der Platzierung und Leitungsführung. Das Layout-Diagramm 522 der integrierten Schaltung wird in einer oder mehreren Datendateien dargestellt, die Informationen über die geometrischen Strukturen aufweisen. Zum Beispiel kann das Entwurfs-Layout-Diagramm 522 der integrierten Schaltung in einem GDSII-Dateiformat oder DFII-Dateiformat ausgedrückt werden.
  • Das Maskenhaus 530 umfasst die Datenvorbereitung 532 und die Maskenherstellung 544. Das Maskenhaus 530 verwendet das Entwurfs-Layout-Diagramm 522 der integrierten Schaltung zur Herstellung von einer oder mehreren Masken 545, die zur Herstellung der verschiedenen Schichten der integrierten Schaltungsvorrichtung 560 gemäß dem Entwurfs-Layout-Diagramm 522 der integrierten Schaltung zu verwenden ist/sind. Das Maskenhaus 530 führt die Maskendatenvorbereitung 532 durch, wo das Entwurfs-Layout-Diagramm 522 der integrierten Schaltung in eine repräsentative Datendatei (Representative Data File - RDF) umgewandelt wird. Die Maskendatenvorbereitung 532 stellt die RDF zur Maskenherstellung 544 bereit. Die Maskenherstellung 544 umfasst einen Maskenschreiber. Ein Maskenschreiber wandelt die RDF in ein Bild auf einem Substrat, wie beispielsweise einer Maske (Retikel) 545 oder einem Halbleiter-Wafer 553, um. Das Entwurfs-Layout-Diagramm 522 wird durch die Maskendatenvorbereitung 532 bearbeitet, um bestimmte Eigenschaften des Maskenschreibers und/oder Anforderungen des IC-Fab 550 zu erfüllen. In 5 sind die Maskendatenvorbereitung 532 und Maskenherstellung 544 als getrennte Elemente veranschaulicht. In einigen Ausführungsformen können die Maskendatenvorbereitung 532 und Maskenherstellung 544 gemeinsam als Maskendatenvorbereitung bezeichnet werden.
  • In einigen Ausführungsformen umfasst die Maskendatenvorbereitung 532 Optical Proximity Correction (OPC - optische Nahbereichskorrektur), die Lithographieverbesserungstechniken verwendet, um Bildfehler zu kompensieren, wie beispielsweise diejenigen, die aus Beugung, Interferenz, anderen Prozessauswirkungen und dergleichen entstehen. Die OPC passt das Entwurfs-Layout-Diagramm 522 der integrierten Schaltung an. In einigen Ausführungsformen umfasst die Maskendatenvorbereitung 532 weitere Auflösungsverbesserungstechniken (Resolution Enhancement Techniques - RET), wie beispielsweise Off-Axis Illumination (OAI - Schrägbeleuchtung), Sub-Resolution-Assist-Merkmale (optisch nicht auflösbare Hilfsstrukturen), Phase-Shifting Masks (PSM - Phasenverschiebungsmasken), andere zweckmäßige Techniken und dergleichen oder Kombinationen davon. In einigen Ausführungsformen wird auch Inverse Lithography Technology (ILT) verwendet, die OPC als ein inverses Abbildungsproblem behandelt.
  • In einigen Ausführungsformen umfasst die Maskendatenvorbereitung 532 einen Mask Rule Checker (MRC), der das Entwurfs-Layout-Diagramm 522 der integrierten Schaltung, die bei der OPC Prozesse durchlaufen hat, mit einer Menge von Maskenerzeugungsregeln überprüft, die gewisse geometrische und/oder Vernetzungsfähigkeitseinschränkungen enthalten, um ausreichende Spielräume sicherzustellen, um die Veränderlichkeit bei den Halbleiter-Herstellungsprozessen und dergleichen zu berücksichtigen. In einigen Ausführungsformen wandelt der MRC das Entwurfs-Layout-Diagramm 522 der integrierten Schaltung ab, um Begrenzungen während der Maskenherstellung 544 auszugleichen, die einen Teil der durch die OPC zur Erfüllung der Maskenerzeugungsregeln durchgeführten Abwandlungen rückgängig machen können.
  • In einigen Ausführungsformen umfasst die Maskendatenvorbereitung 532 Lithography Process Checking (LPC), das die Verarbeitung simuliert, die durch den Hersteller der integrierten Schaltung 550 ausgeführt werden wird, um die integrierte Schaltungsvorrichtung 560 herzustellen. Das LPC simuliert diese Verarbeitung basierend auf dem Entwurfs-Layout-Diagramm 522 der integrierten Schaltung, um eine simulierte hergestellte Vorrichtung, wie beispielsweise die integrierte Schaltungsvorrichtung 560, zu erzeugen. Die Verarbeitungsparameter bei der LPC-Simulation können Parameter, die verschiedenen Prozessen des Herstellungszyklus der integrierten Schaltung zugehörig sind, Parameter, die Werkzeugen zugehörig sind, die zur Herstellung der integrierten Schaltung verwendet werden, und/oder andere Gesichtspunkte des Herstellungsprozesses umfassen. Die LPC berücksichtigt verschiedene Faktoren, wie beispielsweise Luftbildkontrast (Aerial Image Contrast), Schärfentiefe (Depth Of Focus - DOF), Mask Error Enhancement Factor (MEEF), andere geeignete Faktoren und dergleichen oder Kombinationen davon. In einigen Ausführungsformen werden, nachdem eine simulierte hergestellte Vorrichtung durch LPC erzeugt wurde, wenn die Form der simulierten Vorrichtung nicht nahe genug ist, um Entwurfsregeln zu erfüllen, die OPC und/oder MRC wiederholt, um das Entwurfs-Layout-Diagramm 522 der integrierten Schaltung weiter zu verfeinern.
  • Es versteht sich, dass die vorhergehende Beschreibung der Maskendatenvorbereitung 532 der Deutlichkeit halber vereinfacht wurde. In einigen Ausführungsformen umfasst die Datenvorbereitung 532 zusätzliche Merkmale, wie beispielsweise eine logische Operation (LOP), um das Entwurfs-Layout-Diagramm 522 der integrierten Schaltung 522 gemäß Herstellungsregeln abzuwandeln. Zusätzlich können die Prozesse, die auf das Entwurfs-Layout-Diagramm 522 der integrierten Schaltung während der Datenvorbereitung 532 angewandt wurden, in einer Vielzahl von unterschiedlichen Reihenfolgen ausgeführt werden.
  • Nach der Maskendatenvorbereitung 532 und während der Maskenfertigung 544 werden eine Maske 545 oder eine Gruppe von Masken 545 basierend auf dem abgewandelten Entwurfs-Layoutdiagramm 522 der integrierten Schaltung hergestellt. In einigen Ausführungsformen umfasst die Maskenherstellung 544 das Durchführen von einer oder mehreren lithografischen Belichtungen basierend auf dem IC-Entwurfs-Layout-Diagramm 522. In einigen Ausführungsformen wird ein Elektronenstrahl (E-Strahl) oder ein Mechanismus mit mehreren E-Strahlen verwendet, um eine Struktur auf einer Maske (Photomaske oder Retikel) 545 basierend auf dem abgewandelten Entwurfs-Layoutdiagramm 522 der integrierten Schaltung zu bilden. Die Maske 545 kann in verschiedenen Technologien gebildet werden. In einigen Ausführungsformen wird die Maske 545 unter Verwendung von binärer Technologie gebildet. In einigen Ausführungsformen umfasst eine Maskenstruktur lichtundurchlässige Gebiete und lichtdurchlässige Gebiete. Ein Strahlenbündel, wie beispielsweise ein ultraviolettes (UV) Strahlenbündel, das verwendet wird, um die bildempfindliche Materialschicht (z. B. Photoresist), mit der ein Wafer beschichtet wurde, zu belichten, wird durch das lichtundurchlässige Gebiet blockiert und durch die lichtdurchlässigen Gebiete durchgelassen. In einem Beispiel umfasst eine Binärmaskenversion der Maske 545 ein lichtdurchlässiges Substrat (z. B. Quarzglas) und ein lichtundurchlässiges Material (z. B. Chrom), mit dem die lichtundurchlässigen Gebiete der Binärmaske beschichtet sind. In einem anderen Beispiel wird die Maske 545 unter Verwendung einer Phasenverschiebungstechnologie gebildet. In einer Phasenverschiebungsmaskenversion (PSM) der Maske 545 sind verschiedene Merkmale in der Struktur, die auf der Phasenverschiebungsmaske gebildet wird, ausgestaltet, um eine richtige Phasendifferenz aufzuweisen, um die Auflösung und Abbildungsqualität zu verbessern. In verschiedenen Beispielen kann die Phasenverschiebungsmaske eine gedämpfte Phasenverschiebungsmaske oder alternierende Phasenverschiebungsmaske sein. Die durch die Maskenherstellung 544 erzeugte/n Masken wird/werden in einer Vielzahl von Prozessen verwendet. Zum Beispiel wird (werden) (eine) solche Maske/n zum Bilden verschiedener dotierter Gebiete in dem Halbleiter-Wafer 553 in einem Ionenimplantationsprozess, zum Bilden verschiedener Ätzgebiete in dem Halbleiter-Wafer 553 in einem Ätzprozess und/oder in anderen zweckmäßigen Prozessen verwendet.
  • Der Hersteller 550 der integrierten Schaltung umfasst die Wafer-Herstellung 552. Der Hersteller 550 der integrierten Schaltungen ist ein Unternehmen zur Herstellung integrierter Schaltungen, das eine oder mehrere Herstellungsanlagen für die Herstellung einer Vielzahl von verschiedenen integrierten Schaltungsprodukten umfasst. In einigen Ausführungsformen ist der Hersteller der integrierten Schaltung 550 eine Halbleiter-Foundry. Zum Beispiel kann eine Herstellungsanlage für die Front-End-Fertigung mehrerer integrierter Schaltungsprodukte (d. h. Front-End-Of-Line-Fertigung - FEOL) vorhanden sein, während eine zweite Herstellungsanlage die Back-End-Fertigung für die Zusammenschaltung und das Packaging der integrierten Schaltungsprodukte (Back-End-Of-Line-Fertigung - BEOL) bereitstellen kann und eine dritte Herstellungsanlage andere Dienste für das Foundry-Geschäft bereitstellen kann.
  • Der Hersteller 550 der integrierten Schaltung verwendet die Maske (oder Masken) 545, die durch das Maskenhaus 530 gefertigt wurden, zur Fertigung der integrierten Schaltungsvorrichtung 560. So verwendet der Hersteller 550 der integrierten Schaltung zumindest indirekt das Entwurfs-Layout-Diagramm 522 der integrierten Schaltung zur Herstellung der integrierten Schaltungsvorrichtung 560. In einigen Ausführungsformen wird ein Halbleiter-Wafer 553 durch den Hersteller 550 der integrierten Schaltung unter Verwendung der Maske/n 545 zum Bilden der integrierten Schaltungsvorrichtung 560 gefertigt. In einigen Ausführungsformen umfasst die IC-Fertigung das Durchführen von einer oder mehreren lithografischen Belichtungen zumindest indirekt basierend auf dem IC-Entwurfs-Layout-Diagramm 522. Der Halbleiter-Wafer 553 umfasst ein Siliziumsubstrat oder anderes geeignetes Substrat, das darauf gebildete Materialschichten aufweist. Der Halbleiter-Wafer 553 umfasst ferner eines oder mehrere von verschiedenen dotierten Gebieten, dielektrischen Merkmalen, Zusammenschaltungen auf mehreren Ebenen und dergleichen (die in anschließenden Herstellungsschritten gebildet werden).
  • Details, die ein System zur Herstellung integrierter Schaltungen (z. B. das System 500 von 5) und einen dazugehörigen Ablauf zur Herstellung integrierter Schaltungen betrifft, finden sich z. B. in der US-Patentschrift US 9 256 709 B2 die am 9. Februar 2016 erteilt wurde, der US-Vorveröffentlichung US 2015 / 0 278 429 A1 , die am 1. Oktober 2015 veröffentlicht wurde, der US-Vorveröffentlichung US 2014 / 0 040 838 A1 , die am 6. Februar 2014 veröffentlicht wurde, und der US-Patentschrift US 7 260 442 B2 , die am 21. August 2007 erteilt wurde,
  • In einigen Ausführungsformen umfasst ein Verfahren zum Erzeugen eines IC-Layout-Diagramms das Positionieren von einer oder mehreren Zellen in einem IC-Layout-Diagramm und das Zum-Überlappen-Bringen der einen oder mehreren Zellen mit einem ersten Metallschicht-Schnittgebiet basierend auf einer ersten Metallschicht-Schnittgebiet-Ausrichtungsstruktur. Die erste Metallschicht-Schnittgebiet-Ausrichtungsstruktur umfasst einen Struktur-Pitch, der gleich einer Höhe von der einen oder den mehreren Zellen ist. In einigen Ausführungsformen umfasst das Verfahren das Aufnehmen der einen oder mehreren Zellen von einer Zellbibliothek. In verschiedenen Ausführungsformen ist die Höhe der einen oder mehreren Zellen eine Höhe einer einzigen Zelle von der einen oder den mehreren Zellen. In einigen Ausführungsformen umfassen die eine oder mehreren Zellen in dem IC-Layout-Diagramm eine erste Zelle von der einen oder den mehreren Zellen, die an eine zweite Zelle von der einen oder den mehreren Zellen anstößt, wobei die erste Zelle von der einen oder den mehreren Zellen eine erste Zellenhöhe aufweist, die sich von einer zweiten Zellenhöhe der zweiten Zelle von der einen oder den mehreren Zellen unterscheidet, und die Höhe der einen oder der mehreren Zellen ist gleich einer Summe der ersten Zellenhöhe und der zweiten Zellenhöhe. In einigen Ausführungsformen umfasst die erste Metallschicht-Schnittgebiet-Ausrichtungsstruktur eine erste Unterstruktur und eine zweite Unterstruktur, wobei jede von der ersten Unterstruktur und der zweiten Unterstruktur erste und zweite Ausrichtungsstellen umfasst, die um einen ersten Abstand gleich dem Struktur-Pitch getrennt sind, und eine dritte Ausrichtungsstelle zwischen der ersten und der zweiten Ausrichtungsstelle und die erste und die zweite Ausrichtungsstelle sind um eine Achse senkrecht zu einer Richtung symmetrisch, die der Höhe der einen oder mehreren Zellen entspricht. In einigen Ausführungsformen entspricht die erste Unterstruktur einer ersten Maskenmenge und die zweite Unterstruktur entspricht einer zweiten Maskenmenge. In einigen Ausführungsformen sind die erste und die dritte Ausrichtungsstelle durch einen zweiten Abstand getrennt, der sich von einem dritten Abstand unterscheidet, der die zweite und die dritte Ausrichtungsstelle trennt. In einigen Ausführungsformen umfasst das Zum-Überlappen-Bringen der einen oder mehreren Zellen mit dem ersten Metallschicht-Schnittgebiet basierend auf der ersten Metallschicht-Schnittgebiet-Ausrichtungsstruktur das Positionieren der ersten Metallschicht-Schnittgebiet-Ausrichtungsstruktur basierend auf mehreren zweite-Metallschicht-Leiterbahnen. In einigen Ausführungsformen umfasst das Verfahren das Erweitern des ersten Metallschichtgebiets von der einen oder den mehreren Zellen zu einem oder quer durch ein Grenzsegment von der einen oder den mehreren Zellen. In einigen Ausführungsformen umfasst das Verfahren das Zum-Überlappen-Bringen eines erweiterten Abschnitts des ersten Metallschichtgebiets von der einen oder den mehreren Zellen mit einem ersten Metallschicht-Interconnect-Element.
  • In einigen Ausführungsformen umfasst ein Verfahren zum Erzeugen eines IC-Layout-Diagramms das Zum-Anstoßen-Bringen einer ersten Zelle mit einer zweiten Zelle in einem IC-Layout-Diagramm, das Positionieren der ersten und der zweiten Zelle in Bezug auf eine erste Metallschicht-Schnittgebiet-Ausrichtungsstruktur und das Zum-Überlappen-Bringen von mindestens einer von der ersten oder der zweiten Zelle mit einem ersten Metallschicht-Schnittgebiet basierend auf der ersten Metallschicht-Schnittgebiet-Ausrichtungsstruktur. In einigen Ausführungsformen umfasst die erste Metallschicht-Schnittgebiet-Ausrichtungsstruktur einen Struktur-Pitch, der gleich einer Höhe von jeder von der ersten und der zweiten Zelle ist. In einigen Ausführungsformen weist die erste Zelle eine erste Zellenhöhe auf, die sich von einer zweiten Zellenhöhe der zweiten Zelle unterscheidet, und die erste Metallschicht-Schnittgebiet-Ausrichtungsstruktur umfasst einen Struktur-Pitch, der gleich einer Summe der ersten Zellenhöhe und der zweiten Zellenhöhe ist. In einigen Ausführungsformen umfasst die erste Metallschicht-Schnittgebiet-Ausrichtungsstruktur eine erste Unterstruktur, die einer ersten Maskenmenge entspricht, und eine zweite Unterstruktur, die einer zweiten Maskenmenge entspricht, die sich von der ersten Maskenmenge unterscheidet. In einigen Ausführungsformen umfasst das Positionieren der ersten und der zweiten Zelle in Bezug auf die erste Metallschicht-Schnittgebiet-Ausrichtungsstruktur das Ausrichten eines Grenzsegments von einer von der ersten oder der zweiten Zelle zwischen zwei zweite-Metallschicht-Leiterbahnen, das Positionieren einer Ausrichtungsstelle der ersten Unterstruktur entlang einer zweite-Metallschicht-Leiterbahn und das Positionieren einer Ausrichtungsstelle der zweiten Unterstruktur entlang der anderen zweite-Metallschicht-Leiterbahn. In einigen Ausführungsformen umfasst das Positionieren der ersten und der zweiten Zelle in Bezug auf die erste Metallschicht-Schnittgebiet-Ausrichtungsstruktur das Ausrichten eines Grenzsegments von einer von der ersten oder der zweiten Zelle mit einer zweite-Metallschicht-Leiterbahn und das Positionieren einer Ausrichtungsstelle von jeder von der ersten und der zweiten Unterstruktur entlang der zweite-Metallschicht-Leiterbahn. In einigen Ausführungsformen umfasst das Verfahren basierend auf dem IC-Layout-Diagramm mindestens eines von dem Fertigen von mindestens einer oder mehreren Halbleitermasken oder mindestens einem Bauelement in einer Schicht einer integrierten Halbleiterschaltung oder dem Durchführen von einer oder mehreren lithographischen Belichtungen.
  • In einigen Ausführungsformen umfasst ein IC-Layout-Diagramm-Erzeugungssystem einen Prozessor und einen nichtflüchtigen maschinenlesbaren Datenträger, der Computerprogrammcode für ein oder mehrere Programme umfasst. Der nichtflüchtige maschinenlesbare Datenträger und der Computerprogrammcode sind ausgestaltet, um mit dem Prozessor zu bewirken, dass das System eine Zelle von einer Zellbibliothek empfängt, wobei die Zelle kein erstes Metallschicht-Schnittgebiet umfasst, ein Grenzsegment der Zelle an einer vorbestimmten Stelle zwischen benachbarten zweite-Metallschicht-Leiterbahnen ausrichtet, die Zelle in Bezug auf eine erste Metallschicht-Schnittgebiet-Ausrichtungsstruktur positioniert, die basierend auf den zweite-Metallschicht-Leiterbahnen positioniert ist, die Zelle mit dem ersten Metallschicht-Schnittgebiet basierend auf der ersten Metallschicht-Schnittgebiet-Ausrichtungsstruktur zum Überlappen bringt und ein IC-Layout-Diagramm einer IC-Vorrichtung basierend auf der Zelle und dem ersten Metallschicht-Schnittgebiet erzeugt. In einigen Ausführungsformen weisen die zweite-Metallschicht-Leiterbahnen einen zweite-Metallschicht-Pitch auf und die Zelle weist eine Zellenhöhe auf, die gleich einem Vielfachen des zweite-Metallschicht-Pitch ist. In einigen Ausführungsformen sind der nichtflüchtige maschinenlesbare Datenträger und der Computerprogrammcode ausgestaltet, um mit dem Prozessor ferner zu bewirken, dass das System das erste Metallschichtgebiet der Zelle mit einem erweiterten Abschnitt erweitert, der das Grenzsegment erreicht, und den erweiterten Abschnitt in eine Definition einer elektrischen Verbindung mit einem ersten Metallschichtsegment aufzunehmen, das durch das erste Metallschichtgebiet definiert ist.

Claims (19)

  1. Verfahren zum Erzeugen eines IC-Layout-Diagramms, wobei das Verfahren umfasst: Positionieren von einer oder mehreren Zellen in dem IC-Layout-Diagramm (200, 300); und Zum-Überlappen-Bringen der einen oder mehreren Zellen mit einem ersten Metallschicht-Schnittgebiet basierend auf einer ersten Metallschicht-Schnittgebiet-Ausrichtungsstruktur, wobei die erste Metallschicht-Schnittgebiet-Ausrichtungsstruktur einen Struktur-Pitch umfasst, der gleich einer Höhe von der einen oder den mehreren Zellen ist; wobei das Zum-Überlappen-Bringen der einen oder mehreren Zellen mit dem ersten Metallschicht-Schnittgebiet basierend auf der ersten Metallschicht-Schnittgebiet-Ausrichtungsstruktur ein Positionieren der ersten Metallschicht-Schnittgebiet-Ausrichtungsstruktur basierend auf mehreren zweite-Metallschicht-Leiterbahnen umfasst.
  2. Verfahren nach Anspruch 1, das ferner ein Empfangen der einen oder mehreren Zellen von einer Zellbibliothek (420) umfasst.
  3. Verfahren nach Anspruch 1 oder 2, wobei das Positionieren das Positionieren mehrerer Zellen in dem IC-Layout-Diagramm (200, 300) umfasst und der Struktur-Pitch gleich der Höhe einer einzigen Zelle der mehreren Zellen ist.
  4. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Zellen in dem IC-Layout-Diagramm (200, 300) eine erste Zelle anstoßend mit einer zweiten Zelle umfassen, die erste Zelle eine erste Zellenhöhe aufweist, die sich von einer zweiten Zellenhöhe der zweiten Zelle unterscheidet, und die Höhe der einen oder mehreren Zellen gleich einer Summe der ersten Zellenhöhe und der zweiten Zellenhöhe ist.
  5. Verfahren nach einem der vorhergehenden Ansprüche, wobei die erste Metallschicht-Schnittgebiet-Ausrichtungsstruktur eine erste Unterstruktur und eine zweite Unterstruktur umfasst, jede von der ersten Unterstruktur und der zweiten Unterstruktur eine erste und eine zweite Ausrichtungsstelle, die durch einen ersten Abstand getrennt sind, der gleich dem Struktur-Pitch ist, und eine dritte Ausrichtungsstelle zwischen der ersten und der zweiten Ausrichtungsstelle umfasst, und die erste und die zweite Unterstruktur bezüglich einer Achse senkrecht zu einer Richtung symmetrisch sind, die der Höhe der einen oder mehreren Zellen entspricht.
  6. Verfahren nach Anspruch 5, wobei die erste Unterstruktur einem ersten Maskensatz entspricht und die zweite Unterstruktur einem zweiten Maskensatz entspricht.
  7. Verfahren nach Anspruch 5 oder 6, wobei die erste und die dritte Ausrichtungsstelle durch einen zweiten Abstand getrennt sind, der sich von einem dritten Abstand unterscheidet, der die zweite und die dritte Ausrichtungsstelle trennt.
  8. Verfahren nach einem der vorhergehenden Ansprüche, das ferner ein Erweitern einer ersten Metallschichtgebiets von der einen oder den mehreren Zellen zu einem oder quer durch ein Grenzsegment von der einen oder den mehreren Zellen umfasst.
  9. Verfahren nach Anspruch 8, das ferner ein Zum-Überlappen-Bringen eines erweiterten Abschnitts des ersten Metallschichtgebiets von der einen oder den mehreren Zellen mit einem ersten Metallschicht-Interconnect-Element umfasst.
  10. Verfahren zum Erzeugen eines IC-Layout-Diagramms, wobei das Verfahren umfasst: Zum-Anstoßen-Bringen einer ersten Zelle mit einer zweiten Zelle in dem IC-Layout-Diagramm (200, 300); Positionieren der ersten und der zweiten Zelle in Bezug auf eine erste Metallschicht-Schnittgebiet-Ausrichtungsstruktur; und Zum-Überlappen-Bringen von mindestens einer von der ersten oder zweiten Zelle mit einem ersten Metallschicht-Schnittgebiet basierend auf der ersten Metallschicht-Schnittgebiet-Ausrichtungsstruktur.
  11. Verfahren nach Anspruch 10, wobei die erste Metallschicht-Schnittgebiet-Ausrichtungsstruktur einen Struktur-Pitch umfasst, der gleich einer Höhe von jeder von der ersten und der zweiten Zelle ist.
  12. Verfahren nach Anspruch 10 oder 11, wobei: die erste Zelle eine erste Zellenhöhe aufweist, die sich von einer zweiten Zellenhöhe der zweiten Zelle unterscheidet, und die erste Metallschicht-Schnittgebiet-Ausrichtungsstruktur einen Struktur-Pitch umfasst, der gleich einer Summe der ersten Zellenhöhe und der zweiten Zellenhöhe ist.
  13. Verfahren nach einem der Ansprüche 10 bis 12, wobei die erste Metallschicht-Schnittgebiet-Ausrichtungsstruktur eine erste Unterstruktur, die einem ersten Maskensatz entspricht, und eine zweite Unterstruktur umfasst, die einem zweiten Maskensatz entspricht, der sich von dem ersten Maskensatz unterscheidet.
  14. Verfahren nach Anspruch 13, wobei das Positionieren der ersten und der zweiten Zelle in Bezug auf die erste Metallschicht-Schnittgebiet-Ausrichtungsstruktur umfasst: Ausrichten eines Grenzsegments von einer von der ersten oder zweiten Zelle zwischen einer zweite-Metallschicht-Leiterbahn und einer anderen zweite-Metallschicht-Leiterbahn, Positionieren einer Ausrichtungsstelle der ersten Unterstruktur entlang der zweite-Metallschicht-Leiterbahn, und Positionieren einer Ausrichtungsstelle der zweiten Unterstruktur entlang einer anderen zweite-Metallschicht-Leiterbahn.
  15. Verfahren nach Anspruch 13, wobei das Positionieren der ersten und der zweiten Zelle in Bezug auf die erste Metallschicht-Schnittgebiet-Ausrichtungsstruktur umfasst: Ausrichten eines Grenzsegments von einer von der ersten oder zweiten Zelle mit einer zweite-Metallschicht-Leiterbahn; und Positionieren einer Ausrichtungsstelle von jeder von der ersten und der zweiten Unterstruktur entlang der zweite-Metallschicht-Leiterbahn.
  16. Verfahren nach einem der Ansprüche 10 bis 15, das ferner basierend auf dem IC-Layoutdiagramm (200, 300) mindestens eines von Folgendem umfasst: Fertigen von mindestens einem von einer oder mehreren Halbleitermasken oder mindestens einem Bauelement in einer Schicht einer integrierten Halbleiterschaltung oder Durchführen von einer oder mehreren lithographischen Belichtungen.
  17. System (400, 500) zur Erzeugung eines IC-Layout-Diagramms, das umfasst: einen Prozessor (402); und einen nichtflüchtigen maschinenlesbaren Datenträger, der Computerprogrammcode (406) für ein oder mehrere Programme umfasst, wobei der nichtflüchtige maschinenlesbare Datenträger und der Computerprogrammcode (406) ausgestaltet sind, um mit dem Prozessor (402) zu bewirken, dass das System (400, 500): eine Zelle von einer Zellbibliothek (420) empfängt, wobei die Zelle kein erstes Metallschicht-Schnittgebiet umfasst; ein Grenzsegment der Zelle an einer vorbestimmten Stelle zwischen benachbarten zweite-Metallschicht-Leiterbahnen ausrichtet; die Zelle in Bezug auf eine erste Metallschicht-Schnittgebiet-Ausrichtungsstruktur positioniert, die basierend auf den zweite-Metallschicht-Leiterbahnen positioniert ist; die Zelle basierend auf der ersten Metallschicht-Schnittgebiet-Ausrichtungsstruktur mit einem ersten Metallschicht-Schnittgebiet zum Überlappen bringt; und ein IC-Layout-Diagramms (200, 300) einer IC-Vorrichtung basierend auf der Zelle und dem ersten Metallschicht-Schnittgebiet erzeugt.
  18. IC-Layout-Diagramm-Erzeugungssystem nach Anspruch 17, wobei: die zweite-Metallschicht-Leiterbahnen einen zweite-Metallschicht-Pitch aufweisen, und die Zelle eine Zellenhöhe gleich einem Vielfachen des zweite-Metallschicht-Pitch aufweist.
  19. IC-Layout-Diagramm-Erzeugungssystem nach Anspruch 17 oder 18, wobei der nichtflüchtige maschinenlesbare Datenträger und der Computerprogrammcode (406) ausgestaltet sind, um mit dem Prozessor (402) ferner zu bewirken, dass das System (400, 500): ein erstes Metallschichtgebiet der Zelle mit einem erweiterten Abschnitt erweitert, der das Grenzsegment erreicht; und den erweiterten Abschnitt in eine Definition einer elektrischen Verbindung mit einem ersten Metallschichtsegment aufnimmt, das durch das erste Metallschichtgebiet definiert ist.
DE102019125900.2A 2018-09-28 2019-09-26 Metallschnittgebiet-positionierungsverfahren und system Active DE102019125900B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862738823P 2018-09-28 2018-09-28
US62/738,823 2018-09-28
US16/580,874 US10997348B2 (en) 2018-09-28 2019-09-24 Metal cut region location method and system
US16/580,874 2019-09-24

Publications (2)

Publication Number Publication Date
DE102019125900A1 DE102019125900A1 (de) 2020-04-02
DE102019125900B4 true DE102019125900B4 (de) 2022-03-24

Family

ID=69781233

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102019125900.2A Active DE102019125900B4 (de) 2018-09-28 2019-09-26 Metallschnittgebiet-positionierungsverfahren und system

Country Status (2)

Country Link
US (1) US20230267262A1 (de)
DE (1) DE102019125900B4 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210240902A1 (en) * 2020-01-30 2021-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit and method of forming the same

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10997348B2 (en) * 2018-09-28 2021-05-04 Taiwan Semiconductor Manufacturing Company Ltd. Metal cut region location method and system

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040195690A1 (en) 2003-04-02 2004-10-07 Dorit Flohr Computerized standard cell library for designing integrated circuits (ICs) with high metal layer intra cell signal wiring, and ICs including same
US7260442B2 (en) 2004-03-03 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for mask fabrication process control
US20140040838A1 (en) 2012-08-01 2014-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Methods For Making A Mask For An Integrated Circuit Design
US20150048424A1 (en) 2013-08-13 2015-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell layout, semiconductor device having engineering change order (eco) cells and method
US20150278429A1 (en) 2014-04-01 2015-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. System and Method for Integrated Circuit Manufacturing
US9256709B2 (en) 2014-02-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit mask patterning
US20170317063A1 (en) 2016-04-29 2017-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit, system for and method of forming an integrated circuit
DE102017118336A1 (de) 2016-11-29 2018-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Standardzellen-layout, halbleiter-bauelement mit technische-änderungsanweisungs(eco)-zellen und verfahren

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040195690A1 (en) 2003-04-02 2004-10-07 Dorit Flohr Computerized standard cell library for designing integrated circuits (ICs) with high metal layer intra cell signal wiring, and ICs including same
US7260442B2 (en) 2004-03-03 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for mask fabrication process control
US20140040838A1 (en) 2012-08-01 2014-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Methods For Making A Mask For An Integrated Circuit Design
US20150048424A1 (en) 2013-08-13 2015-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell layout, semiconductor device having engineering change order (eco) cells and method
US9256709B2 (en) 2014-02-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit mask patterning
US20150278429A1 (en) 2014-04-01 2015-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. System and Method for Integrated Circuit Manufacturing
US20170317063A1 (en) 2016-04-29 2017-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit, system for and method of forming an integrated circuit
DE102017118336A1 (de) 2016-11-29 2018-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Standardzellen-layout, halbleiter-bauelement mit technische-änderungsanweisungs(eco)-zellen und verfahren

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210240902A1 (en) * 2020-01-30 2021-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit and method of forming the same
US11616055B2 (en) * 2020-01-30 2023-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit and method of forming the same

Also Published As

Publication number Publication date
US20230267262A1 (en) 2023-08-24
DE102019125900A1 (de) 2020-04-02

Similar Documents

Publication Publication Date Title
DE102019101570B4 (de) Layout, struktur, system und verfahren eines integrierten schaltkreises
DE102019118040B4 (de) Halbleitervorrichtung, die eine zellregion umfasst, die ähnlichere zelldichten in zeilen verschiedener höhe aufweist, und verfahren und system zum erzeugen eines layoutdiagramms dafür
DE102017125395A1 (de) Zellstrunkturen und Halbleitervorrichtungen damit
DE102019129048B4 (de) Halbleitervorrichtung mit füllerzellregion, verfahren zur erzeugung eines layoutdiagramms und system für dafür
DE102019116952B4 (de) Integrierte-schaltkreis-struktur, layout-schaubild-verfahren und system
DE102019128996A1 (de) Integriertes schaltungsfinnenbelegungsverfahren, system und struktur
DE102018108579B4 (de) Integrierte schaltung und verfahren zu deren herstellung
DE102019115533A1 (de) Zellregionen mit der höhe einer ungeraden finnenanzahl, halbleiter, der diese aufweist und verfahren zum erzeugen eines layoutdiagramms, das diesen entspricht
DE102017118336A1 (de) Standardzellen-layout, halbleiter-bauelement mit technische-änderungsanweisungs(eco)-zellen und verfahren
DE102019116733A1 (de) Verfahren, vorrichtung und system eines integrierten schaltungslayouts
DE102019123621B4 (de) Routungsressourcenverbesserndes verfahren zum generieren von layout-diagrammen, und system dafür
DE102019128571B4 (de) Verfahren zum erzeugen eines layoutdiagramms mit zelle mit darauf basierenden stiftmustern und halbleitervorrichtung
DE102020127462B4 (de) Halbleitervorrichtung und verfahren zu ihrer herstellung
DE102019125900B4 (de) Metallschnittgebiet-positionierungsverfahren und system
DE102020119415A1 (de) Integrierte schaltung mit rückseitiger stromschiene und rückseitigem interconnect
DE102020119280A1 (de) Platzbedarf für multi-bit-flip-flop
DE102021110414A1 (de) Vier-cpp-breite speicherzelle mit vergrabenem leistungsgitter und verfahren zu deren herstellung
DE102020115617B4 (de) Halbleitervorrichtung, integrierte Schaltung und darauf basierendes System
DE102019125461A1 (de) Halbleiterstruktur, vorrichtung und verfahren
CN110968981A (zh) 集成电路布局图生成方法和系统
DE102022132158A1 (de) Verfahren, system und computerprogrammprodukt zum entwerfen von integrierten schaltkreisen
DE102021100870B4 (de) Hybridschicht-layout, -verfahren, -system und -struktur
DE102020114939B4 (de) Gate-strukturen für halbleitervorrichtungen
DE102021108836A1 (de) Halbleiterbauelement mit v2v-schiene und herstellungsverfahren davon
DE102018107077A1 (de) Zusammengeführte Säulenstrukturen und Verfahren zum Erzeugen von Layoutdiagrammen davon

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final