CN110968981A - 集成电路布局图生成方法和系统 - Google Patents

集成电路布局图生成方法和系统 Download PDF

Info

Publication number
CN110968981A
CN110968981A CN201910931740.XA CN201910931740A CN110968981A CN 110968981 A CN110968981 A CN 110968981A CN 201910931740 A CN201910931740 A CN 201910931740A CN 110968981 A CN110968981 A CN 110968981A
Authority
CN
China
Prior art keywords
metal layer
cells
cell
pattern
layout
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201910931740.XA
Other languages
English (en)
Other versions
CN110968981B (zh
Inventor
杨荣展
张丰愿
田丽钧
陈庭榆
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN110968981A publication Critical patent/CN110968981A/zh
Application granted granted Critical
Publication of CN110968981B publication Critical patent/CN110968981B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67092Apparatus for mechanical treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Theoretical Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Architecture (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

一种生成IC布局图的方法包括:在IC布局图中定位一个或多个单元,以及基于第一金属层切割区域对准图案使一个或多个单元与第一金属层切割区域重叠。第一金属层切割区域对准图案包括等于一个或多个单元的高度的图案间距。本发明的实施例还涉及集成电路布局图生成方法和系统。

Description

集成电路布局图生成方法和系统
技术领域
本发明的实施例涉及集成电路布局图生成方法和系统。
背景技术
集成电路(IC)通常包括以IC布局图表示的多个半导体器件。IC布局图是分层的,并且包括根据半导体器件的设计规范执行更高级别功能的模块。模块通常由单元的组合来构建,每个单元代表配置为执行特定功能的一个或多个半导体结构。
为了形成更高级别的模块并实现外部连接,单元和其他IC部件通过在多个上面的金属层中形成的互连结构相互布线。单元布置和互连布线是IC总体设计工艺的一部分。
各种电子设计自动化(EDA)工具用于生成、修改和验证半导体器件的设计,同时确保满足设计和制造规范。
发明内容
本发明的实施例提供了一种生成集成电路(IC)布局图的方法,所述方法包括:在集成电路布局图中定位一个或多个单元;以及基于第一金属层切割区域对准图案,使所述一个或多个单元与第一金属层切割区域重叠,其中,所述第一金属层切割区域对准图案包括等于所述一个或多个单元的高度的图案间距。
本发明的另一实施例提供了一种生成集成电路(IC)布局图的方法,所述方法包括:在所述集成电路布局图中使第一单元与第二单元邻接;相对于第一金属层切割区域对准图案定位所述第一单元和所述第二单元;以及基于所述第一金属层切割区域对准图案,使所述第一单元或所述第二单元中的至少一个与第一金属层切割区域重叠。
本发明的又一实施例提供了一种集成电路(IC)布局图生成系统,包括:处理器;以及非暂时性计算机可读存储介质,包括用于一个或多个程序的计算机程序代码,所述非暂时性计算机可读存储介质和所述计算机程序代码配置为与所述处理器一起使系统:从单元库接收单元,所述单元不包括第一金属层切割区域;在相邻的第二金属层轨道之间的预定位置处对准所述单元的边界段;基于所述第二金属层轨道,相对于第一金属层切割区域对准图案定位所述单元;基于所述第一金属层切割区域对准图案,使所述单元与第一金属层切割区域重叠;以及基于所述单元和所述第一金属层切割区域生成集成电路器件的集成电路布局图。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳理解本发明的各个方面。应该强调,根据工业中的标准实践,各个部件未按比例绘制并且仅用于说明的目的。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。
图1是根据一些实施例的生成IC布局图的方法的流程图。
图2A至图2D是根据一些实施例的在生成IC布局图的各个阶段的IC布局图的描绘。
图3A至图3D是根据一些实施例的在生成IC布局图的各个阶段的IC布局图的描绘。
图4是根据一些实施例的IC器件设计系统的框图。
图5是根据一些实施例的IC制造系统以及与其相关联的IC制造流程的框图。
图6示出了制造系统的框图。
图7A至图7B示出了掩模制造方法的流程图。
图8示出了控制掩模制造的方法的流程图。
具体实施方式
以下公开内容提供了许多用于实现本发明的不同特征不同的实施例或实例。下面描述了组件和布置的具体实施例或实例以简化本发明。当然这些仅是实例而不旨在限制。例如,在以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件直接接触形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。如本文使用的,在第二部件上形成第一部件是指形成与第二部件直接接触的第一部件。此外,本发明可以在各个示例中重复参考数字和/或字母。该重复是为了简单和清楚的目的,并且其本身不指示所讨论的各个实施例和/或配置之间的关系。
此外,为了便于描述,本文中可以使用诸如“在…下方”、“在…下面”、“下部”、“在…上面”、“上部”等的空间关系术语,以描述如图中所示的一个元件或部件与另一元件或部件的关系。除了图中所示的方位外,空间关系术语旨在包括器件在使用或操作工艺中的不同方位。装置可以以其它方式定位(旋转90度或在其它方位),并且在本文中使用的空间关系描述符可以同样地作相应地解释。
在各个实施例中,生成IC布局图包括将第一金属层切割区域对准图案施加到一个或多个单元,作为在IC布局图中定位一个或多个单元的一部分。通过基于第一金属层切割区域对准图案将一个或多个单元与至少一个第一金属层切割区域重叠,与不包括将第一金属层切割区域对准图案施加到位于IC布局图内的一个或多个单元的方法(例如在单元级别限定第一金属层切割区域的方法)相比,提高了电互连布线灵活性。
在图1所示的方法100中提供了IC布局图生成和其他制造流程操作的实施例,使用图2A至图3D的非限制性示例来示出方法100。图2A至图2D示出了基于与单个单元的高度相对应的第一金属层切割区域对准图案来生成IC布局图的示例,并且图3A至图3D示出了基于与两个单元的组合高度相对应的第一金属层切割区域对准图案来生成IC布局图的示例。
图1是根据一些实施例的生成IC布局图的方法100的流程图。在一些实施例中,生成IC布局图是操作IC制造系统的一部分。在一些实施例中,生成IC布局图包括生成与多个晶体管相对应的IC布局图,多个晶体管例如是平面晶体管和/或鳍式场效应晶体管(FinFET)。方法100的操作能够作为形成一个或多个半导体器件的方法的一部分来执行,半导体器件的非限制性示例包括存储器电路、逻辑器件、处理器件、信号处理电路等。
在一些实施例中,方法100的操作以图1中描绘的顺序执行。在一些实施例中,方法100的操作以与图1中描绘的顺序不同的顺序执行。在一些实施例中,在执行方法100的一个或多个操作之前、之间、期间和/或之后执行一个或多个操作。
在一些实施例中,方法100的一些或全部由计算机的处理器执行。在一些实施例中,方法100的一些或全部由下面关于图4所讨论的IC布局生成系统400的处理器402执行。
方法100的一些或全部操作能够作为制造流程的一部分执行,例如,以下关于IC制造系统500和图5讨论的制造流程。
在一些实施例中,图2A至图2D描绘了在通过执行方法100的一个或多个操作生成IC布局200的各个阶段处的IC布局200。IC布局200包括单元C1和C2、具有第二金属层间距M2P的第二金属层轨道M2T1-M2T8以及如下所述的附加部件。
在一些实施例中,图3A至图3D描绘了在通过执行方法100的一个或多个操作来生成IC布局300的各个阶段处的IC布局300。IC布局300包括单元C3和C4、具有第二金属层间距M2P的第二金属层轨道M2T1-M2T12以及如下所述的附加部件。
为了清楚起见,简化了IC布局200和300。在各个实施例中,IC布局200或300中的一个或两个包括除图2A至图3D中描绘的那些之外的部件,例如,一个或多个晶体管元件、电源轨,隔离结构、互连部件等。图2A至图3D的每个还描绘了X方向和Y方向。
在操作110处,在一些实施例中,接收一个或多个单元。接收一个或多个单元包括:接收一个或多个单元中的至少一个单元,该单元包括在第一金属层方向上纵向延伸的至少一个第一金属层区域。在一些实施例中,接收一个或多个单元包括接收一个或多个单元中的至少一个单元,该单元包括与至少一个第一金属层区域重叠的至少一个金属零通孔区域。
金属区域(例如第一金属层区域)是包括在制造工艺中的IC布局图中的区域,作为在IC器件的金属层(例如第一金属层)中限定一种或多种导电材料的一个或多个段的一部分,金属区域配置为在一个或多个下面的接触件和/或通孔结构、一个或多个上面的通孔结构和/或一个或多个相邻的金属段之间形成电连接。
通孔区域(例如金属零通孔区域)是包括在制造工艺中的IC布局图中的区域,作为限定包括IC器件中的一个或多个导电层的一个或多个段的通孔结构的一部分,通孔区域配置为在一个或多个下面的金属段和/或接触件或栅极结构与一个或多个上面的金属段(例如一个或多个第一金属层段)之间形成电连接。金属零通孔区域限定通孔结构,该通孔结构配置为在第一金属层段和在IC布局层次结构的单元级别限定的下面的导电层(例如金属零层)的段之间形成电连接。
在一些实施例中,接收一个或多个单元包括接收一个或多个单元中的至少一个单元,该单元包括对应于第一掩模的一个或多个第一金属层区域和对应于与第一掩模不同的第二掩模的一个或多个第二金属层区域。
在各个实施例中,第一和第二掩模对应于制造流程的第一和第二掩模组。第一和第二掩模组中的每一个都限定了的子集,IC部件的尺寸基于标准部件尺寸,并且部件的子集以互补的方式布置以形成尺寸小于由单个掩模组形成的部件的尺寸的组合部件。
在一些实施例中,接收一个或多个单元包括接收一个或多个单元中的至少一个单元,该单元包括与第一和第二掩模相对应的第一金属层区域的第一和第二组,第一组的第一金属层区域沿着与第一金属层方向垂直的方向与第二组的第一金属层区域交替。
在各个实施例中,接收一个或多个单元包括接收标准单元、逻辑单元、存储器单元、工程变更单(ECO)单元、定制单元、物理器件单元或另一个预限定的IC器件组件的一个或多个的一个或多个布局。
接收一个或多个单元包括接收具有边界和在第一和第二边界段之间在第一金属层方向上限定的相应单元高度的一个或多个单元中的每一个,从而一个或多个单元在第一金属层方向上具有单元高度。在各个实施例中,一个或多个单元的单元高度对应于单个单元的高度或多个单元的组合高度。在各个实施例中,接收一个或多个单元包括:接收具有相同单元高度的多个单元或接收包括至少一个单元的多个单元,该至少一个单元具有与多个单元中的至少一个其他单元高度不同的单元高度。
在一些实施例中,接收一个或多个单元包括从单元库中接收一个或多个单元。在各个实施例中,从单元库接收一个或多个单元包括从数据库、多个电子文件和/或通过网络接收一个或多个单元。在一些实施例中,接收一个或多个单元包括从下面关于图4所讨论的IC布局生成系统400的单元库420接收一个或多个单元。
在一些实施例中,接收一个或多个单元包括接收一个或多个单元中的至少一个单元,单元不包括第一金属层切割区域。金属层切割区域(例如第一金属层切割区域)是IC布局图中的区域,该区域作为限定IC器件的一个或多个金属段(例如,第一金属层段)的一个或多个部分的一部分而包括,在形成一个或多个段之后,在制造工艺中例如通过蚀刻工艺将该金属层切割区域去除。然后,用介电层填充一个或多个去除的部分,从而将相应的一个或多个金属段或剩余的段片与IC器件中的相邻部件电隔离。
为了限定在制造工艺中去除的金属段的一部分,金属层切割区域在与相应金属段纵向延伸的方向垂直的方向上纵向延伸。因此,第一金属层切割区域在垂直于第一金属层方向的方向上纵向延伸。
在一些实施例中,制造工艺包括第一和第二掩模组,每个掩模组对应于一个或多个第一金属层切割区域,并且接收一个或多个单元包括接收一个或多个单元中的至少一个单元,该单元不包括与第一或第二掩模组中的给定的一个或第一和第二掩模组两者对应的第一金属层切割区域。
在一些实施例中,接收一个或多个单元包括接收如图2A所示的单元C1和C2之一或两者。接收单元C1和C2中的每个,包括在Y方向上纵向延伸的第一金属层区域M1A和M1B以及与第一金属层区域M1A和M1B重叠的金属零通孔区域V0(为清楚起见仅标记一个)。第一金属层区域M1A对应于第一掩模组,第一金属层区域M1B对应于与第一掩模组不同的第二掩模组,并且单元C1和C2中的每个均不包括对应于第一或第二掩模组中的一个的第一金属层切割区域。单元C1在边界段B1A和B1B之间在Y方向上具有高度H1,而单元C2在边界段B2A和B2B之间在Y方向上具有高度H1。
在例如通过执行以下讨论的操作120而被定位在IC布局图200中之前,图2A中描绘了单元C1和C2。在图2A所示的实施例中,接收具有彼此独立的位置的单元C1和C2。在一些实施例中,接收单元C1和C2,使单元C1的边界段B1B邻接单元C2的边界段B2A,从而接收彼此邻接的单元C1和C2。
在一些实施例中,接收一个或多个单元包括接收如图3A所示的单元C3和C4。接收单元C3和C4中的每一个,包括在Y方向上纵向延伸并对应于相应的第一和第二掩模组的第一金属层区域M1A和M1B、与第一金属层区域M1A和M1B重叠的金属零通孔区域V0(为清楚起见仅标记一个),并且不包括与第一掩模组或第二掩模组中的任一个相对应的第一金属层切割区域。单元C3在边界段B3A和B3B之间在Y方向上具有高度H2,并且单元C4在Y方向上的高度H3与高度H2不同并且在边界段B4A和B4B之间。
在例如通过执行以下讨论的操作120而被定位在IC布局图300中之前,图3A中描绘了单元C3和C4。在图3A所示的实施例中,接收具有彼此独立的位置的单元C3和C4。在一些实施例中,接收单元C3和C4,使单元C3的边界段B3B邻接单元C4的边界段B4A,从而接收彼此邻接的单元C3和C4。
在各个实施例中,接收一个或多个单元包括一个或多个单元的单元高度,该高度与图2A所示的单元C1的高度H1相对应,或者对应于图3A中描绘的相应单元C3和C4的高度H2和H3的总和,也在图3B中描绘为高度H4。
在一些实施例中,接收一个或多个单元包括使用计算机的处理器接收一个或多个单元。在一些实施例中,接收一个或多个单元包括使用下面参考图4讨论的IC布局生成系统400的处理器402来接收一个或多个单元。
在操作120处,将一个或多个单元定位在IC布局图中。在IC布局图中定位一个或多个单元包括相对于IC布局图中的轨道定位一个或多个单元。轨道(例如第二金属层轨道)是在第一金属层中具有间距或间距方向并且在垂直于第一金属层方向的轨道方向上延伸的平行线。轨道限定IC布局图中的金属区域(例如,第二金属层区域)的位置,该IC布局图中的金属区域用于限定基于IC布局图制造的IC器件的金属层(例如,第二金属层)中在轨道方向上纵向延伸的金属段。
间距限定为倍数,相应的金属段在间距方向上以该倍数分隔开。在一些实施例中,使金属段对准以具有间距包括使每个金属区域在相应的轨道上居中,使得每个金属区域的宽度被轨道分成两半。在一些实施例中,对准金属段以具有间距包括基于沿金属区域的宽度的公共点在间距方向上对准金属区域,而不使每个金属区域在相应的轨道上居中。
因此,轨道或公共点在间距方向上限定了多个位置,金属区域以及相应的金属段可能位于该多个位置。在各个实施例中,使金属段对准以具有间距包括:在间距方向上在每个可能的位置上定位至少一个金属区域,或者通过沿着间距方向省略一个或多个可能的位置来定位金属区域。
在一些实施例中,第一和第二轨道子集对应于第一和第二掩模组,轨道的第一子集与轨道的第二子集沿着轨道方向交替。
相对于轨道定位一个或多个单元包括沿着间距方向定向一个或多个单元的高度。在一些实施例中,一个或多个单元的高度等于间距的倍数。在一些实施例中,使一个或多个单元的高度沿间距方向定向包括将单元C1的高度H1定向为等于如图2B所示的第二金属层间距M2P的六倍。在一些实施例中,使一个或多个单元的高度沿间距方向定向包括将高度H4定向为等于第二金属层间距M2P的十一倍以及如图3B所示的单元C3的高度H2(第二金属层间距M2P的六倍)和单元C4的H3(第二金属层间距M2P的五倍)的总和。
在各个实施例中,相对于轨道定位一个或多个单元包括将一个或多个单元的至少一个边界段与给定轨道或在相邻轨道之间的预定位置(例如中点)对准。如图2B所示,在一些实施例中,相对于IC布局图中的轨道定位一个或多个单元包括在金属二轨道M2T1和M2T2之间(例如在中点处(未标记))对准单元C1的边界段B1A和/或对准第二金属层轨道M2T7和M2T8之间(例如在中点(未标记))的单元C1的边界段B1B。在一些实施例中,相对于IC布局图中的轨道定位一个或多个单元包括将单元C3的边界段B3A与第二金属层轨道M2T1对准、将单元C3的边界段B3B与第二金属层轨道M2T7对准、将单元C4的边界段B4A与第二金属层轨道M2T7对准或者将单元C4的边界段B4B与第二金属层轨道M2T12对准中的一个或多个,如图3B所示。
在一些实施例中,在IC布局图中定位一个或多个单元包括使一个或多个单元的第一单元与一个或多个单元的第二单元邻接。使第一单元与第二单元邻接包括使第一单元的边界段与第二单元的边界段邻接,使得每个单元的高度在第一金属层方向上对准。每个对准的单元包括与邻接的边界段相邻的边界段,并且在各个实施例中,在金属1方向上对准单元的高度包括在第一金属层方向上对准相应的相邻边界段中的一个、两个或两个都不对准。在一些实施例中,在IC布局图中定位一个或多个单元不包括使第一单元和第二单元邻接,并且在操作110中接收一个或多个单元,包括与第二单元邻接的第一单元。
如图2D所示,在一些实施例中,使第一单元与第二单元邻接包括使单元C1的边界段B1B与单元C2的边界段B2A邻接。如图3B至图3D所示,在一些实施例中,使第一单元与第二单元邻接包括使单元C3的边界段B3B与单元C4的边界段B4A邻接。
在IC布局图中定位一个或多个单元包括相对于第一金属层切割区域对准图案定位一个或多个单元。第一金属层切割区域对准图案是沿着第一金属层方向的对准位置的布置,第一金属层切割区域可以潜在地定位在该位置上,第一金属层切割区域对准图案具有等于一个或多个单元的高度的图案间距。在各个实施例中,第一金属层切割区域对准图案包括从两个到六个的多个对准位置。
在一些实施例中,第一金属层切割区域对准图案包括与相应的第一和第二掩模组相对应的第一和第二子图案。在各个实施例中,第一子图案的至少一个对准位置与第二子图案的至少一个对准位置相同,或者第一子图案的每个对准位置与第二子图案的每个对准位置不同。
在各个实施例中,相对于第一金属层切割区域对准图案定位一个或多个单元包括相对于如图2B所示布置的第一金属层切割区域CM1A和CM1B定位单元C1,或相对于如图3B所示布置的第一金属层切割区域CM1A和CM1B定位单元C3和C4。在图2B和图3B中描绘的每个实施例中,第一金属层切割区域CM1A和第一金属层区域M1A对应于第一掩模组,并且第一金属层切割区域CM1B和第一金属层区域M1B对应于第二掩模组。为了说明的目的,例如通过省略一个或多个第一金属层切割区域并且包括跨越第一金属层切割区域之间的整个距离的第一金属层区域来简化图2B和图3B中所描绘的布置。
在图2B所示的实施例中,一对第一金属层切割区域CM1A对应于在第二金属层轨道M2T1和M2T7上居中的第一子图案(未标记),并且一对第一金属层切割区域CM1B对应于在第二金属层轨道M2T2和M2T8上居中的第二子图案((未标记)。因此,第一子图案和第二子图案中的每个具有等于单元C1的高度H1的图案间距,也等于第二金属层间距M2P的六倍。
如图2B所示,因为第一和第二子图案对应于单独的掩模组,所以第一金属层切割区域CM1A限定了第一金属层区域M1A的去除部分,而与第一金属层区域M1B的去除部分无关,并且第一金属层切割区域CM1B限定了第一金属层区域M1B的去除部分,而与第一金属层区域M1A的去除部分无关。
图2C中进一步描绘了相对于如图2B所示布置的第一金属层切割区域CM1A和CM1B定位单元C1,其中IC布局图200包括单元C1,单元C1包括两个第一金属层区域M1A和三个第一金属层区域M1B。图2C包括第一金属层切割区域对准图案CM1P1,对准图案CM1P1在Y方向上具有图案间距CM1PA,并且包括子图案CM1S1和CM1S2。图案间距CM1PA等于单元C1的高度H1。
子图案CM1S1包括与第一掩模层组相对应的第一金属层切割区域CM1A和第一金属层区域M1A,并且子图案CM1S2包括与第二掩模组相对应的第一金属层切割区域CM1B和第一金属层区域M1B。
子图案CM1S1包括与边界段B1B对应的第一对准位置、与第一对准位置在正Y方向上偏移了距离a1的第二对准位置以及与第二对准位置在正Y方向上偏移了距离b1的第三对准位置。距离a1和b1之和等于图案间距CM1PA,使得第三对准位置对应于边界段B1A。相对于Y方向,每个第一金属层切割区域CM1A的最下边界段与第一、第二和第三对准位置中的相应一个对准。
子图案CM1S2包括对应于边界段B1A的第一对准位置、与第一对准位置在负Y方向上偏移距离a1的第二对准位置以及与第二对准位置在负Y方向上偏移距离b1并且从而对应于边界段B1B的第三对准位置。相对于Y方向,每个第一金属层切割区域CM1B的最上边界段与第一、第二和第三对准位置中的相应一个对准。
通过上述构造,子图案CM1S1和CM1S2关于在X方向上延伸的轴对称。子图案CM1S1和CM1S2中的每一个包括与图案间距CM1PA和边界段B1A和B1B相对应的一对对准位置,以及在该一对之间的与距离a1和b1相对应的位置处的第三对准位置。在各个实施例中,距离a1大于、小于或等于距离b1。
图2D中进一步描绘了相对于如图2B和图2C所示布置的第一金属层切割区域CM1A和CM1B定位单元C1,其中IC布局图200包括与单元C2邻接的单元C1,单元C1和C2中的每一个包括纵向延伸并且在Y方向上对准的两个第一金属层区域M1A和三个第一金属层区域M1B。
在图2D所示的实施例中,与图2C中所示的实施例相比,子图案CM1S1和CM1S2中的每一个被重复以对应于邻接的单元C1和C2。重复的子图案CM1S1在与邻接的边界段B1B和B2A相对应的共享对准位置处包括共享的第一金属层切割区域CM1A,并且重复的子图案CM1S2在对应于边界边界线B1B和B2A的共享对准位置处包括共享的第一金属层切割区域CM1B。如图2D所示,在一些实施例中,相对于第一金属层切割区域CM1A和CM1B定位单元C1包括相对于包括重复的子图案CM1S1和CM1S2的第一金属层切割区域对准图案CM1P1定位邻接的单元C1和C2。
图2D进一步描绘了具有上述第二金属层间距M2P的第二金属层区域M2A和M2B。第二金属层区域M2A对应于第一掩模组,第二金属层区域M2B对应于第二掩模组,并且第二金属层区域M2A和M2B位于与第二金属层间距M2P对应的交替的第二金属层轨道(未示出)处。如图2D所示,在一些实施例中,相对于第一金属层切割区域CM1A和CM1B定位单元C1包括相对于与交替的第二金属层区域M2A和M2B对准的第一金属层切割区域对准图案CM1P1定位单元C1。
图2D包括以下关于操作130至150讨论的附加部件。
在图3B所示的实施例中,一对第一金属层切割区域CM1A对应于第一子图案(未标记),一对第一金属层切割区域CM1B对应于第二子图案(未标记),并且两对第一金属层切割区域CM1A和CM1B在第二金属层轨道M2T1和M2T12上居中。因此,第一子图案和第二子图案中的每个具有等于高度H4的图案间距,图案间距等于单元C3的高度H2与单元C4的高度H3之和,也等于第二金属层间距M2P的十一倍。
图3C进一步描绘了相对于如图3B所示布置的第一金属层切割区域CM1A和CM1B定位单元C3和C4,其中IC布局图300包括单元C3和C4中的每一个,单元C3和C4包括纵向延伸并且在Y方向上对准的两个第一金属层区域M1A和两个的第一金属层区域M1B。基于单元C3的边界段B3B与单元C4的边界段B4A邻接,单元C4的两个第一金属层区域M1A延伸到单元C3中。图3C包括第一金属层切割区域对准图案CM1P2,对准图案CM1P2在Y方向上具有图案间距CM1PB,并且包括子图案CM1S3和CM1S4。图案间距CM1PB等于高度H4(在图3C中未标记),等于单元C3的高度H2和单元C4的高度H3的总和。
子图案CM1S3包括与第一掩模层组相对应的第一金属层切割区域CM1A和第一金属层区域M1A,并且子图案CM1S4包括与第二掩模层组相对应的第一金属层切割区域CM1B和第一金属层区域M1B。
子图案CM1S3包括在负Y方向上与边界段B4B偏移的第一对准位置、在正Y方向上与第一对准位置偏移距离a2的第二对准位置以及在正Y方向上与第二对准位置偏移距离b2的第三对准位置。距离a2和b2之和等于图案间距CM1PB,使得第三对准位置对应于在负Y方向上与边界段B3A的偏移,该偏移等于第一对准位置与边界段B4B的偏移。相对于Y方向,每个第一金属层切割区域CM1A的最下边界段与第一、第二和第三对准位置中的相应一个对准。
子图案CM1S4包括在正Y方向上与边界段B3A偏移的第一对准位置、在负Y方向上与第一对准位置偏移距离a2的第二对准位置以及在负Y方向上与第二对准位置偏移距离b2的第三对准位置,从而对应于在正Y方向上与边界段B4B的偏移,该偏移等于第一对准位置与边界段B3A的偏移。相对于Y方向,每个第一金属层切割区域CM1B的最上边界段与第一、第二和第三对准位置中的相应一个对准。
通过上述构造,子图案CM1S3和CM1S4关于在X方向上延伸的轴对称。子图案CM1S3和CM1S4中的每一个包括与图案间距CM1PB和边界段B3A和B4B相对应的一对对准位置,以及在该一对之间的与距离a2和b2相对应的位置处的第三对准位置。在各个实施例中,距离a2大于或小于距离b2。
图3D中进一步描绘了相对于如图3B和图3C所示布置的第一金属层切割区域CM1A和CM1B定位单元C3和C4,图3D包括如上所述的具有第二金属层间距M2P的交替的第二金属层区域M2A和M2B。如图3D所示,在一些实施例中,相对于第一金属层切割区域CM1A和CM1B定位单元C3和C4包括相对于与交替的第二金属层区域M2A和M2B对准的第一金属层切割区域对准图案CM1P2定位单元C3和C4。
图3D包括以下关于操作130至150讨论的附加部件。
在操作130处,使一个或多个单元与基于第一金属层切割区域对准图案的第一金属层切割区域重叠。使一个或多个单元与第一金属层切割区域重叠包括将第一金属层切割区域定位在第一金属层切割区域对准图案的对准位置处。在各个实施例中,使一个或多个单元与第一金属层切割区域重叠包括使一个或多个单元与一个或多个第一金属层切割区域重叠,该一个或多个第一金属层切割区域对应于包括在第一金属层切割区域对准图案中的对准位置的全部或子集。
使一个或多个单元与第一金属层切割区域重叠包括第一金属层切割区域,该第一金属层切割区域在垂直于第一金属层方向的方向上纵向延伸。在各个实施例中,使一个或多个单元与第一金属层切割区域重叠包括第一金属层切割区域,第一金属层切割区域在横跨单个第一金属层区域或横跨多于一个的第一金属层区域纵向延伸。
在各个实施例中,其中第一金属层切割区域对准图案包括与第一和第二掩模组相对应的第一和第二子图案,使一个或多个单元与第一金属层切割区域重叠包括使一个或多个单元与对应于一个子图案的单个第一金属层切割区域、与对应于一个子图案的多于一个的第一金属层切割区域或与对应于每个子图案的至少一个第一金属层切割区域重叠。
如图2D所示,在一些实施例中,使一个或多个单元与第一金属层切割区域重叠包括使单元C1和/或单元C2与一个或多个第一金属层切割区域CM1A或CM1B重叠(图2D中未标记)。在图2D中,IC布局图200包括沿着边界段B1B与第一金属层切割区域CM1A重叠并且位于重复子图案CM1S1的共享对准位置处的单元C1。
如图2D中进一步描绘的,IC布局图200包括单元C2,单元C2沿着边界段B2B与第一金属层切割区域CM1A重叠并且位于子图案CM1S1的最低对准位置处,并且沿着边界线B2A与第一金属层切割区CM1B重叠并位于重复子图案CM1S2的共享对准位置处。
如图2D所示,IC布局图200包括与第一金属层切割区域CM1B重叠的单元C2,第一金属层切割区域CM1B定位在子图案CM1S2的对准位置处,该子图案CM1S2与共享对准位置在负Y方向上偏移距离a1,从而限定了第一金属层切割区域CM1B和相邻的金属零通孔区域V0之间的距离EN1。
距离EN1是最小金属零通孔封闭规则的非限制性示例,在一些实施例中被称为第一金属层而非第一金属层切割封闭通孔规则。在一些实施例中,与限定相对于金属零通孔的第一金属层段时可适用的公差相比,制造工艺能够去除第一金属层段的一部分,相对于金属零通孔具有改善的公差。因此,因为距离EN1由金属切割区域(例如,第一金属层切割区域CM1B)而不是由第一金属层区域(例如,第一金属层区域M1B)的边界段限定,所以距离EN1的值能够小于基于第一金属层区域的边界段的最小金属零封闭规则的值,例如下面关于操作140讨论的距离EN2。
IC布局图200还包括第一金属层切割区域CM1A,第一金属层切割区域CM1A沿着边界段B1A位于单元C1的外部,并且位于子图案CM1S1的最上对准位置,从而示出了这样的实施例,其中,使一个或多个单元与第一金属层的切割区域重叠包括使与一个或多个单元相邻的IC布局图的一部分重叠。在一些实施例中,通过使IC布局图的与单元相邻的一部分与第一金属层切割区域重叠,单元中的第一金属层区域能够延伸到单元的对应边界段,如下所述关于操作140讨论的。
如图3D所示,在一些实施例中,使一个或多个单元与第一金属层切割区域重叠包括使单元C3和C4与一个或多个第一金属层切割区域CM1A或CM1B重叠。在图3D中,IC布局图300包括与第一金属层切割区域CM1A重叠的单元C3,该第一金属层切割区域CM1A定位在与子图案CM1S3的最低对准位置在正Y方向上偏移距离A2的对准位置处。
如图3D中进一步描绘的,IC布局图300包括单元C3和C4两者,单元C3和C4沿着邻接的边界段B3B和B4A与第一金属层切割区域CM1B重叠,并且位于与子图案CM1S4的最上对准位置在负Y方向上偏移距离a2的对准位置处。
在操作140处,在一些实施例中,延伸一个或多个单元的第一金属层区域。延伸第一金属层区域包括在第一金属层方向的一个或两个极性中沿着第一金属层方向纵向延伸一个或多个第一金属层区域中的每个。在各个实施例中,延伸一个或多个单元的第一金属层区域包括将第一金属层区域延伸至单个单元的边界内,直至单个单元的一个或多个边界段,或横跨第一单元的一个或多个边界段延伸至一个或多个第二单元,例如,作为连接相邻单元的第一金属层区域的一部分。
在一些实施例中,延伸第一金属层区域包括使第一金属层区域延伸超过金属零通孔区域的量足以通过金属零通孔封闭规则。
在其中第一金属层区域对应于第一和第二掩模组的各个实施例中,延伸第一金属层区域包括延伸对应于一个子图案的单个第一金属层区域、对应于一个子图案的多于一个的第一金属层区域或对应于每个子图案的至少一个第一金属层区域。
在多个实施例中,延伸一个或多个单元的第一金属层区域包括延伸如图2D所示的单元C1或C2中的一个或两个的第一金属层区域M1A和/或M1B中的一个或多个,或者如图3D所示的单元C3或C4,其中第一金属层区域M1A和M1B的延伸部分对应于在X方向上延伸的虚线。
在图2D所示的实施例中,延伸一个或多个单元的第一金属层区域包括,例如,使除了第一金属层区域M1A和M1B中的一个以外的所有延伸超过相应的金属零通孔区域V0的量足以通过金属零通孔封闭规则,如距离EN2所示。
在各个实施例中,延伸第一金属层区域包括将单元C1的第一金属层区域M1A延伸到对应于与单元C1相邻的第一金属层切割区域CM1A的边界段B1A,和/或将单元C2的第一金属层区域M1A延伸到边界段B2A。在每种情况下,第一金属层区域M1A能够基于相邻的第一金属层切割区域CM1A延伸,从而确保满足相邻的第一金属层区域之间的最小间隔规则。
类似地,在一些实施例中,延伸第一金属层区域包括将单元C1的至少一个第一金属层区域M1B延伸到对应于与单元C1相邻的相应第一金属层切割区域CM1B的边界段B1B。在一些实施例中,延伸第一金属层区域包括将单元C1的第一金属层区域M1B与横跨邻接的边界段B1B和B2A的单元C2的对应的第一金属层区域连接。
在图3D所示的实施例中,延伸一个或多个单元的第一金属层区域包括,例如,将单元C3的第一金属层区域M1A与单元C4的相应的第一金属层区域M1A连接,和/或连接单元C3的第一金属层区域M1B与横跨邻接的边界段B3B和B4A的单元C4的相应的第一金属层区域M1B。
通过延伸到相应的边界段或横跨相应的边界段,第一金属层区域M1A或M1B能够限定与上面的金属互连部件(例如下面关于操作150讨论的第一金属层互连结构M1I)的电连接的一部分。
在各个实施例中,基于第一金属层切割区域图案(例如,第一金属层切割区域图案CM1P1或CM1P2)的对准位置以及一个或多个单元(例如,单元C1和/或C2或C3和C4)相对于第二金属层区域M2A和M2B的定位,由延伸的第一金属层区域M1A限定的第一金属层段的电连接包括由第二金属层区域M2A限定的第二金属层段,和/或由延伸的第一金属层区域M1B限定的第一金属层段的电连接包括由第二金属层区域M2B限定的第二金属层段。
在操作150处,在一些实施例中,一个或多个单元的第一金属层区域的延伸部分与第一金属层互连部件重叠。在一些实施例中,使第一金属层区域重叠包括使第一金属层区域与第一金属层通孔区域重叠。在一些实施例中,使第一金属层区域的延伸部分重叠包括将多于一个的第一金属层区域中的每一个与对应的第一金属层互连部件重叠。
在第一金属层区域对应于第一和第二掩模组的各个实施例中,使第一金属层区域的延伸部分重叠包括使对应于一个子图案的单个第一金属层区域、对应于一个子图案的多于一个的第一金属层区域或者对应于每个子图案的至少一个第一金属层区域重叠。
如图2D和图3D中的每一个所示,在各个实施例中,使第一金属层区域的延伸部分重叠包括使第一金属层区域M1A或M1B中的至少一个与第一金属层互连部件M1I重叠,在一些实施例中,第一金属层互连部件M1I也称为第一金属层通孔区域。
在各个实施例中,操作110至150中的一些或全部能够作为由APR系统执行的自动布置和布线(APR)方法的一部分来执行。在各个实施例中,APR方法包括构造算法、迭代算法或集成算法中的一种或组合。
在构造算法中,布置和布线的操作是在逐个单元的基础上执行的。在更新IC布局图以包括给定单元及其关联的路由连接的布置之后,另一个布局图修订版包括附加单元及其相关的路由连接的布置。
在迭代算法中,基于电路性能和权衡标准,迭代分析和修改包括多个单元和相关路由连接的初始IC布局图。
在集成算法中,随着IC布局图被修改为包括给定单元和/或其路由连接的布置,应用电路性能和权衡标准。
在操作160,在一些实施例中,IC布局图被存储在存储设备中。在各个实施例中,将IC布局图存储在存储设备中包括将IC布局图存储在非暂时性计算机可读存储器或单元库(例如数据库)中,和/或包括将IC布局图存储在网络上。在一些实施例中,将IC布局图存储在存储设备中包括使用下面参考图4讨论的IC器件设计系统400。
在操作170中,在一些实施例中,基于IC布局图执行一个或多个制造操作。在各个实施例中,执行一个或多个制造操作包括以下一个或多个:在半导体IC的层中制造一个或多个半导体掩模或至少一个组件,或作为下面关于IC制造系统500和图5讨论的IC制造流程的一部分,基于IC布局图执行一次或多次光刻曝光。
通过执行方法100的一些或全部操作,制造系统操作包括通过将第一金属层切割区域对准图案应用于一个或多个单元来生成IC布局图,作为将一个或多个单元定位在IC布局图中的一部分。通过基于第一金属层切割区域对准图案使一个或多个单元与至少一个第一金属层切割区域重叠,与不包括将第一金属层切割区域对准图案施加到定位在IC布局图内的一个或多个单元的方法(例如在单元级别限定第一金属层切割区域的方法)相比,提高了电互连布线灵活性。
在各个实施例中,通过基于关于操作130讨论的所施加的第一金属层切割区域来施加最小金属零通孔封闭规则,关于操作140所讨论的延伸邻近金属零通孔区域的第一金属层区域和/或关于操作140和150所讨论的使延伸的第一金属层区域与第一金属层互连部件重叠,使得基于第一金属层切割区域对准图案的改进的电互连布线灵活性成为可能。
图4是根据一些实施例的IC器件设计系统400的框图。根据一些实施例,上面关于图1至图3C讨论的方法100的一个或多个操作可以使用IC器件设计系统400实现。在各个实施例中,IC器件设计系统400是EDA系统(例如,APR设计系统)中的一些或全部。
在一些实施例中,IC器件设计系统400是包括处理器402和非暂时性计算机可读存储介质404的计算设备。非暂时性计算机可读存储介质404被编码有(即存储)计算机程序代码406,即一组可执行指令。由处理器402执行的指令406表示(至少部分地)IC器件设计系统,该系统实现了方法的一部分或全部,例如,关于图1至图3C讨论的方法100(以下,工艺和/或方法)。
处理器402通过总线408电耦合到非暂时性计算机可读存储介质404。处理器402也通过总线408电耦合到I/O接口410。网络接口412也经由总线408电连接到处理器402。网络接口412连接到网络414,使得处理器402和计算机可读存储介质404能够经由网络414连接到外部元件。处理器402配置为执行在计算机可读存储介质404中编码的计算机程序代码406,以便使IC器件设计系统400可用于执行工艺和/或方法的部分或全部。在一个或多个实施例中,处理器402是中央处理单元(CPU)、多处理器、分布式处理系统、专用集成电路(ASIC)和/或合适的处理单元。
在一个或多个实施例中,非暂时性计算机可读存储介质404是电子、磁、光、电磁、红外和/或半导体系统(或装置或设备)。例如,非暂时性计算机可读存储介质404包括半导体或固态存储器、磁带、可移动计算机磁盘、随机存取存储器(RAM)、只读存储器(ROM)、硬磁盘和/或光盘。在使用光盘的一个或多个实施例中,非暂时性计算机可读存储介质404包括压缩盘-只读存储器(CD-ROM)、压缩盘-读/写(CD-R/W)和/或数字视频盘(DVD)。
在一个或多个实施例中,非暂时性计算机可读存储介质404存储计算机程序代码406,该计算机程序代码406配置为使IC器件设计系统400可用于执行工艺和/或方法的一部分或全部。在一个或多个实施例中,非暂时性计算机可读存储介质404还存储有助于执行一部分或全部所述工艺和/或方法的信息。在各个实施例中,非暂时性计算机可读存储介质404存储单元库420的一个或一部分和/或至少一个对准图案422的一个或组合,如上文关于方法100和图1至图3C所讨论的。
IC器件设计系统400包括I/O接口410。I/O接口410耦合至外部电路。在一个或多个实施例中,I/O接口410包括键盘、小键盘、鼠标、轨迹球、轨迹板和/或光标方向键,用于将信息和命令传送到处理器402和/或从处理器402传送信息和命令。
IC器件设计系统400还包括耦合到处理器402的网络接口412。网络接口412允许系统400与网络414通信,一个或多个其他计算机系统连接到网络414。网络接口412包括无线网络接口,诸如BLUETOOTH、WIFI、WIMAX、GPRS或WCDMA;或有线网络接口,诸如ETHERNET、USB或IEEE-1364。在一个或多个实施例中,工艺和/或方法的部分或全部在两个或多个系统400中实施。
IC装置设计系统400配置为通过I/O接口410接收信息。通过I/O接口410接收的信息包括至少一个IC布局图、至少一个对准图案和/或由处理器402处理的其他参数中的一个或它们的组合。信息通过总线408传输到处理器402。IC器件设计系统400配置为通过I/O接口410发送和/或接收与用户界面有关的信息。
在一些实施例中,所述工艺和/或方法的一部分或全部被实现为用于由处理器执行的独立软件应用。在一些实施例中,工艺和/或方法的部分或全部实现为附加软件应用的部分的软件应用。在一些实施例中,工艺和/或方法的部分或全部实现为软件应用的插件。在一些实施例中,工艺和/或方法的至少一个实现为EDA工具的部分的软件应用。在一些实施例中,使用诸如可从CADENCE DESIGN SYSTEMS公司获得的
Figure BDA0002220463770000201
或其他合适的布局生成工具的工具生成布局图。
在一些实施例中,工艺被实现为存储在非暂时性计算机可读记录介质中的程序的功能。非暂时性计算机可读记录介质的示例包括但不限于外部/可移动和/或内部/内置的存储或存储器单元,例如,诸如DVD的光盘、诸如硬盘的磁盘、诸如ROM、RAM的半导体存储器、存储卡等。
通过可用于实现方法100的一个或多个操作,如上面关于图1至图3C所讨论的,IC器件设计系统400和非暂时性计算机可读存储介质(例如非暂时性计算机可读存储介质404)实现了以上关于方法100所讨论的益处。
图5是根据一些实施例的集成电路(IC)制造系统500以及与其相关联的IC制造流程的框图。在一些实施例中,基于布局图,使用制造系统500来制造(A)一个或多个半导体掩模或(B)半导体集成电路的层中的至少一个组件中的至少一个。
在图5中,IC制造系统500包括在设计、开发和制造周期和/或与制造IC器件560有关的服务中彼此交互的实体,诸如设计室520、掩模室530和IC厂商/制造商(“fab”)550。系统500中的实体通过通信网络连接。在一些实施例中,通信网络是单个网络。在一些实施例中,通信网络是各种不同的网络,诸如内联网和因特网。通信网络包括有线和/或无线通信信道。每个实体与一个或多个其他实体交互,并且向一个或多个其他实体提供服务和/或从一个或多个其他实体接收服务。在一些实施例中,设计室520、掩模室530和IC制造商550中的两个或多个由单个较大的公司拥有。在一些实施例中,设计室520、掩模室530和IC制造商550中的两个或多个共存于共同设施中并且使用共同资源。
基于以上关于图1至图3C讨论的方法100,设计室(或设计团队)520生成IC设计布局图522。IC设计布局图522包各种几何图案,几何图案对应于构成要制造的IC器件560的各种组件的金属、氧化物或半导体层的图案。各个层组合形成各个IC部件。例如,IC设计布局图522的部分包括各种IC部件,诸如将在半导体衬底(诸如硅晶圆)和设置在半导体衬底上的各个材料层中形成的有源区域、栅电极、源电极和漏电极、层间互连件的金属线或通孔以及用于形成接合焊盘的开口。设计室520实施适当的设计工序(包括以上关于图1至图3C讨论的方法100的一个或多个操作)以形成IC设计布局图522。设计工序包括逻辑设计、物理设计或布局布线中的一个或多个。IC设计布局图522呈现在具有几何图案信息的一个或多个数据文件中。例如,IC设计布局图522可以用GDSII文件格式或DFII文件格式表示。
掩模室530包括数据准备532和掩模制造544。掩模室530使用IC设计布局图522来制造一个或多个掩模545,用于根据IC设计布局图522制造IC器件560的各个层。掩模室530实施掩模数据准备532,其中IC设计布局图522转换为代表性数据文件(“RDF”)。掩模数据准备532将RDF提供给掩模制造544。掩模制造544包括掩模写入器。掩模写入器将RDF转换为衬底上的图像,诸如掩模(中间掩模)545或半导体晶圆553。IC设计布局图522由掩模数据准备532操纵,以符合掩模写入器的特定特性和/或IC制造商550的要求。在图5中,掩模数据准备532和掩模制造544示出为单独的要素。在一些实施例中,掩模数据准备532和掩模制造544可以统称为掩模数据准备。
在一些实施例中,掩模数据准备532包括光学邻近校正(OPC),OPC使用光刻增强技术来补偿图像误差,诸如可能由衍射、干涉、其他工艺效应等引起的图像误差。OPC调整IC设计布局图522。在一些实施例中,掩模数据准备532包括进一步的分辨率增强技术(RET),诸如轴外照射、子分辨率辅助部件、相移掩模、其他合适的技术等或它们的组合。在一些实施例中,还使用逆光刻技术(ILT),ILT将OPC视为逆成像问题。
在一些实施例中,掩模数据准备532包括掩模规则检查器(MRC),MRC使用一组掩模创建规则检查已经经受OPC中的工艺的IC设计布局图522,掩模创建规则包含某些几何和/或连接限制以确保足够的裕度,以解决半导体制造工艺中的可变性等。在一些实施例中,MRC修改IC设计布局图522以补偿掩模制造544期间的限制,这可以撤消由OPC实施的部分修改以便满足掩模创建规则。
在一些实施例中,掩模数据准备532包括光刻工艺检查(LPC),LPC模拟将由IC制造商550实施以制造IC器件560的处理。LPC基于IC设计布局图522模拟该处理以创建模拟制造的器件,诸如IC器件560。LPC模拟中的处理参数可以包括与IC制造周期的各种工艺相关的参数、与用于制造IC的工具相关的参数和/或制造工艺的其他方面。LPC考虑各种因素,诸如空间图像对比度、焦深(“DOF”)、掩模误差增强因子(“MEEF”)、其他合适的因子等或它们的组合。在一些实施例中,在通过LPC创建模拟制造的器件之后,如果模拟的器件在形状上不够接近以满足设计规则,则重复OPC和/或MRC以进一步细化IC设计布局图522。
应当理解,为了清楚起见,已经简化了掩模数据准备532的上述描述。在一些实施例中,数据准备532包括诸如逻辑操作(LOP)的附加特征,以根据制造规则修改IC设计布局图522。另外,在数据准备532期间施加于IC设计布局图522的工艺可以以各种不同的顺序实施。
在掩模数据准备532之后并且在掩模制造544期间,基于修改的IC设计布局图522制造掩模545或掩模545的组。在一些实施例中,掩模制造544包括基于修改的IC设计布局图522执行一次或多次光刻曝光。在一些实施例中,基于修改的IC设计布局图522,使用电子束(e束)或多个电子束的机制在掩模(光掩模或中间掩模)545上形成图案。掩模545可以用各种技术形成。在一些实施例中,使用二元技术形成掩模545。在一些实施例中,掩模图案包括不透明区域和透明区域。用于曝光已经涂布在晶圆上的图像敏感材料层(例如,光刻胶)的辐射束(诸如紫外(UV)光束)由不透明区域阻挡并且传输通过透明区域。在一个示例中,掩模545的二元掩模版本包括透明衬底(例如,熔融石英)和涂布在掩模的不透明区域中的不透明材料(例如,铬)。在另一示例中,使用相移技术形成掩模545。在掩模545的相移掩模(PSM)版本中,在掩模上形成的图案中的各种部件配置为具有适当的相位差以提高分辨率和成像质量。在各个示例中,相移掩模可以是衰减PSM或交替PSM。由掩模制造544生成的掩模用于各种工艺中。例如,这种掩模用于离子注入工艺中以在半导体晶圆553中形成各个掺杂区域,用于蚀刻工艺中以在半导体晶圆553中形成各个蚀刻区域和/或用于其他合适的工艺中。
IC制造商550是IC制造业务,包括用于制造各种不同IC产品的一个或多个制造设施。在一些实施例中,IC制造商550是半导体代工厂。例如,可能存在用于多个IC产品(前段制程(FEOL)制造)的前端制造的制造设施,而第二制造设施可以为IC产品(后段制程(BEOL)制造)的互连和封装提供后端制造,并且第三制造设施可以为代工业务提供其他服务。
IC制造商550使用由掩模室530制造的掩模(或多个掩模)来制造IC器件560。因此,IC制造商550至少间接地使用IC设计布局图522来制造IC器件560。在一些实施例中,半导体晶圆553由IC制造商550使用掩模(或多个掩模)545制造以形成IC器件560。在一些实施例中,IC制造包括至少间接基于IC设计布局图522进行一次或多次光刻曝光。半导体晶圆553包括硅衬底或其上形成有材料层的其他适当衬底。半导体晶圆553还包括各个掺杂区域、介电部件、多级互连件等中的一个或多个(在随后的制造步骤中形成)。
关于集成电路(IC)制造系统(例如,图5的系统500)以及与其相关联的IC制造流程的细节可以在例如2016年2月9日授权的美国专利第9,256,709号、2015年10月1日公布的美国授权前公开号20150278429、2014年2月6日公布的美国授权前公开号20140040838、2007年8月21日授权的美国专利第7,260,442号中找到,它们的全部内容结合于此作为参考。
例如,在美国专利第7,260,442号中,图6示出根据本发明实施例的制造系统的框图。掩模制造系统20包括至少一个处理工具21、检测工具23、控制器25、数据库24、以及制造执行系统(MES)26。
处理工具21用以处理至少一个掩模,其可以为曝光工具、烘烤工具、显影工具、蚀刻工具、或光阻剥除工具。
检测工具23是用以在掩模进行蚀刻后和/或光阻剥除后检测该掩模以得到蚀刻后检测和/或剥除后检测结果资料。
控制器25是用以针对处理工具21,执行前馈控制以及反馈控制。其接收检测工具23所得到的检测结果数据,并由数据库24中取得被处理的掩模的相关数据以及与掩模执行步骤所使用材料的相关数据。控制器25并产生处理工具21的处理模型,并根据该掩模的相关数据、处理使用的材料数据、及该检测结果数据校正该处理模型。控制器25并在处理工具21执行掩模处理程序的过程中,监测处理工具21的运作状况,将其运作状况与该处理模型比对,并据以实时调整处理工具21的参数设定,使得其能够以符合该处理模型的方式执行该掩模处理程序。
根据本实施例,上述掩模的相关数据、处理使用的材料数据、及该检测结果数据是储存于数据库24中。上述掩模的相关数据是可以为下列数据中至少一个:对应产品种类数据、掩模阶层数据、掩模等级数据、掩模光学校正数据、以及对应的客户数据等。上述材料数据则可以为下列数据中至少一个:光阻液种类数据、光阻液特性数据、光阻液衰减变化数据。
如图6所示,控制器25是与制造执行系统26链接,其产生处理工具21的处理模型,使得制造执行系统26根据该处理模型控制处理工具21的运作。其中该处理模型包括处理工具21的处理参数以及处理加工程序(recipe)。
图7A至图7B示出根据本发明实施掩模制造方法的流程图,该方法可以实施于上述掩模制造系统。图7A至图7B所示的掩模制造方法控制掩模制造系统中处理工具21的运作。而被控制的处理工具21可以为曝光工具、烘烤工具、显影工具、蚀刻工具、或光阻剥除工具。
图7A至图7B示出该方法首先提供材料数据及掩模数据(步骤S31)。该材料数据主要为在掩模制造过程中所使用的材料的相关数据,例如光阻液等。该掩模数据则是该掩模所对应的产品的相关数据。根据本实施例,上述掩模的相关数据、处理使用的材料数据、及该检测结果数据是储存于数据库24中,而数据库24则与控制器直接链接或透过网络与其链接。
然后,根据该材料数据及该掩模数据确定处理工具21的第一处理参数(步骤S32)。控制器25由数据库24中取得被处理的掩模的相关数据以及与掩模执行步骤所使用材料的相关数据,并据以确定处理工具21的第一处理参数。
然后,根据该第一处理参数执行第一掩模处理以处理第一掩模(步骤S33)。该第一掩模依序经由曝光工具、烘烤工具、显影工具、蚀刻工具、及光阻剥除工具进行曝光、烘烤、显影、蚀刻以及光阻剥除等处理。同时,在上述第一掩模处理处理的过程中,收集对应于该第一掩模处理的第一处理数据(步骤S34)。第一处理数据被传送至控制器25,使得能够根据该材料数据、该掩模数据该第一处理数据确定反馈校正数据(步骤S35)。该反馈校正资料是藉由统计分析方法计算而得。根据本实施例,该材料数据、该掩模数据该第一处理数据是根据其各自的特性,在统计分析过程中以名目变量或连续变量的方式呈现。例如,具有静态特性的材料数据(例如光阻液种类数据)以及掩模数据(例如产品种类数据)分别以不同的名目变量表示。而具有动态特性的材料数据(例如光阻液衰减变化数据)以及掩模数据(例如掩模光学校正数据)则是以对应的连续变量表示。上述名目变量以及连续变量是藉由变异数分析方法以及回归分析方法处理。然后,根据该反馈校正资料校正该第一处理参数以获得第二处理参数(步骤S36)。
然后,根据该第二处理参数,执行第二掩模处理以处理第二掩模(步骤S37)。
在上述步骤S33中执行上述第一掩模处理。当该第一掩模的线宽不符合预定的标准,则必须执行再蚀刻程序以修正该掩模的线宽,直到其符合该预定的标准为止。
参照图7B,其示出掩模处理的前馈控制。该方法利用其他掩模的检测结果来校正工具的处理参数。该方法首先提供前期检测结果(步骤S331),其为掩模的光阻剥除后检测结果。再根据该第一检测数据及该前期检测数据产生前馈调整信号(步骤S333)。然后根据前馈调整数据产生再蚀刻处理参数(步骤S335)。然后,根据该再蚀刻处理参数,执行再蚀刻处理以处理该第一掩模(步骤S337)。图7B中所示出的方法是可以用以控制蚀刻工具或光阻剥除工具。
本发明还提供一种利用统计处理控制分析以实时控制掩模制造的方法,该方法如图8所示。该方法首先提供处理模型(步骤S41),再根据该处理模型,以掩模制造工具执行掩模制造步骤以处理掩模(步骤S43)。并在工具运作的同时,监测该处理工具,以获得其运作信息(步骤S45)。然后,根据该处理模型与该运作数据执行错误检测分析(步骤S47)。并根据该错误检测分析结果,产生微调信号(步骤S48)。再根据该微调信号校正该处理工具的运作设定,使得该处理工具根据调整后的运作设定继续处理该掩模(步骤S49)。
上述图7A至图7B及图8的掩模制造控制方法是可以分别实施或同时实施。
在一些实施例中,一种生成IC布局图的方法包括:在IC布局图中定位一个或多个单元,以及基于第一金属层切割区域对准图案使一个或多个单元与第一金属层切割区域重叠。第一金属层切割区域对准图案包括等于一个或多个单元的高度的图案间距。在一些实施例中,该方法包括从单元库接收一个或多个单元。在一些实施例中,一个或多个单元的高度是一个或多个单元的单个单元的高度。在一些实施例中,IC布局图中的一个或多个单元包括与一个或多个单元的第二单元邻接的一个或多个单元的第一单元,一个或多个单元的第一单元具有第一单元高度,第一单元高度与一个或多个单元中的第二单元的第二单元高度不同,并且一个或多个单元的高度等于第一单元高度和第二单元高度的总和。在一些实施例中,第一金属层切割区域对准图案包括第一子图案和第二子图案,第一子图案和第二子图案中的每个包括分隔开第一距离(第一距离等于图案间距)的第一对准位置和第二对准位置、位于第一对准位置与第二对准位置之间的第三对准位置,并且第一子图案和第二子图案关于轴对称,该轴垂直于与一个或多个单元的高度对应的方向。在一些实施例中,第一子图案对应于第一掩模组,并且第二子图案对应于第二掩模组。在一些实施例中,第一对准位置和第三对准位置分隔开第二距离,该第二距离不同于将第二对准位置和第三对准位置分隔开的第三距离。在一些实施例中,基于第一金属层切割区域对准图案使一个或多个单元与第一金属层切割区域重叠包括基于多个第二金属层轨道定位第一金属层切割区域对准图案。在一些实施例中,该方法包括将一个或多个单元的第一金属层区域延伸到或横跨一个或多个单元的边界段。在一些实施例中,该方法包括使一个或多个单元的第一金属层区域的延伸部分与第一金属层互连部件重叠。
在一些实施例中,一种生成IC布局图的方法包括:在IC布局图中使第一单元与第二单元邻接,相对于第一金属层切割区域对准图案定位第一单元和第二单元,以及基于第一金属层切割区域对准图案使第一单元或第二单元中的至少一个与第一金属层切割区域重叠。在一些实施例中,第一金属层切割区域对准图案包括等于第一单元和第二单元中的每个的高度的图案间距。在一些实施例中,第一单元具有与第二单元的第二单元高度不同的第一单元高度,并且第一金属层切割区域对准图案包括等于第一单元高度和第二单元高度的总和的图案间距。在一些实施例中,第一金属层切割区域对准图案包括对应于第一掩模组的第一子图案和对应于第二掩模组的第二子图案,第二掩模组与第一掩模组不同。在一些实施例中,相对于第一金属层切割区域对准图案定位第一单元和第二单元包括在两个第二金属层轨道之间对准第一单元或第二单元中的一个的边界段,沿着一个第二金属层轨道定位第一子图案的对准位置,以及沿着另一个第二金属层轨道定位第二子图案的对准位置。在一些实施例中,相对于第一金属层切割区域对准图案定位第一单元和第二单元包括将第一单元或第二单元中的一个的边界段与第二金属层轨道对准,以及沿第二金属层轨道定位第一子图案和第二子图案的每个的对准位置。在一些实施例中,该方法包括基于IC布局图,进行以下中的至少一种:制造一个或多个半导体掩模中的至少一个,或者制造半导体IC的层中的至少一个组件,或者执行一次或多次光刻曝光。
在一些实施例中,IC布局图生成系统包括:处理器;和包括用于一个或多个程序的计算机程序代码的非暂时性计算机可读存储介质。非暂时性计算机可读存储介质和计算机程序代码配置为与处理器一起使系统从单元库接收单元,该单元不包括第一金属层切割区域,在相邻的第二金属层轨道之间的预定位置处对准单元的边界段,基于第二金属层轨道相对于第一金属层切割区域对准图案定位单元,基于第一金属层切割区域对准图案使单元与第一金属层切割区域重叠,以及基于单元和第一金属层切割区域生成IC器件的IC布局图。在一些实施例中,第二金属层轨道具有第二金属层间距,并且单元具有等于第二金属层间距的倍数的单元高度。在一些实施例中,非暂时性计算机可读存储介质和计算机程序代码配置为与处理器一起进一步使系统延伸单元的第一金属层区域,其中延伸部分到达边界段,以及在与由第一金属层区域限定的第一金属层段电连接的限定中包括延伸部分。
上面概述了若干实施例的特征,使得本领域人员可以更好地理解本发明的方面。本领域人员应该理解,它们可以容易地使用本发明作为基底来设计或修改用于实施与本文所介绍实施例相同的目的和/或实现相同优势的其它工艺和结构。本领域技术人员也应该意识到,这种等同构造并且不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,本文中它们可以做出多种变化、替换以及改变。

Claims (10)

1.一种生成集成电路(IC)布局图的方法,所述方法包括:
在集成电路布局图中定位一个或多个单元;以及
基于第一金属层切割区域对准图案,使所述一个或多个单元与第一金属层切割区域重叠,
其中,所述第一金属层切割区域对准图案包括等于所述一个或多个单元的高度的图案间距。
2.根据权利要求1所述的方法,还包括从单元库接收所述一个或多个单元。
3.根据权利要求1所述的方法,其中,所述一个或多个单元的高度是所述一个或多个单元的单个单元的高度。
4.根据权利要求1所述的方法,其中,
所述集成电路布局图中的所述一个或多个单元包括与所述一个或多个单元的第二单元邻接的所述一个或多个单元的第一单元,
所述一个或多个单元的所述第一单元具有第一单元高度,所述第一单元高度与所述一个或多个单元中的所述第二单元的第二单元高度不同,并且
所述一个或多个单元的高度等于所述第一单元高度和所述第二单元高度的总和。
5.根据权利要求1所述的方法,其中,
所述第一金属层切割区域对准图案包括第一子图案和第二子图案,
所述第一子图案和所述第二子图案中的每个包括分隔开第一距离的第一对准位置和第二对准位置、位于所述第一对准位置与所述第二对准位置之间的第三对准位置,所述第一距离等于所述图案间距,并且
所述第一子图案和所述第二子图案关于轴对称,所述轴垂直于与所述一个或多个单元的高度对应的方向。
6.根据权利要求5所述的方法,其中,所述第一子图案对应于第一掩模组,并且所述第二子图案对应于第二掩模组。
7.根据权利要求5所述的方法,其中,所述第一对准位置和所述第三对准位置分隔开第二距离,所述第二距离不同于将所述第二对准位置和所述第三对准位置分隔开的第三距离。
8.根据权利要求1所述的方法,其中,基于所述第一金属层切割区域对准图案,使所述一个或多个单元与所述第一金属层切割区域重叠包括:基于多个第二金属层轨道定位所述第一金属层切割区域对准图案。
9.一种生成集成电路(IC)布局图的方法,所述方法包括:
在所述集成电路布局图中使第一单元与第二单元邻接;
相对于第一金属层切割区域对准图案定位所述第一单元和所述第二单元;以及
基于所述第一金属层切割区域对准图案,使所述第一单元或所述第二单元中的至少一个与第一金属层切割区域重叠。
10.一种集成电路(IC)布局图生成系统,包括:
处理器;以及
非暂时性计算机可读存储介质,包括用于一个或多个程序的计算机程序代码,所述非暂时性计算机可读存储介质和所述计算机程序代码配置为与所述处理器一起使系统:
从单元库接收单元,所述单元不包括第一金属层切割区域;
在相邻的第二金属层轨道之间的预定位置处对准所述单元的边界段;
基于所述第二金属层轨道,相对于第一金属层切割区域对准图案定位所述单元;
基于所述第一金属层切割区域对准图案,使所述单元与第一金属层切割区域重叠;以及
基于所述单元和所述第一金属层切割区域生成集成电路器件的集成电路布局图。
CN201910931740.XA 2018-09-28 2019-09-29 集成电路布局图生成方法和系统 Active CN110968981B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862738823P 2018-09-28 2018-09-28
US62/738,823 2018-09-28
US16/580,874 US10997348B2 (en) 2018-09-28 2019-09-24 Metal cut region location method and system
US16/580,874 2019-09-24

Publications (2)

Publication Number Publication Date
CN110968981A true CN110968981A (zh) 2020-04-07
CN110968981B CN110968981B (zh) 2023-10-10

Family

ID=69947555

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910931740.XA Active CN110968981B (zh) 2018-09-28 2019-09-29 集成电路布局图生成方法和系统

Country Status (4)

Country Link
US (2) US10997348B2 (zh)
KR (1) KR102244993B1 (zh)
CN (1) CN110968981B (zh)
TW (1) TWI735961B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10997348B2 (en) * 2018-09-28 2021-05-04 Taiwan Semiconductor Manufacturing Company Ltd. Metal cut region location method and system
US11934764B2 (en) * 2021-06-29 2024-03-19 Advanced Micro Devices, Inc. Routing and manufacturing with a minimum area metal structure

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150286765A1 (en) * 2014-04-08 2015-10-08 Taiwan Semiconductor Manufacturing Co., Ltd. Cut mask design layers to provide compact cell height
CN106356333A (zh) * 2015-07-16 2017-01-25 台湾积体电路制造股份有限公司 用于芯轴和间隔件图案化的方法和结构
US20170124243A1 (en) * 2013-03-14 2017-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Layout Optimization of a Main Pattern and a Cut Pattern
CN107239588A (zh) * 2016-03-29 2017-10-10 美商新思科技有限公司 集成电路设计的定制布局
US9837398B1 (en) * 2016-11-23 2017-12-05 Advanced Micro Devices, Inc. Metal track cutting in standard cell layouts

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6385761B1 (en) * 1999-10-01 2002-05-07 Lsi Logic Corporation Flexible width cell layout architecture
US6892370B2 (en) 2003-04-02 2005-05-10 Silicon Design Systems Ltd. Computerized standard cell library for designing integrated circuits (ICs) with high metal layer intra cell signal wiring, and ICs including same
JP4620942B2 (ja) * 2003-08-21 2011-01-26 川崎マイクロエレクトロニクス株式会社 半導体集積回路のレイアウト方法、そのレイアウト構造、およびフォトマスク
US7260442B2 (en) 2004-03-03 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for mask fabrication process control
US9009641B2 (en) * 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
KR101395060B1 (ko) * 2007-09-18 2014-05-15 삼성전자주식회사 라인 패턴들을 포함하는 반도체 소자
US7930660B2 (en) * 2008-01-30 2011-04-19 Infineon Technologies Ag Measurement structure in a standard cell for controlling process parameters during manufacturing of an integrated circuit
US8276109B2 (en) * 2008-12-23 2012-09-25 Broadcom Corporation Mixed-height high speed reduced area cell library
JP5552775B2 (ja) * 2009-08-28 2014-07-16 ソニー株式会社 半導体集積回路
US8850366B2 (en) 2012-08-01 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making a mask by forming a phase bar in an integrated circuit design layout
US9576978B2 (en) * 2012-10-09 2017-02-21 Samsung Electronics Co., Ltd. Cells including at least one fin field effect transistor and semiconductor integrated circuits including the same
US9262570B2 (en) * 2013-03-15 2016-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Layout boundary method
US8875067B2 (en) 2013-03-15 2014-10-28 Taiwan Semiconductor Manufacturing Co., Ltd. Reusable cut mask for multiple layers
US9380709B2 (en) 2013-03-15 2016-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method of cutting conductive patterns
US9659129B2 (en) * 2013-05-02 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell having cell height being non-integral multiple of nominal minimum pitch
US9831230B2 (en) 2013-08-13 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell layout, semiconductor device having engineering change order (ECO) cells and method
US9256709B2 (en) 2014-02-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit mask patterning
US9405879B2 (en) * 2014-04-01 2016-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Cell boundary layout
US9465906B2 (en) 2014-04-01 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for integrated circuit manufacturing
US9626472B2 (en) * 2014-11-26 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system of forming layout design
US9477804B2 (en) * 2015-01-20 2016-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit design method
US10523188B2 (en) * 2016-02-23 2019-12-31 Samsung Electronics Co., Ltd. Semiconductor device
US9911606B2 (en) * 2016-04-28 2018-03-06 Taiwan Semiconductor Manufacturing Co., Ltd. Mandrel spacer patterning in multi-pitch integrated circuit manufacturing
US10262981B2 (en) * 2016-04-29 2019-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit, system for and method of forming an integrated circuit
US9977854B2 (en) 2016-07-12 2018-05-22 Ati Technologies Ulc Integrated circuit implementing standard cells with metal layer segments extending out of cell boundary
US10128189B2 (en) 2016-08-30 2018-11-13 Taiwan Semiconductor Manufacturing Company Limited Standard cell layout for better routability
US10282504B2 (en) * 2016-09-30 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving circuit layout for manufacturability
US10402529B2 (en) * 2016-11-18 2019-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and layout of an integrated circuit
US10339250B2 (en) * 2016-11-29 2019-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating engineering change order (ECO) layout of base cell and computer-readable medium comprising executable instructions for carrying out said method
US10373962B2 (en) * 2017-05-26 2019-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device including trimmed-gates and method for generating layout of same
US20190138682A1 (en) * 2017-11-07 2019-05-09 Qualcomm Incorporated Engineering change order (eco) cell architecture and implementation
US10733352B2 (en) * 2017-11-21 2020-08-04 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit and layout method for standard cell structures
CN115831969A (zh) * 2017-11-30 2023-03-21 英特尔公司 用于高级集成电路结构制造的鳍状物图案化
US10756204B2 (en) * 2017-11-30 2020-08-25 Intel Corporation Fin trim isolation with single gate spacing for advanced integrated circuit structure fabrication
US10867102B2 (en) * 2018-06-28 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Inverted pitch IC structure, layout method, and system
US10776551B2 (en) * 2018-06-29 2020-09-15 Taiwan Semiconductor Manufacturing Company Ltd. Method and system of revising a layout diagram
KR102495912B1 (ko) * 2018-08-10 2023-02-03 삼성전자 주식회사 표준 셀을 포함하는 집적 회로 및 이를 제조하기 위한 방법
US10783313B2 (en) * 2018-08-30 2020-09-22 Taiwan Semiconductor Manufacturing Company Ltd. Method for improved cut metal patterning
US11188703B2 (en) * 2018-09-28 2021-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit, system, and method of forming the same
US10997348B2 (en) * 2018-09-28 2021-05-04 Taiwan Semiconductor Manufacturing Company Ltd. Metal cut region location method and system
US10970451B2 (en) * 2018-09-28 2021-04-06 Taiwan Semiconductor Manufacturing Company Ltd. Integrated circuit layout method, device, and system
US11232248B2 (en) * 2018-09-28 2022-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Routing-resource-improving method of generating layout diagram and system for same
US10977418B2 (en) * 2018-09-28 2021-04-13 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device with cell region, method of generating layout diagram and system for same
DE102019125900B4 (de) * 2018-09-28 2022-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Metallschnittgebiet-positionierungsverfahren und system
US11138360B2 (en) * 2018-10-31 2021-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with filler cell region, method of generating layout diagram and system for same
US11080453B2 (en) * 2018-10-31 2021-08-03 Taiwan Semiconductor Manufacturing Company Ltd. Integrated circuit fin layout method, system, and structure
US11030372B2 (en) * 2018-10-31 2021-06-08 Taiwan Semiconductor Manufacturing Company Ltd. Method for generating layout diagram including cell having pin patterns and semiconductor device based on same
US11494542B2 (en) * 2019-01-29 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method of generating layout diagram and system for same
US11107805B2 (en) * 2019-04-15 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit
DE102021100870B4 (de) * 2020-05-12 2024-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Hybridschicht-layout, -verfahren, -system und -struktur
KR20220003363A (ko) * 2020-07-01 2022-01-10 삼성전자주식회사 다중 높이 셀을 포함하는 집적 회로 및 이를 설계하는 방법
WO2022119778A1 (en) * 2020-12-03 2022-06-09 Synopsys, Inc. Adaptive row patterns for custom-tiled placement fabrics for mixed height cell libraries
US11901286B2 (en) * 2021-01-28 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Diagonal via pattern and method

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170124243A1 (en) * 2013-03-14 2017-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Layout Optimization of a Main Pattern and a Cut Pattern
US20150286765A1 (en) * 2014-04-08 2015-10-08 Taiwan Semiconductor Manufacturing Co., Ltd. Cut mask design layers to provide compact cell height
CN106356333A (zh) * 2015-07-16 2017-01-25 台湾积体电路制造股份有限公司 用于芯轴和间隔件图案化的方法和结构
CN107239588A (zh) * 2016-03-29 2017-10-10 美商新思科技有限公司 集成电路设计的定制布局
US9837398B1 (en) * 2016-11-23 2017-12-05 Advanced Micro Devices, Inc. Metal track cutting in standard cell layouts

Also Published As

Publication number Publication date
US20200104448A1 (en) 2020-04-02
KR102244993B1 (ko) 2021-04-28
KR20200037103A (ko) 2020-04-08
TW202026919A (zh) 2020-07-16
TWI735961B (zh) 2021-08-11
US11636248B2 (en) 2023-04-25
US20210240903A1 (en) 2021-08-05
CN110968981B (zh) 2023-10-10
US10997348B2 (en) 2021-05-04

Similar Documents

Publication Publication Date Title
CN111048505B (zh) 半导体器件及其制造方法和系统
US11222157B2 (en) Pin access hybrid cell height design
US20230377964A1 (en) Cell regions and semiconductor device including the same
US8762900B2 (en) Method for proximity correction
CN111128999B (zh) 半导体器件及其制造方法和系统
CN111834362B (zh) 集成电路和制造集成电路的方法
US11675961B2 (en) Engineering change order cell structure having always-on transistor
US11741288B2 (en) Routing-resource-improving method of generating layout diagram, system for same and semiconductor device
US11901286B2 (en) Diagonal via pattern and method
US11030372B2 (en) Method for generating layout diagram including cell having pin patterns and semiconductor device based on same
US20230267262A1 (en) Metal cut region location method
US12039246B2 (en) Circuit layout
CN110968981B (zh) 集成电路布局图生成方法和系统
US20240090190A1 (en) Semiconductor device including unilaterally extending gates and method of forming same
US10685161B2 (en) Region based shrinking methodology for integrated circuit layout migration
US20210202384A1 (en) Dual power structure with efficient layout
US20230289508A1 (en) Dummy cells placed adjacent functional blocks
US20230394216A1 (en) Integrated circuit device and manufacturing method of the same
CN113158609B (zh) 半导体器件及其制造方法
US20240070364A1 (en) Circuit cells having power grid stubs
US20230259686A1 (en) Semiconductor device and method and system of arranging patterns of the same

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant