TW202026919A - 積體電路佈局圖產生系統及其產生方法 - Google Patents

積體電路佈局圖產生系統及其產生方法 Download PDF

Info

Publication number
TW202026919A
TW202026919A TW108135322A TW108135322A TW202026919A TW 202026919 A TW202026919 A TW 202026919A TW 108135322 A TW108135322 A TW 108135322A TW 108135322 A TW108135322 A TW 108135322A TW 202026919 A TW202026919 A TW 202026919A
Authority
TW
Taiwan
Prior art keywords
metal layer
unit
pattern
cutting area
sub
Prior art date
Application number
TW108135322A
Other languages
English (en)
Other versions
TWI735961B (zh
Inventor
楊榮展
張豐願
田麗鈞
陳庭榆
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202026919A publication Critical patent/TW202026919A/zh
Application granted granted Critical
Publication of TWI735961B publication Critical patent/TWI735961B/zh

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67092Apparatus for mechanical treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Theoretical Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • General Engineering & Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Architecture (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

一種產生積體電路佈局圖的方法包括以下步驟。在積體電路佈局圖中定位一或多個單元。基於第一金屬層切削區域對準圖案,使一或多個單元與第一金屬層切削區域重疊。第一金屬層切削區域對準圖案包括等於一或多個單元之高度的第一金屬層切削間距。

Description

金屬切削區域位置的方法及系統
積體電路(integrated circuit;IC)通常包括以IC佈局圖表示的眾多半導體元件。IC佈局圖為分層的且包括根據半導體元件的設計規範執行較高階功能的模組。模組通常由單元之組合構成,每個單元表示經配置用以執行特定功能的一或多個半導體結構。
為了形成較高階模組及賦能外部連接,藉由多個上覆金屬層中形成的互連結構將單元及其他IC特徵路由至彼此。單元佈局及互連路由係針對IC的整體設計製程的一部分。
使用各種電子設計自動化(electronic design automation;EDA)工具來產生、修改及驗證半導體元件之設計,同時確保滿足設計與製造規範。
100:方法
110:步驟
120:步驟
130:步驟
140:步驟
150:步驟
160:步驟
170:步驟
200:IC佈局
300:IC佈局
400:IC佈局產生系統
402:處理器
404:非暫時性電腦可讀取儲存媒體
406:電腦程式碼
408:匯流排
410:I/O介面
412:網路介面
414:網路
420:單元庫
422:對準圖案
500:IC製造系統
520:設計室
522:IC設計佈局圖
530:遮罩室
532:遮罩資料準備
544:遮罩製造
545:遮罩(主光罩)
550:製造者
552:晶圓製造
553:半導體晶圓
560:IC元件
B1A:邊界區段
B1B:邊界區段
B2A:邊界區段
B2B:邊界區段
B3A:邊界區段
B3B:邊界區段
B4A:邊界區段
B4B:邊界區段
C1:單元
C2:單元
C3:單元
C4:單元
CM1A:切削區域
CM1B:切削區域
CM1P1:第一金屬層切削區域對準圖案
CM1P2:第一金屬層切削區域對準圖案
CM1PA:第一金屬層切削間距
CM1PB:第一金屬層切削間距
CM1S1:子圖案
CM1S2:子圖案
CM1S3:子圖案
CM1S4:子圖案
EN1:距離
EN2:距離
H1:高度
H2:高度
H3:高度
H4:高度
M1A:第一金屬層區域
M1B:第一金屬層區域
M1I:第一金屬層互連結構
M2A:第二金屬層區域
M2B:第二金屬層區域
M2P:第二金屬層間距
M2T1:第二金屬層軌跡
M2T2:第二金屬層軌跡
M2T3:第二金屬層軌跡
M2T4:第二金屬層軌跡
M2T5:第二金屬層軌跡
M2T6:第二金屬層軌跡
M2T7:第二金屬層軌跡
M2T8:第二金屬層軌跡
M2T9:第二金屬層軌跡
M2T10:第二金屬層軌跡
M2T11:第二金屬層軌跡
M2T12:第二金屬層軌跡
當結合隨附圖式閱讀時,將自下文的詳細描述最佳地理解本揭示之一些實施例之態樣。應注意,根據工業中的標準 實務,並未按比例繪製各特徵。事實上,為了論述清楚,可任意增加或減小各特徵之尺寸。
第1圖係根據一些實施例的產生IC佈局圖的方法之流程圖。
第2A圖至第2D圖係根據一些實施例的產生IC佈局圖之各階段的IC佈局圖之描繪。
第3A圖至第3D圖係根據一些實施例的產生IC佈局圖之各階段的IC佈局圖之描繪。
第4圖係根據一些實施例的IC元件設計系統之方塊圖。
第5圖係根據一些實施例的IC製造系統及與其相關聯的IC製造流程之方塊圖。
以下揭示內容提供許多不同實施例或實例,以便實施所提供之標的之不同特徵。下文描述部件、值、操作、材料、佈置或類似者之特定實例以簡化本揭示之一些實施例。當然,此等僅為實例且不欲為限制性。涵蓋其他部件、值、操作、材料、佈置或類似者。舉例而言,在下文的描述中,第一特徵形成於第二特徵上方或第二特徵上可包括以直接接觸形成第一特徵與第二特徵的實施例,且亦可包括可在第一特徵與第二特徵之間形成額外特徵以使得第一特徵與第二特徵可不處於直接接觸的實施例。另外,本揭示之一些實施例可在各實例中重複元件符號及/或字母。此重複係出 於簡化與清楚目的,且本身並不指示所論述之各實施例及/或配置之間的關係。
此外,為了便於描述,本揭示之一些實施例可使用空間相對性術語(諸如「之下」、「下方」、「下部」、「上方」、「上部」及類似者)來描述諸圖中所圖示一個元件或特徵與另一元件(或多個元件)或特徵(或多個特徵)之關係。除了諸圖所描繪之定向外,空間相對性術語意欲包含使用或操作中元件之不同定向。設備可經其他方式定向(旋轉90度或處於其他定向上)且因此可類似解讀本揭示之一些實施例所使用之空間相對性描述詞。
在各個實施例中,產生IC佈局包括藉由將第一金屬層切削區域對準圖案應用於一或多個單元作為在IC佈局圖中定位一或多個單元的一部分。與不包括將第一金屬層切削區域對準圖案應用於IC佈局圖內定位的一或多個單元的方式相比,例如在單元位準處定義第一金屬層切削區域的方式,藉由基於第一金屬層切削區域對準圖案使一或多個單元與至少一個第一金屬層切削區域重疊,改善了電互連佈線可撓性。
在第1圖中描繪且使用第2A圖至第3D圖之非限制性實例圖示的方法100中提供IC佈局圖產生及其他製造流程步驟之實施例。第2A圖至第2D圖圖示基於對應於單個單元之高度的第一金屬層切削區域對準圖案產生IC佈局圖之實例,及第3A圖至第3D圖圖示基於對應於兩個單元之 組合高度的第一金屬層切削區域對準圖案產生IC佈局圖之實例。
第1圖係根據一些實施例的產生IC佈局圖的方法100之流程圖。在一些實施例中,產生IC佈局圖是操作IC製造系統的一部分。在一些實施例中,產生IC佈局圖包括產生對應於複數個電晶體的IC佈局圖,此等電晶體例如為平面電晶體及/或鰭式場效電晶體(fin field-effect transistors;FinFETs)。方法100之步驟能夠作為形成一或多個半導體元件之方法的一部分來執行,半導體元件之非限制性實例包括記憶體電路、邏輯元件、處理元件、訊號處理電路或類似者。
在一些實施例中,以第1圖所描繪之次序執行方法100之步驟。在一些實施例中,以除第1圖所描繪之次序之外的次序執行方法100之步驟。在一些實施例中,在執行方法100之一或多個步驟之前、之間、期間及/或之後執行一或多個步驟。
在一些實施例中,藉由電腦之處理器執行方法100中的一些或全部。在一些實施例中,藉由下文關於第4圖論述之IC佈局產生系統400之處理器402執行方法100中的一些或全部。
方法100之步驟中的一些或全部能夠作為製造流程的一部分來執行,例如下文關於IC製造系統500及第5圖論述之製造流程。
第2A圖至第2D圖描繪在一些實施例中藉由執行方法100之一或多個步驟的產生IC佈局200之各階段的IC佈局200。IC佈局200包括單元C1及C2、具有第二金屬層間距M2P的第二金屬層軌跡M2T1-M2T8及下文所論述之額外特徵。
第3A圖至第3D圖描繪在一些實施例中藉由執行方法100之一或多個步驟的產生IC佈局300之各階段的IC佈局300。IC佈局300包括單元C3及C4、具有第二金屬層間距M2P的第二金屬層軌跡M2T1-M2T12及下文所論述之額外特徵。
出於清楚目的,簡化了IC佈局200及300。在各個實施例中,IC佈局200或300之一者或兩者包括除了第2A圖至第3D圖中所描繪之特徵之外的特徵,例如一或多個電晶體元件、動力軌道、隔離結構、互連特徵或類似者。第2A圖至第3D圖之每一者進一步描繪X方向及Y方向。
在步驟110處,在一些實施例中,接收一或多個單元。接收一或多個單元包括接收一或多個單元之至少一個單元,此等單元包括在第一金屬層方向上縱向延伸的至少一個第一金屬層區域。在一些實施例中,接收一或多個單元包括接收一或多個單元之至少一個單元,此等單元包括覆蓋至少一個第一金屬層區域的至少一個金屬零通孔區域。
金屬區域(例如,第一金屬層區域)為包括在製造製程中的IC佈局圖中的區域,此區域作為定義IC元件之金屬層(例如,第一金屬層層)中的一或多個導電材料之 一或多個區段的一部分,此IC元件經配置用以在一或多個下層觸點及/或通孔結構、一或多個上覆通孔結構及/或一或多個相鄰金屬區段之間形成電性連接。
通孔區域(例如,金屬零通孔區域)為包括在製造製程中的IC佈局圖中的區域,此區域作為定義通孔結構的一部分,此通孔結構包括IC元件中的一或多個導電層之一或多個區段,此IC元件經配置用以在一或多個下層金屬區段及/或觸點或閘極結構與一或多個上覆金屬區段(例如,一或多個第一金屬層區段)之間形成電性連接。金屬零通孔區域(metal zero via region)定義一通孔結構,配置以在第一金屬層區段與在IC佈局層次結構的單元級別定義的下層的導電層(例如,金屬零層)區段之間形成電性連接。
在一些實施例中,接收一或多個單元包括接收一或多個單元之至少一個單元,此等單元包括對應於第一遮罩的一或多個第一金屬層區域及對應於一或多個第二遮罩的第二金屬層區域,第二遮罩與第一遮罩不同。
在各個實施例中,第一遮罩及第二遮罩對應於製造流程之第一遮罩集合及第二遮罩集合。第一遮罩集合及第二遮罩集合之每一者定義IC特徵之子集,此等IC特徵具有基於標準特徵大小的尺寸,以及以互補方式佈置特徵之子集以形成組合特徵,此等組合特徵具有小於由遮罩集合之單個一者形成的特徵的尺寸。
在一些實施例中,接收一或多個單元包括接收一或多個單元之至少一個單元,此等單元包括對應於第一遮 罩及第二遮罩的第一金屬層區域之第一集合及第二集合,沿垂直於第一金屬層方向的一方向使第一集合之第一金屬層區域與第二集合之第一金屬層區域交替。
在各個實施例中,接收一或多個單元包括接收以下之一者或更多者之一或多個佈局:標準單元、邏輯單元、記憶體單元、工程修改命令(engineering change order;ECO)單元、定製單元、實體元件單元或另一預先定義之IC元件部件。
接收一或多個單元包括接收一或多個單元之每一者,此等單元具有邊界及位於第一邊界區段與第二邊界區段之間在第一金屬層方向上定義的相應單元高度,因此一或多個單元具有第一金屬層方向上的單元高度。在各個實施例中,一或多個單元之單元高度對應於單個單元之高度或多個單元之組合高度。在各個實施例中,接收一或多個單元包括接收具有相同單元高度的多個單元,或接收多個單元,此等單元包括至少一個單元,此至少一個單元具有的單元高度與多個單元之至少一個其他單元高度不同。
在一些實施例中,接收一或多個單元包括自單元庫接收一或多個單元。在各個實施例中,自單元庫接收一或多個單元包括自資料庫、自複數個電子檔案及/或經由網路接收一或多個單元。在一些實施例中,接收一或多個單元包括自下文關於第4圖論述之IC佈局產生系統400之單元庫420接收一或多個單元。
在一些實施例中,接收一或多個單元包括接收不包括第一金屬層切削區域的一或多個單元之至少一個單元。金屬切削區域(例如,第一金屬層切削區域)為所包括的IC佈局圖中的區域,此區域作為在形成一或多個區段之後定義製造製程中(例如,藉由蝕刻製程)被移除的IC元件之一或多個金屬區段(例如,第一金屬層區段)之一或多個部分的一部分。隨後用介電層填充一或多個移除部分,從而使相應一或多個金屬區段或剩餘區段塊與IC元件中的相鄰特徵電隔離。
為了定義在製造製程中移除的金屬區段的一部分,金屬層切削區域在垂直於一方向的方向上縱向延伸,其中相應金屬區段縱向延伸。因此,第一金屬層切削區域在垂直於第一金屬層方向的方向上縱向延伸。
在一些實施例中,製造製程包括對應於一或多個第一金屬層切削區域及第二金屬層切削區域的第一遮罩集合及第二遮罩集合,且接收一或多個單元包括接收不包括第一金屬層切削區域的一或多個單元之至少一個單元,此第一金屬層切削區域對應於第一遮罩集合或第二遮罩集合之給定一者或對應於第一遮罩集合與第二遮罩集合兩者。
在一些實施例中,接收一或多個單元包括接收單元C1及C2之一者或兩者,如第2A圖所描繪。接收單元C1及C2之每一者,包括在Y方向上縱向延伸的第一金屬層區域M1A及M1B以及重疊第一金屬層區域M1A及M1B的金屬零通孔區域V0(出於清楚僅標識一個)。第一金屬層 區域M1A對應於第一遮罩集合,第一金屬層區域M1B對應於第二遮罩集合,第二遮罩集合與第一遮罩集合不同,且單元C1及C2之每一者不包括對應於第一遮罩集合或第二遮罩集合之任一者的第一金屬層切削區域。單元C1具有處於邊界區段B1A與B1B之間在Y方向上的高度H1,及單元C2具有處於邊界區段B2A與B2B之間在Y方向上的高度H1。
在第2A圖中描繪單元C1及C2,隨後例如藉由執行下文論述之步驟120在IC佈局圖200中定位此等單元。在第2A圖所描繪之實施例中,接收單元C1及C2,兩者具有獨立於彼此的位置。在一些實施例中,接收單元C1及C2,使得單元C1之邊界區段B1B鄰接單元C2之邊界區段B2A,因此鄰接彼此接收單元C1及C2。
在一些實施例中,接收一或多個單元包括接收單元C3及C4,如第3A圖所描繪。接收單元C3及C4之每一者,包括在Y方向上縱向延伸且對應於個別第一遮罩集合及第二遮罩集合的第一金屬層區域M1A及M1B,重疊第一金屬層區域M1A及M1B的金屬零通孔區域V0(出於清楚僅標識一者),及不包括對應於第一遮罩集合或第二遮罩集合之任一者的第一金屬層切削區域。單元C3具有處於邊界區段B3A與B3B之間在Y方向上的高度H2,及單元C4具有與高度H2不同且處於邊界區段B4A與B4B之間在Y方向上的高度H3。
在第3A圖中描繪單元C3及C4,隨後例如藉由執行下文論述之步驟120在IC佈局圖300中定位此等單 元。在第3A圖所描繪之實施例中,接收單元C3及C4,兩者具有獨立於彼此的位置。在一些實施例中,接收單元C3及C4,使得單元C3之邊界區段B3B鄰接單元C4之邊界區段B4A,因此鄰接彼此接收單元C3及C4。
在各個實施例中,接收一或多個單元包括一或多個單元之單元高度,此單元高度對應於第2A圖所描繪之單元C1高度H1或對應於第3A圖所描繪之各別單元C3及C4之高度H2及H3之和,亦描繪為第3B圖中的高度H4。
在一些實施例中,接收一或多個單元包括利用電腦之處理器接收一或多個單元。在一些實施例中,接收一或多個單元包括使用下文關於第4圖論述之IC佈局產生系統400之處理器402接收一或多個單元。
在步驟120處,在IC佈局圖中定位一或多個單元。在IC佈局圖中定位一或多個單元包括在IC佈局圖中相對於軌跡定位一或多個單元。軌跡(例如,第二金屬層軌跡)為平行線,此等線具有第一金屬層中的間距,或間距、方向且在垂直於第一金屬層方向的軌跡方向上延伸。軌跡定義IC佈局圖中的金屬區域(例如,第二金屬層區域)之位置,此等位置用於定義在基於IC佈局圖製造的IC元件之金屬層(例如,第二金屬層層)中的軌跡方向上縱向延伸的金屬區段。
間距定義相應金屬區段在間距方向上分開的倍數。在一些實施例中,對準金屬區段以具有間距包括使每一金屬區域在相應軌跡上居中,使得每一金屬區域之寬度由軌 跡分成兩半。在一些實施例中,對準金屬區段以具有間距包括沿金屬區域之寬度基於共用點在間距方向上對準金屬區域而無需使每一金屬區域在相應軌跡上居中。
因此,軌跡或共用點定義沿間距方向的複數個位置,在此等位置處潛在地安置金屬區域且因此相應金屬區段。在各個實施例中,對準金屬區段以具有間距包括沿間距方向在每一可能位置處定位至少一個金屬區域,或藉由忽略沿間距方向的一或多個可能位置定位金屬區域。
在一些實施例中,第一軌跡子集及第二軌跡子集對應於第一遮罩集合及第二遮罩集合,軌跡之第一子集沿軌跡方向與軌跡之第二子集交替。
相對於軌跡定位一或多個單元包括沿間距方向定向一或多個單元之高度。在一些實施例中,一或多個單元之高度等於間距之倍數。在一些實施例中,沿間距方向定向一或多個單元之高度包括定向單元C1之高度H1等於第二金屬層間距M2P的六倍,如第2B圖所描繪。在一些實施例中,沿間距方向定向一或多個單元之高度包括定向高度H4等於第二金屬層間距M2P的十一倍且等於單元C3之高度H2(第二金屬層間距M2P的六倍)與單元C4之H3(第二金屬層間距M2P的五倍)之和,如第3B圖所描繪。
在各個實施例中,相對於軌跡定位一或多個單元包括使一或多個單元之至少一個邊界區段與給定軌跡對準或處於預定位置處,例如相鄰軌跡之間的中點。在一些實施例中,在IC佈局圖中相對於軌跡定位一或多個單元包括 以下之一者或兩者:對準第二金屬層軌跡M2T1與M2T2之間的單元C1之邊界區段B1A,例如,在中點(未標識)處,或對準第二金屬層軌跡M2T7與M2T8之間的單元C1之邊界區段B1B,例如在中點(未標識)處,如第2B圖所描繪。在一些實施例中,在IC佈局圖中相對於軌跡定位一或多個單元包括以下之一者或兩者:使單元C3之邊界區段B3A與第二金屬層軌跡M2T1對準,使單元C3之邊界區段B3B與第二金屬層軌跡M2T7對準,使單元C4之邊界區段B4A與第二金屬層軌跡M2T7對準,或使單元C4之邊界區段B4B與第二金屬層軌跡M2T12對準,如第3B圖所描繪。
在一些實施例中,在IC佈局圖中定位一或多個單元包括使一或多個單元之第一單元與一或多個單元之第二單元鄰接。使第一單元與第二單元鄰接包括使第一單元之邊界區段與第二單元之邊界區段鄰接,使得單元之每一者之高度在第一金屬層方向上對準。對準單元之每一者包括與鄰接邊界區段相鄰的邊界區段,且在各個實施例中,在第一金屬層方向上對準單元之高度包括在第一金屬層方向上對準相應相鄰邊界區段之一者、兩者或皆不對準。在一些實施例中,在IC佈局圖中定位一或多個單元不包括鄰接第一單元及第二單元,且在步驟110中接收一或多個單元,包括第一單元與第二單元鄰接。
在一些實施例中,使第一單元與第二單元鄰接包括使單元C1之邊界區段B1B與單元C2之邊界區段B2A鄰接,如第2D圖所描繪。在一些實施例中,使第一單元與 第二單元鄰接包括使單元C3之邊界區段B3B與單元C4之邊界區段B4A鄰接,如第3B圖至第3D圖所描繪。
在IC佈局圖中定位一或多個單元包括相對於第一金屬層切削區域對準圖案定位一或多個單元。第一金屬層切削區域對準圖案係沿第一金屬層方向的對準位置的佈置,在此處潛在地定位第一金屬層切削區域,第一金屬層切削區域對準圖案具有等於一或多個單元之高度的圖案間距。在各個實施例中,第一金屬層切削區域對準圖案包括多個對準位置,範圍自二至六。
在一些實施例中,第一金屬層切削區域對準圖案包括對應於各別第一遮罩集合及第二遮罩集合的第一子圖案及第二子圖案。在各個實施例中,第一子圖案之至少一個對準位置與第二子圖案之至少一個對準位置相同,或第一子圖案之每一對準位置與第二子圖案之每一對準位置不同。
在各個實施例中,相對於第一金屬層切削區域對準圖案定位一或多個單元包括相對於第2B圖所描繪佈置的第一金屬切削區域CM1A及CM1B定位單元C1,或相對於第3B圖所描繪佈置的第一金屬切削區域CM1A及CM1B定位單元C3及C4。在第2B圖及第3B圖所描繪之實施例之每一者中,第一金屬層切削區域CM1A及第一金屬層區域M1A對應於第一遮罩集合,及第一金屬層切削區域CM1B及第一金屬層區域M1B對應於第二遮罩集合。出於說明目的,簡化了第2B圖及第3B圖所描繪之佈置,例如藉由忽略 一或多個第一金屬層切削區域及包括跨第一金屬層切削區域之間的整個距離的第一金屬層區域。
在第2B圖所描繪之實施例中,一對第一金屬層切削區域CM1A對應於在第二金屬層軌跡M2T1及M2T7上居中的第一子圖案(未標識),及一對第一金屬層切削區域CM1B對應於在第二金屬層軌跡M2T2及M2T8上居中的第二子圖案(未標識)。因此,第一子圖案及第二子圖案之每一者具有第一金屬層切削間距,此間距等於單元C1之高度H1,亦等於第二金屬層間距M2P的六倍。
如第2B圖所圖示,由於第一子圖案及第二子圖案對應於單獨的遮罩集合,第一金屬層切削區域CM1A定義第一金屬層區域M1A之移除部分,獨立於第一金屬層區域M1B之移除部分,以及第一金屬層切削區域CM1B定義第一金屬層區域M1B之移除部分,獨立於第一金屬層區域M1A之移除部分。
在第2C圖中進一步描繪相對於第2B圖所描繪佈置的第一金屬切削區域CM1A及CM1B定位單元C1,其中IC佈局圖200包括單元C1,此單元包括兩個第一金屬層區域M1A及三個第一金屬層區域M1B。第2C圖包括第一金屬層切削區域對準圖案CM1P1,此第一金屬層切削區域對準圖案在Y方向上具有第一金屬層切削間距CM1PA且包括子圖案CM1S1及CM1S2。第一金屬層切削間距CM1PA等於單元C1之高度H1。
子圖案CM1S1包括對應於第一遮罩集合的第一金屬層切削區域CM1A以及第一金屬層區域M1A,及子圖案CM1S2包括對應於第二遮罩集合的第一金屬層切削區域CM1B以及第一金屬層區域M1B。
子圖案CM1S1包括對應於邊界區段B1B的第一對準位置,在正Y方向上自第一對準位置偏移距離a1的第二對準位置,及在正Y方向上自第二對準位置偏移距離b1的第三對準位置。距離a1及b1之和等於第一金屬層切削間距CM1PA,使得第三對準位置對應於邊界區段B1A。關於Y方向,每一第一金屬層切削區域CM1A之最低邊界區段與第一對準位置、第二對準位置及第三對準位置之相應一者對準。
子圖案CM1S2包括對應於邊界區段B1A的第一對準位置,在負Y方向上自第一對準位置偏移距離a1的第二對準位置,及在負Y方向上自第二對準位置偏移距離b1且因此對應於邊界區段B1B的第三對準位置。關於Y方向,每一第一金屬層切削區域CM1B之最高邊界區段與第一對準位置、第二對準位置及第三對準位置之相應一者對準。
藉由上文論述之配置,子圖案CM1S1及CM1S2關於X方向上延伸的軸線對稱。子圖案CM1S1及CM1S2之每一者包括對應於圖案間距CM1PA及邊界區段B1A及B1B的一對對準位置,及對應於距離a1及b1的位置處的此對之間的第三對準位置。在各個實施例中,距離a1大於、小於或等於距離b1。
在第2D圖中進一步描繪相對於第2B圖及第2C圖所描繪佈置的金屬層切削區域CM1A及CM1B定位單元C1,其中IC佈局圖200包括與單元C2鄰接的單元C1,單元C1及C2之每一者包括在Y方向上縱向延伸且對準的兩個第一金屬層區域M1A及三個第一金屬層區域M1B。
在第2D圖所描繪之實施例中,與第2C圖所描繪之實施例相比,重複子圖案CM1S1及CM1S2之每一者以對應於鄰接單元C1及C2。重複子圖案CM1S1包括在共享對準位置處對應於鄰接邊界區段B1B及B2A的共享第一金屬層切削區域CM1A,及重複子圖案CM1S2包括在共享對準位置處對應於鄰接邊界區段B1B及B2A的共享第一金屬層切削區域CM1B。因此,如第2D圖所描繪,在一些實施例中,相對於第一金屬層切削區域CM1A及CM1B定位單元C1包括相對於包括重複子圖案CM1S1及CM1S2的第一金屬層切削區域對準圖案CM1P1定位鄰接單元C1及C2。
第2D圖進一步描繪具有上文論述之第二金屬層間距M2P的第二金屬層區域M2A及M2B。第二金屬層區域M2A對應於第一遮罩集合,第二金屬層區域M2B對應於第二遮罩集合,及在對應於第二金屬層間距M2P的交替第二金屬層軌跡(未圖示)處定位第二金屬層區域M2A及M2B。因此,如第2D圖所圖示,在一些實施例中,相對於第一金屬切削區域CM1A及CM1B定位單元C1包括相對於與交替第二金屬層區域M2A及M2B對準的第一金屬層切削區域對準圖案CM1P1定位單元C1。
第2D圖包括下文關於步驟130至步驟150論述之額外特徵。
在第3B圖所描繪之實施例中,一對第一金屬層切削區域CM1A對應於第一子圖案(未標識),及一對第一金屬層切削區域CM1B對應於第二子圖案(未標識),且兩對第一金屬層切削區域CM1A及CM1B皆在第二金屬層軌跡M2T1及M2T12上居中。因此,第一子圖案及第二子圖案之每一者具有第一金屬層切削間距,此間距等於高度H4,單元C3之高度H2與單元C4之高度H3之和,亦等於第二金屬層間距M2P的十一倍。
在第3C圖中進一步描繪相對於第3B圖所描繪佈置的第一金屬切削區域CM1A及CM1B定位單元C3及C4,其中IC佈局圖300包括單元C3及C4之每一者,此等單元包括在Y方向上縱向延伸且對準的兩個第一金屬層區域M1A及兩個第一金屬層區域M1B。基於與單元C4之邊界區段B4A鄰接的單元C3之邊界區段B3B,單元C4之兩個第一金屬層區域M1A延伸至單元C3中。第3C圖包括第一金屬層切削區域對準圖案CM1P2,此第一金屬層切削區域對準圖案在Y方向上具有第一金屬層切削間距CM1PB且包括子圖案CM1S3及CM1S4。圖案間距CM1PB等於高度H4(第3C圖中未標識),單元C3之高度H2與單元C4之高度H3之和。
子圖案CM1S3包括對應於第一遮罩集合的第一金屬層切削區域CM1A以及第一金屬層區域M1A,及子 圖案CM1S4包括對應於第二遮罩集合的第一金屬層切削區域CM1B以及第一金屬層區域M1B。
子圖案CM1S3包括在負Y方向上自邊界區段B4B偏移的第一對準位置,在正Y方向上自第一對準位置偏移距離a2的第二對準位置,及在正Y方向上自第二對準位置偏移距離b2的第三對準位置。距離a2及b2之和等於圖案間距CM1PB,使得第三對準位置對應於在負Y方向上自邊界區段B3A的偏移量,此偏移量相當於第一對準位置自邊界區段B4B的偏移量。關於Y方向,每一第一金屬層切削區域CM1A之最低邊界區段與第一對準位置、第二對準位置及第三對準位置之相應一者對準。
子圖案CM1S4包括在正Y方向上自邊界區段B3A偏移的第一對準位置,在負Y方向上自第一對準位置偏移距離a2的第二對準位置,及在負Y方向上自第二對準位置偏移距離b2的第三對準位置,且因此對應於在正Y方向上自邊界區段B4B的偏移量,此偏移量相當於第一對準位置自邊界區段B3A的偏移量。關於Y方向,每一第一金屬層切削區域CM1B之最高邊界區段與第一對準位置、第二對準位置及第三對準位置之相應一者對準。
藉由上文論述之配置,子圖案CM1S3及CM1S4關於X方向上延伸的軸線對稱。子圖案CM1S3及CM1S4之每一者包括對應於圖案間距CM1PB及邊界區段B3A及B4B的一對對準位置,及對應於距離a2及b2的位置 處的此對之間的第三對準位置。在各個實施例中,距離a2大於或小於距離b2。
在第3D圖中進一步描繪相對於第3B圖及第3C圖所描繪佈置的第一金屬層切削區域CM1A及CM1B定位單元C3及C4,包括具有第二金屬層間距M2P的交替第二金屬層區域M2A及M2B,如上文所論述。因此,如第3D圖所圖示,在一些實施例中,相對於第一金屬層切削區域CM1A及CM1B定位單元C3及C4包括相對於與交替第二金屬層區域M2A及M2B對準的第一金屬層切削區域對準圖案CM1P2定位單元C3及C4。
第3D圖包括下文關於步驟130至150論述之額外特徵。
在步驟130處,基於第一金屬層切削區域對準圖案使一或多個單元與第一金屬層切削區域重疊。使一或多個單元與第一金屬層切削區域重疊包括在第一金屬層切削區域對準圖案之對準位置處定位第一金屬層切削區域。在各個實施例中,使一或多個單元與第一金屬層切削區域重疊包括對應於包括在第一金屬層切削區域對準圖案中的對準位置中的全部或子集使一或多個單元與一或多個第一金屬層切削區域重疊。
使一或多個單元與第一金屬層切削區域重疊包括第一金屬層切削區域在垂直於第一金屬層方向的方向上縱向延伸。在各個實施例中,使一或多個單元與第一金屬層 切削區域重疊包括第一金屬層切削區域跨單個第一金屬層區域或跨多於一個第一金屬層區域縱向延伸。
在第一金屬層切削區域對準圖案包括對應於第一遮罩集合及第二遮罩集合的第一子圖案及第二子圖案的各個實施例中,使一或多個單元與第一金屬層切削區域重疊包括使一或多個單元與對應於子圖案之一者的單個第一金屬層切削區域重疊,與對應於子圖案之一者的多於一個第一金屬層切削區域重疊,或與對應於子圖案之每一者的至少一個第一金屬層切削區域重疊。
在一些實施例中,使一或多個單元與第一金屬層切削區域重疊包括使單元C1及/或單元C2與第一金屬層切削區域CM1A或CM1B之一者或更多者(第2D圖中未標識)重疊,如第2D圖所描繪。在第2D圖中,IC佈局圖200包括單元C1,此單元沿邊界區段B1B被第一金屬層切削區域CM1A重疊且定位在重複子圖案CM1S1之共享對準位置處。
如第2D圖中進一步描繪,IC佈局圖200包括單元C2,此單元沿邊界區段B2B被第一金屬層切削區域CM1A重疊且定位在子圖案CM1S1之最低對準位置處,及沿邊界區段B2A被第一金屬層切削區域CM1B重疊且定位在重複子圖案CM1S2之共享對準位置處。
如第2D圖所描繪,IC佈局圖200包括單元C2,此單元被定位在子圖案CM1S2之對準位置處的第一金屬層切削區域CM1B重疊,此對準位置在負Y方向上自共享對準 位置偏移距離a1,因此定義第一金屬層切削區域CM1B與相鄰金屬零通孔區域V0之間的距離EN1。
距離EN1為最小金屬零通孔封閉規則之非限制性實例,在一些實施例中稱為第一金屬層而非第一金屬層切削封閉通孔規則。在一些實施例中,與在相對於金屬零通孔定義第一金屬層區段時可適用的公差相比,製造製程能夠移除相對於金屬零通孔具有改良公差的第一金屬層區段的一部分。因此,由於距離EN1由金屬切削區域(例如,第一金屬層切削區域CM1B)定義,而非由第一金屬層區域(例如,第一金屬層區域M1B)之邊界區段定義,距離EN1能夠具有一值小於基於第一金屬層區域之邊界區段的最小金屬零通孔封閉規則的值,例如下文關於步驟140論述之距離EN2。
IC佈局圖200亦包括沿邊界區段B1A定位在單元C1之外部且定位在子圖案CM1S1之最高對準位置處的第一金屬層切削區域CM1A,因此說明一實施例,其中使一或多個單元與第一金屬切削區域重疊包括重疊接近於一或多個單元的IC佈局圖的一部分。在一些實施例中,藉由使接近於單元的IC佈局圖的一部分與第一金屬層切削區域重疊,單元中的第一金屬層區域能夠延伸至單元之相應邊界區段,如下文關於步驟140所論述。
在一些實施例中,使一或多個單元與第一金屬層切削區域重疊包括使單元C3及C4與第一金屬層切削區域CM1A或CM1B之一者或更多者重疊,如第3D圖所描 繪。在第3D圖中,IC佈局圖300包括單元C3,此單元被定位在對準位置處的第一金屬層切削區域CM1A重疊,此對準位置在正Y方向上自子圖案CM1S3之最低對準位置偏移距離A2。
如第3D圖中進一步描繪,IC佈局圖300包括單元C3及C4兩者,此等單元沿鄰接邊界區段B3B及B4A被第一金屬層切削區域CM1B重疊且定位在對準位置處,此對準位置在負Y方向上自子圖案CM1S4之最高對準位置偏移距離a2。
在步驟140處,在一些實施例中,延伸一或多個單元之第一金屬層區域。延伸第一金屬層區域包括在第一金屬層方向之一個或兩個極性上沿第一金屬層方向縱向延伸一或多個第一金屬層區域之每一者。在各個實施例中,延伸一或多個單元之第一金屬層區域包括在單個單元之邊界內延伸第一金屬層區域,至多單個單元之一或多個邊界區段,或跨第一單元之一或多個邊界區段至一或多個第二單元,例如作為連接相鄰單元之第一金屬層區域的一部分。
在一些實施例中,延伸第一金屬層區域包括將第一金屬層區域延伸超過金屬零通孔區域一定量,此量足以通過金屬零通孔封閉規則。
在第一金屬層區域對應於第一遮罩集合及第二遮罩集合的各個實施例中,延伸第一金屬層區域包括延伸對應於子圖案之一者的單個第一金屬層區域、對應於子圖案之 一者的多於一個金屬區域或對應於子圖案之每一者的至少一個金屬區域。
在各個實施例中,延伸一或多個單元之第一金屬層區域包括延伸第2D圖所描繪之單元C1或C2或者第3D圖所描繪之單元C3或C4之一者或兩者之第一金屬層區域M1A及/或M1B之一者或更多者,其中第一金屬層區域M1A及M1B之延伸部分對應於在X方向上延伸的虛線。
在第2D圖所描繪之實施例中,延伸一或多個單元之第一金屬層區域包括例如將除第一金屬層區域M1A及M1B之一者以外的全部延伸超過相應金屬零通孔區域V0一定量,此量足以通過金屬零通孔封閉規則,如距離EN2所指示。
在各個實施例中,延伸第一金屬層區域包括將單元C1之第一金屬層區域M1A延伸至對應於與單元C1相鄰的第一金屬層切削區域CM1A的邊界區段B1A,及/或將單元C2之第一金屬層區域M1A延伸至邊界區段B2A。在每種情況下,第一金屬層區域M1A能夠基於相鄰第一金屬層切削區域CM1A延伸,從而確保滿足相鄰第一金屬層區域之間的最小間隔規則。
類似地,在一些實施例中,延伸第一金屬層區域包括將單元C1之至少一個第一金屬層區域M1B延伸至對應於與單元C1相鄰的相應第一金屬層切削區域CM1B的邊界區段B1B。在一些實施例中,延伸第一金屬層區域包括跨 鄰接邊界區段B1B及B2A連接單元C1之第一金屬層區域M1B與單元C2之相應第一金屬層區域。
在第3D圖所描繪之實施例中,延伸一或多個單元之第一金屬層區域包括例如跨鄰接邊界區段B3B及B4A連接單元C3之第一金屬層區域M1A與單元C4之相應的第一金屬層區域M1A及/或連接單元C3之第一金屬層區域M1B與單元C4之相應的第一金屬層區域M1B。
藉由延伸至或跨相應邊界區段,第一金屬層區域M1A或第一金屬層區域M1B能夠定義與上覆金屬互連特徵的電性連接的一部分,例如下文關於步驟150論述之第一金屬層互連結構M1I。
在各個實施例中,基於第一金屬層切削圖案(例如,第一金屬層切削圖案CM1P1或第一金屬層切削圖案CM1P2)之對準位置及一或多個單元(例如,單元C1及/或單元C2或單元C3及單元C4)相對於第二金屬層區域M2A及第二金屬層區域M2B之定位,與由延伸第一金屬層區域M1A定義的第一金屬層區段的電性連接包括由第二金屬層區域M2A定義的第二金屬層區段,及/或與由延伸第一金屬層區域M1B定義的第一金屬層區段的電性連接包括由第二金屬層區域M2B定義的第二金屬層區段。
在步驟150處,在一些實施例中,一或多個單元之第一金屬層區域之延伸部分與第一金屬層互連特徵重疊。在一些實施例中,重疊第一金屬層區域包括使第一金屬層區域與第一金屬層通孔區域重疊。在一些實施例中,重疊 第一金屬層區域之延伸部分包括使多於一個第一金屬層區域之每一者與相應第一金屬層互連特徵重疊。
在第一金屬層區域對應於第一遮罩集合及第二遮罩集合的各個實施例中,重疊第一金屬層區域之延伸部分包括重疊對應於子圖案之一者的單個第一金屬層區域、對應於子圖案之一者的多於一個金屬區域或對應於子圖案之每一者的至少一個金屬區域。
在各個實施例中,重疊第一金屬層區域之延伸部分包括使第一金屬層區域M1A或M1B之至少一者與第一金屬層互連特徵M1I重疊,在一些實施例中亦稱為第一金屬層通孔區域,如第2D圖及第3D圖之每一者所描繪。
在各個實施例中,步驟110至150中的一些或全部能夠作為由APR系統執行的自動佈局與佈線(APR)方法的一部分來執行。在各個實施例中,APR方法包括構造演算法、迭代演算法或整合演算法之一者或組合。
在構造演算法中,佈局與佈線之步驟係在逐個單元的基礎上執行。在更新IC佈局圖以包括給定單元之佈局及其關聯佈線連接之後,額外佈局圖修正包括額外單元之佈局及其關聯佈線連接。
在疊代演算法中,基於電路效能及折中標準,迭代地分析及修正包括多個單元及關聯佈線連接的初始IC佈局圖。
在整合演算法中,當正在修正IC佈局圖以包括給定單元之佈局及/或其佈線連接時,應用電路效能及折中標準。
在步驟160處,在一些實施例中,在儲存裝置中儲存IC佈局圖。在各個實施例中,在儲存裝置中儲存IC佈局圖包括在非揮發性電腦可讀取記憶體或單元庫(例如,資料庫)中儲存IC佈局圖,及/或包括在網路上儲存IC佈局圖。在一些實施例中,在儲存裝置中儲存IC佈局圖包括使用下文關於第4圖論述之IC元件設計系統400。
在步驟170處,在一些實施例中,基於IC佈局圖執行一或多個製造步驟。在各個實施例中,執行一或多個製造步驟包括以下之一者或更多者:基於IC佈局圖,製造一或多個半導體遮罩或半導體IC之層中的至少一個部件,或執行一或更多次微影曝光,作為下文關於IC製造系統500及第5圖論述之IC製造流程的一部分。
藉由執行方法100之步驟的一些或全部,製造系統步驟包括藉由將第一金屬層切削區域對準圖案應用於一或多個單元作為在IC佈局圖中定位一或多個單元的一部分來產生IC佈局圖。與不包括將第一金屬層切削區域對準圖案應用於IC佈局圖內定位的一或多個單元的方式相比,例如在單元位準處定義第一金屬層切削區域的方式,藉由基於第一金屬層切削區域對準圖案使一或多個單元與至少一個第一金屬層切削區域重疊,改善了電互連佈線可撓性。
在各個實施例中,藉由以下步驟賦能基於第一金屬層切削區域對準圖案的改良電互連佈線可撓性:關於步驟130論述之基於所應用第一金屬層切削區域應用最小金屬零通孔封閉規則,關於步驟140論述之延伸第一金屬層區域接近於金屬零通孔區域,及/或關於步驟140及150論述之使延伸第一金屬層區域與第一金屬層互連特徵重疊。
第4圖係根據一些實施例的IC元件設計系統400之方塊圖。根據一些實施例,可使用IC元件設計系統400實施上文關於第1圖至第3C圖論述之方法100之一或多個步驟。在各個實施例中,IC元件設計系統400係EDA系統的一些或全部,例如APR設計系統。
在一些實施例中,IC元件設計系統400為計算裝置,包括處理器402及非暫時性電腦可讀取儲存媒體404。其中,用電腦程式碼406(亦即,一組可執行指令)對非暫時性電腦可讀取儲存媒體404編碼(亦即,非暫時性電腦可讀取儲存媒體儲存電腦程式碼)。由處理器402執行指令406(至少部分地)表示IC元件設計系統,此IC元件設計系統實施方法的一部分或全部,例如上文關於第1圖至第3C圖論述之方法100(在下文中為所述製程及/或方法)。
經由匯流排408將處理器402電耦接至非暫時性電腦可讀取儲存媒體404。亦藉由匯流排408將處理器402電耦接至I/O介面410。亦經由匯流排408將網路介面412電性連接至處理器402。將網路介面412連接至網路414,使得處理器402及非暫時性電腦可讀取儲存媒體404 能夠經由網路414連接至外部元件。處理器402經配置用以執行在非暫時性電腦可讀取儲存媒體404中編碼的電腦程式碼406以便引發IC元件設計系統400可用於執行所述製程及/或方法中的一部分或全部。在一或多個實施例中,處理器402為中央處理單元(central processing unit;CPU)、多處理器、分佈式處理系統、特殊應用積體電路(application specific integrated circuit;ASIC)及/或適宜處理單元。
在一或多個實施例中,非暫時性電腦可讀取儲存媒體404為電子、磁性、光學、電磁、紅外及/或半導體系統(或設備或裝置)。例如,非暫時性電腦可讀取儲存媒體404包括半導體或固態記憶體、磁帶、可移電腦碟片、隨機存取記憶體(random access memory;RAM)、唯讀記憶體(read-only memory;ROM)、剛性磁碟及/或光碟。在使用光碟的一或多個實施例中,非暫時性電腦可讀取儲存媒體404包括壓縮光碟-唯讀記憶體(compact disk-read only memory;CD-ROM)、壓縮光碟-讀取/寫入(compact disk-read/write;CD-R/W)及/或數位視訊光碟(digital video disc;DVD)。
在一或多個實施例中,非暫時性電腦可讀取儲存媒體404儲存電腦程式碼406,此電腦程式碼經配置用以引發IC元件設計系統400可用於執行所述製程及/或方法中的一部分或全部。在一或多個實施例中,非暫時性電腦可讀取儲存媒體404亦儲存資訊,此資訊促進執行所述製程及/ 或方法中的一部分或全部。在各個實施例中,非暫時性電腦可讀取儲存媒體404儲存上文關於方法100及第1圖至第3C圖論述之單元庫420中的一者或一部分及/或至少一個對準圖案422中的一者或組合。
IC元件設計系統400包括I/O介面410。將I/O介面410耦接至外部電路系統。在各個實施例中,I/O介面410包括鍵盤、鍵板、滑鼠、追蹤球、追蹤板、顯示器、觸控螢幕及/或遊標方向鍵中的一者或組合,以便傳遞到達及/或來自處理器402的資訊及命令。
IC元件設計系統400亦包括耦接至處理器402的網路介面412。網路介面412允許系統400與網路414通訊,一或多個其他電腦系統連接至此網路。網路介面412包括無線網路介面,諸如藍芽、WIFI、WIMAX、GPRS或WCDMA;或有線網路介面,諸如乙太網路、USB或IEEE-1364。在一或多個實施例中,在兩個或多個系統400中實施所述製程及/或方法中的一部分或全部。
IC元件設計系統400經配置用以經由I/O介面410接收資訊。經由I/O介面410接收的資訊包括至少一個IC佈局圖、至少一個對準圖案及/或用於由處理器402處理的其他參數中的一者或組合。經由匯流排408將資訊傳輸至處理器402。IC元件設計系統400經配置用以經由I/O介面410發送及/或接收與使用者介面相關的資訊。
在一些實施例中,所述製程及/或方法中的一部分或全部經實現為由處理器執行的獨立軟體應用程式。在一 些實施例中,所述製程及/或方法中的一部分或全部經實現為額外軟體應用程式的一部分的軟體應用程式。在一些實施例中,所述製程及/或方法中的一部分或全部經實現為軟體應用程式中的插件。在一些實施例中,所述製程及/或方法之至少一者經實現為EDA工具的一部分的軟體應用程式。在一些實施例中,使用諸如可購自CADENCE DESIGN SYSTEMS,Inc.之VIRTUOSO®之工具或另一適宜佈局產生工具來產生IC佈局圖。
在一些實施例中,此等製程經實現為儲存在非暫時性電腦可讀取記錄媒體中的程式的功能。非暫時性電腦可讀取記錄媒體之實例包括但不限於外部/可移除及/或內部/內置儲存器或記憶體單元,例如以下中的一者或更多者:光碟,諸如DVD;磁碟,諸如硬碟;半導體記憶體,諸如ROM、RAM、記憶體卡及類似者。
藉由可用於實施上文關於第1圖至第3C圖論述之方法100之一或多個步驟,IC元件設計系統400及非暫時性電腦可讀取儲存媒體(例如,非暫時性電腦可讀取儲存媒體404)賦能上文關於方法100論述之益處。
第5圖係根據一些實施例的IC製造系統500及與其相關聯的IC製造流程之方塊圖。在一些實施例中,基於佈局圖,使用製造系統500製造以下之至少一者:(A)一或多個半導體遮罩或(B)半導體積體電路之層中的至少一個部件。
在第5圖中,IC製造系統500包括在設計、開發及製造循環及/或與製造IC元件560相關的服務中彼此互動的實體,諸如設計室520、遮罩室530及IC製造者(fab)550,IC製造者550又可稱為IC製造商或IC製造廠。系統500中的實體藉由通訊網路連接。在一些實施例中,通訊網路為單個網路。在一些實施例中,通訊網路為多種不同的網路,諸如內部網路及網際網路。通訊網路包括有線及/或無線通訊通道。每一實體與其他實體中的一者或更多者互動並向其他實體中的一者或更多者提供服務及/或接收來自其他實體中的一者或更多者的服務。在一些實施例中,設計室520、遮罩室530及IC製造者550中的兩者或更多者由單個較大公司所有。在一些實施例中,設計室520、遮罩室530及IC製造者550中的兩者或更多者共存於共同設施中且使用共同資源。
設計室(或設計團隊)520產生基於上文關於第1圖至第3C圖論述之方法100的IC設計佈局圖822。IC設計佈局圖522包括與構成待製造之IC元件560之各個部件的金屬、氧化物或半導體層的圖案對應的各個幾何圖案。各個層組合以形成各個IC特徵。例如,IC設計佈局圖522的一部分包括待形成於半導體基板(諸如矽晶圓)中的各個IC特徵,諸如主動區域、閘電極、源極與汲極、層間互連的金屬線或通孔及用於黏結襯墊的開口,以及安置在半導體基板上的各個材料層。設計室520實施適宜設計程序,包括上文關於第1圖至第3C圖論述之方法100之一或多個步驟,以形 成IC設計佈局圖522。設計程序包括邏輯設計、實體設計或佈局與佈線中的一者或更多者。在具有幾何圖案之資訊的一或多個資料檔案中呈現IC設計佈局圖522。例如,IC設計佈局圖522可以GDSII檔案格式或DFII檔案格式表示。
遮罩室530包括資料準備532及遮罩製造544。遮罩室530使用IC設計佈局圖522來製造一或多個遮罩545以用於根據IC設計佈局圖522製造IC元件560之各個層。遮罩室530執行遮罩資料準備532,其中將IC設計佈局圖522轉換為代表性資料檔案(representative data file;「RDF」)。遮罩資料準備532將RDF提供給遮罩製造544。遮罩製造544包括遮罩寫入器。遮罩寫入器將RDF轉換為基板上的影像,諸如遮罩(主光罩)545或半導體晶圓553。設計佈局圖522由遮罩資料準備532操縱,以符合遮罩寫入器之特定特性及/或IC製造者550之需求。在第5圖中,將遮罩資料準備532及遮罩製造544圖示為單獨元件。在一些實施例中,遮罩資料準備532及遮罩製造544可統稱為遮罩資料準備。
在一些實施例中,遮罩資料準備532包括光學鄰近校正(optical proximity correction;OPC),此OPC使用微影增強技術來補償影像誤差,諸如可由繞射、干擾、其他製程效應及類似者引起的影像誤差。OPC調整IC設計佈局圖522。在一些實施例中,遮罩資料準備532包括進一步的解析度增強技術(resolution enhancement techniques;RET),諸如軸外照射、子解析度輔助特徵、 相移遮罩、其他適宜技術及類似者或上述之組合。在一些實施例中,亦使用反向微影技術(inverse lithography technology;ILT),此技術將OPC處理為反向成像問題。
在一些實施例中,遮罩資料準備532包括遮罩規則檢查器(mask rule checker;MRC),此MRC利用一組遮罩產生規則檢查在OPC中經歷製程的IC設計佈局圖522,此組遮罩產生規則包含某些幾何及/或連接限制以確保足夠的邊限,以便考慮到半導體製造製程中的可變性及類似者。在一些實施例中,MRC改良IC設計佈局圖522以補償遮罩製造544期間的限制,此舉可使由OPC執行之改良的一部分失效以便滿足遮罩產生規則。
在一些實施例中,遮罩資料準備532包括微影製程檢查(lithography process checking;LPC),此LPC模擬將由IC製造者550實施以製造IC元件560的處理。LPC基於IC設計佈局圖522模擬此處理以產生模擬製造元件,諸如IC元件560。LPC模擬中的處理參數可包括與IC製造循環的各個製程相關聯的參數,與用於製造IC的工具相關聯的參數,及/或製造製程之其他態樣。LPC考慮各個因數,諸如空間影像對比度、焦點深度(depth of focus;「DOF」)、遮罩誤差增強因數(mask error enhancement factor;「MEEF」)、其他適宜因數及類似者或上述之組合。在一些實施例中,在LPC已產生模擬製造元件之後,若模擬元件在形狀上不夠接近於滿足設計規則,則重複OPC及/或MRC以進一步細化IC設計佈局圖522。
應理解,出於清楚目的,已簡化遮罩資料準備532之上文描述。在一些實施例中,資料準備532包括額外特徵,諸如邏輯運算(logic operation;LOP)以根據製造規則改良IC設計佈局圖522。另外,可以各種不同次序執行在資料準備532期間應用於IC設計佈局圖522的製程。
在遮罩資料準備532之後且在遮罩製造544期間,基於經改良之IC設計佈局圖522製造遮罩545或一組遮罩545。在一些實施例中,遮罩製造544包括基於IC設計佈局圖522執行一或更多次微影曝光。在一些實施例中,使用電子束(電子束)或多個電子束的機構以基於經改良之IC設計佈局圖522在遮罩(光罩或主光罩)545上形成圖案。可以各個技術形成遮罩545。在一些實施例中,使用二元技術形成遮罩545。在一些實施例中,遮罩圖案包括不透明區域及透明區域。用於暴露已塗覆於晶圓上的影像敏感材料層(例如,光阻劑)的輻射束(諸如紫外線(ultraviolet;UV)束)被不透明區域阻擋及透射穿過透明區域。在一個實例中,遮罩545之二元遮罩版本包括透明基板(例如,熔融石英)及塗覆於二元遮罩之不透明區域中的不透明材料(例如,鉻)。在另一實例中,使用相移技術形成遮罩545。在遮罩545之相移遮罩(phase shift mask;PSM)版本中,在相移遮罩上形成的圖案中的各個特徵經配置用以具有適宜的相位差來增強解析度與成像品質。在各個實例中,相移遮罩可為衰減的PSM或交替的PSM。在各種製程中使用由遮罩製造544產生的遮罩。例如,在離子佈植製程中使用此類 遮罩以在半導體晶圓553中形成各個摻雜區域,在蝕刻製程中使用以在半導體晶圓553中形成各個蝕刻區域,及/或在其他適宜製程中使用。
IC製造者550包括晶圓製造552。IC製造者550為IC製造業務,包括用於製造各種不同IC產品的一或多個製造設施。在一些實施例中,IC製造者550為半導體工廠。例如,可能存在用於複數個IC產品之前段製造的製造設施(前段製程(front-end-of-line;FEOL)製造),而第二製造設施可提供用於IC產品之互連及封裝的後段製造(後段製程(back-end-of-line;BEOL)製造),以及第三製造設施可提供針對工廠業務的其他服務。
IC製造者550使用由遮罩室530製造的遮罩545來製造IC元件560。因此,IC製造者550至少間接地使用IC設計佈局圖522來製造IC元件560。在一些實施例中,半導體晶圓553由IC製造者550使用遮罩545來製造以形成IC元件560。在一些實施例中,IC製造包括至少間接地基於IC設計佈局圖522執行一或更多次微影曝光。半導體晶圓553包括矽基板或其上形成有材料層的其他適宜基板。半導體晶圓553進一步包括(在後續製造步驟中形成的)各個摻雜區域、介電特徵、多位準互連及類似者中之一者或更多者。
關於IC製造系統(例如,第5圖之系統500)及與其相關聯的IC製造流程的細節可例如在2016年2月9日授權的美國專利案第9,256,709號、2015年10月1日公開的美國授權前公開案第20150278429號、2014年2月6日公開 的美國授權前公開案第20140040838號及2007年8月21日授權的美國專利案第7,260,442號中找到,此等專利案之每一者之全文將以引用之方式併入本揭示之一些實施例。
在一些實施例中,一種產生IC佈局圖的方法包括以下步驟。在IC佈局圖中定位一或多個單元。基於第一金屬層切削區域對準圖案,使一或多個單元與第一金屬層切削區域重疊。第一金屬層切削區域對準圖案包括等於一或多個單元之高度的圖案間距。在一些實施例中,方法包括自單元庫接收一或多個單元。在一些實施例中,一或多個單元之高度為一或多個單元之單個單元之高度。在一些實施例中,IC佈局圖中的一或多個單元包括與一或多個單元之第二單元鄰接的一或多個單元之第一單元,一或多個單元之第一單元具有第一單元高度,第一單元高度與一或多個單元之第二單元之第二單元高度不同,以及一或多個單元之高度等於第一單元高度與第二單元高度之和。在一些實施例中,第一金屬層切削區域對準圖案包括第一子圖案及第二子圖案,第一子圖案及第二子圖案之每一者包括以等於圖案間距的第一距離分開的第一對準位置及第二對準位置,及第一對準位置與第二對準位置之間的第三對準位置,以及第一子圖案及第二子圖案關於垂直於一方向的軸線對稱,此方向對應於一或多個單元之高度。在一些實施例中,第一子圖案對應於第一遮罩集合,且第二子圖案對應於第二遮罩集合。在一些實施例中,第一對準位置及第三對準位置以第二距離分開,第二距離與將第二對準位置及第三對準位置分開的第三距離不 同。在一些實施例中,基於第一金屬層切削區域對準圖案使一或多個單元與第一金屬層切削區域重疊包括基於複數個第二金屬層軌跡定位第一金屬層切削區域對準圖案。在一些實施例中,方法包括將一或多個單元之第一金屬層區域延伸至或跨一或多個單元之邊界區段。在一些實施例中,方法包括使一或多個單元之第一金屬層區域之延伸部分與第一金屬層互連特徵重疊。
在一些實施例中,產生IC佈局圖的方法包括以下步驟。在IC佈局圖中使第一單元與第二單元鄰接。相對於第一金屬層切削區域對準圖案,定位第一單元及第二單元。基於第一金屬層切削區域對準圖案,使第一單元或第二單元之至少一個與第一金屬層切削區域重疊。在一些實施例中,第一金屬層切削區域對準圖案包括等於第一單元及第二單元之每一者之高度的圖案間距。在一些實施例中,第一單元具有第一單元高度,第一單元高度與第二單元之第二單元高度不同。第一金屬層切削區域對準圖案包括等於第一單元高度與第二單元高度之和的圖案間距。在一些實施例中,第一金屬層切削區域對準圖案包括對應於第一遮罩集合的第一子圖案及對應於第二遮罩集合的第二子圖案,第二遮罩集合與第一遮罩集合不同。在一些實施例中,相對於第一金屬層切削區域對準圖案定位第一單元及第二單元包括在兩個第二金屬層軌跡之間對準第一單元或第二單元之一者之邊界區段,沿第二金屬層軌跡的一個定位第一子圖案之對準位置,及沿第二金屬層軌跡的另一個定位第二子圖案之對準位 置。在一些實施例中,相對於第一金屬層切削區域對準圖案定位第一單元及第二單元包括使第一單元或第二單元之一者之邊界區段與第二金屬層軌跡對準,及沿第二金屬層軌跡定位第一子圖案及第二子圖案之每一者之切削金屬對準位置。在一些實施例中,基於IC佈局圖的方法包括以下之至少一個。製造一或多個半導體遮罩之至少一個。半導體IC之層中的至少一個部件。執行一或多次微影曝光。
在一些實施例中,IC佈局圖產生系統包括處理器及非暫時性電腦可讀取儲存媒體。非暫時性電腦可讀取儲存媒體包括用於一或多個程式的電腦程式碼。非暫時性電腦可讀取儲存媒體及電腦程式碼經配置用以由處理器引發系統自單元庫接收單元,此單元不包括第一金屬層切削區域。在相鄰第二金屬層軌跡之間的預定位置處對準單元之邊界區段。相對於基於第二金屬層軌跡定位的第一金屬層切削區域對準圖案定位單元。基於第一金屬層切削區域對準圖案使單元與第一金屬層切削區域重疊。基於單元及第一金屬層切削區域產生IC元件之IC佈局圖。在一些實施例中,第二金屬層軌跡具有第二金屬層間距,及單元具有等於第二金屬層間距之倍數的單元高度。在一些實施例中,非暫時性電腦可讀取儲存媒體及電腦程式碼經配置用以由處理器進一步引發系統延伸單元之第一金屬層區域,其中延伸部分到達邊界區段,及包括延伸部分處於電性連接至由第一金屬層區域定義的第一金屬層區段之定義中。
前文概述了數個實施例之特徵,使得熟習此項技術者可更好地理解本揭示之一些實施例之態樣。熟習此項技術者應瞭解,可易於使用本揭示之一些實施例作為設計或修改其他製程及結構的基礎以便實施所介紹的實施例之相同目的及/或實現相同優勢。熟習此項技術者亦應認識到,此類等效結構並未脫離本揭示之一些實施例之精神及範疇,並且可在不脫離本揭示之一些實施例之精神及範疇的情況下於本揭示之一些實施例中實施各種變化、取代及修改。
100:方法
110:步驟
120:步驟
130:步驟
140:步驟
150:步驟
160:步驟
170:步驟

Claims (20)

  1. 一種產生積體電路(IC)佈局圖之方法,包含:
    在該IC佈局圖中定位一或多個單元;以及
    基於一第一金屬層切削區域對準圖案,使該一或多個單元與一第一金屬層切削區域重疊,
    其中該第一金屬層切削區域對準圖案包含等於該一或多個單元之一高度的一圖案間距。
  2. 如請求項1所述之方法,進一步包含:
    自一單元庫接收該一或多個單元。
  3. 如請求項1所述之方法,其中該一或多個單元之該高度為該一或多個單元之一單個單元之一高度。
  4. 如請求項1所述之方法,其中
    該IC佈局圖中的該一或多個單元包含與該一或多個單元之一第二單元鄰接的該一或多個單元之一第一單元,
    該一或多個單元之該第一單元具有一第一單元高度,該第一單元高度與該一或多個單元之該第二單元之一第二單元高度不同,以及
    該一或多個單元之該高度等於該第一單元高度與該第二單元高度之一和。
  5. 如請求項1所述之方法,其中
    該第一金屬層切削區域對準圖案包含一第一子圖案及一第二子圖案,
    該第一子圖案及該第二子圖案之每一者包含以等於該圖案間距的一第一距離分開的第一對準位置及第二對準位置,及該第一對準位置與該第二對準位置之間的一第三對準位置,以及
    該第一子圖案及該第二子圖案關於垂直於一方向的一軸線對稱,該方向對應於該一或多個單元之該高度。
  6. 如請求項5所述之方法,其中該第一子圖案對應於一第一遮罩集合,並且該第二子圖案對應於一第二遮罩集合。
  7. 如請求項5所述之方法,其中該第一對準位置及該第三對準位置以一第二距離分開,該第二距離與將該第二對準位置及該第三對準位置分開的一第三距離不同。
  8. 如請求項1所述之方法,其中基於該第一金屬層切削區域對準圖案,使該一或多個單元與該第一金屬層切削區域重疊包含基於複數個第二金屬層軌跡安置該第一金屬層切削區域對準圖案。
  9. 如請求項1所述之方法,進一步包含:
    將該一或多個單元之一第一金屬層區域延伸至或跨該一或多個單元之一邊界區段。
  10. 如請求項9所述之方法,進一步包含:
    使該一或多個單元之該第一金屬層區域之一延伸部分與一第一金屬層互連特徵重疊。
  11. 一種產生積體電路(IC)佈局圖之方法,包含:
    在該IC佈局圖中使一第一單元與一第二單元鄰接;
    相對於一第一金屬層切削區域對準圖案,定位該第一單元及該第二單元;以及
    基於該第一金屬層切削區域對準圖案,使該第一單元或該第二單元之至少一者與一第一金屬層切削區域重疊。
  12. 如請求項11所述之方法,其中該第一金屬層切削區域對準圖案包含等於該第一單元及該第二單元之每一者之一高度的一圖案間距。
  13. 如請求項11所述之方法,其中
    該第一單元具有一第一單元高度,該第一單元高度與該第二單元之一第二單元高度不同,以及
    其中該第一金屬層切削區域對準圖案包含等於該第一單元高度與該第二單元高度之一和的一圖案間距。
  14. 如請求項11所述之方法,其中該第一金屬層切削區域對準圖案包含對應於一第一遮罩集合的一第一子圖案及對應於一第二遮罩集合的一第二子圖案,該第二遮罩集合與該第一遮罩集合不同。
  15. 如請求項14所述之方法,其中相對於該第一金屬層切削區域對準圖案,定位該第一單元及該第二單元之步驟包含:
    在一第二金屬層軌跡與另一個第二金屬層軌跡之間對準該第一單元或該第二單元之一者之一邊界區段,
    沿該第二金屬層軌跡,定位該第一子圖案之一對準位置,以及
    沿該另一個第二金屬層軌跡,定位該第二子圖案之一對準位置。
  16. 如請求項14所述之方法,其中相對於該第一金屬層切削區域對準圖案,定位該第一單元及該第二單元包含:
    使該第一單元或該第二單元之一者之一邊界區段與一第二金屬層軌跡對準,以及
    沿該第二金屬層軌跡,定位該第一子圖案及該第二子圖案之每一者之一對準位置。
  17. 如請求項11所述之方法,進一步包含:
    基於該IC佈局圖,以下之至少一者:
    製造一或多個半導體遮罩之至少一者,或一半導體IC之一層中的至少一部件,或
    執行一或多次微影曝光。
  18. 一種積體電路(IC)佈局圖產生系統,包含:
    一處理器;以及
    一非暫時性電腦可讀取儲存媒體,包括用於一或多個程式的電腦程式碼,該非暫時性電腦可讀取儲存媒體及該電腦程式碼經配置用以由該處理器引發該系統:
    自一單元庫接收一單元,該單元不包括一第一金屬層切削區域;
    在相鄰第二金屬層軌跡之間的一預定位置處對準該單元之一邊界區段;
    相對於基於該第二金屬層軌跡定位的一第一金屬層切削區域對準圖案定位該單元;
    基於該第一金屬層切削區域對準圖案使該單元與一第一金屬層切削區域重疊;以及
    基於該單元及該第一金屬層切削區域產生一IC元件之一IC佈局圖。
  19. 如請求項18所述之IC佈局圖產生系統,其中
    該第二金屬層軌跡具有一第二金屬層間距,以及
    該單元具有等於該第二金屬層間距之一倍數的一單元高度。
  20. 如請求項18所述之IC佈局圖產生系統,其中該非暫時性電腦可讀取儲存媒體及該電腦程式碼經配置用以由該處理器引發該系統:
    延伸該單元之一第一金屬層區域,其中一延伸部分到達該邊界區段,以及
    包括該延伸部分處於一電性連接至由該第一金屬層區域定義的一第一金屬層區段之一定義中。
TW108135322A 2018-09-28 2019-09-27 積體電路佈局圖產生系統及其產生方法 TWI735961B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862738823P 2018-09-28 2018-09-28
US62/738,823 2018-09-28
US16/580,874 2019-09-24
US16/580,874 US10997348B2 (en) 2018-09-28 2019-09-24 Metal cut region location method and system

Publications (2)

Publication Number Publication Date
TW202026919A true TW202026919A (zh) 2020-07-16
TWI735961B TWI735961B (zh) 2021-08-11

Family

ID=69947555

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108135322A TWI735961B (zh) 2018-09-28 2019-09-27 積體電路佈局圖產生系統及其產生方法

Country Status (4)

Country Link
US (2) US10997348B2 (zh)
KR (1) KR102244993B1 (zh)
CN (1) CN110968981B (zh)
TW (1) TWI735961B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10997348B2 (en) * 2018-09-28 2021-05-04 Taiwan Semiconductor Manufacturing Company Ltd. Metal cut region location method and system
US11934764B2 (en) * 2021-06-29 2024-03-19 Advanced Micro Devices, Inc. Routing and manufacturing with a minimum area metal structure

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6385761B1 (en) * 1999-10-01 2002-05-07 Lsi Logic Corporation Flexible width cell layout architecture
US6892370B2 (en) 2003-04-02 2005-05-10 Silicon Design Systems Ltd. Computerized standard cell library for designing integrated circuits (ICs) with high metal layer intra cell signal wiring, and ICs including same
JP4620942B2 (ja) * 2003-08-21 2011-01-26 川崎マイクロエレクトロニクス株式会社 半導体集積回路のレイアウト方法、そのレイアウト構造、およびフォトマスク
US7260442B2 (en) 2004-03-03 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for mask fabrication process control
US9009641B2 (en) * 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
KR101395060B1 (ko) * 2007-09-18 2014-05-15 삼성전자주식회사 라인 패턴들을 포함하는 반도체 소자
US7930660B2 (en) * 2008-01-30 2011-04-19 Infineon Technologies Ag Measurement structure in a standard cell for controlling process parameters during manufacturing of an integrated circuit
US8276109B2 (en) * 2008-12-23 2012-09-25 Broadcom Corporation Mixed-height high speed reduced area cell library
JP5552775B2 (ja) * 2009-08-28 2014-07-16 ソニー株式会社 半導体集積回路
US8850366B2 (en) 2012-08-01 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making a mask by forming a phase bar in an integrated circuit design layout
US9576978B2 (en) * 2012-10-09 2017-02-21 Samsung Electronics Co., Ltd. Cells including at least one fin field effect transistor and semiconductor integrated circuits including the same
US9053279B2 (en) 2013-03-14 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Pattern modification with a preferred position function
US9262570B2 (en) * 2013-03-15 2016-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Layout boundary method
US9380709B2 (en) 2013-03-15 2016-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method of cutting conductive patterns
US8875067B2 (en) 2013-03-15 2014-10-28 Taiwan Semiconductor Manufacturing Co., Ltd. Reusable cut mask for multiple layers
US9659129B2 (en) * 2013-05-02 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell having cell height being non-integral multiple of nominal minimum pitch
US9831230B2 (en) 2013-08-13 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell layout, semiconductor device having engineering change order (ECO) cells and method
US9256709B2 (en) 2014-02-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit mask patterning
US9465906B2 (en) 2014-04-01 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for integrated circuit manufacturing
US9405879B2 (en) * 2014-04-01 2016-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Cell boundary layout
US9551923B2 (en) 2014-04-08 2017-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Cut mask design layers to provide compact cell height
US9626472B2 (en) * 2014-11-26 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system of forming layout design
US9477804B2 (en) * 2015-01-20 2016-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit design method
US9946827B2 (en) * 2015-07-16 2018-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for mandrel and spacer patterning
US10523188B2 (en) * 2016-02-23 2019-12-31 Samsung Electronics Co., Ltd. Semiconductor device
US10339249B2 (en) * 2016-03-29 2019-07-02 Synopsys, Inc. Using color pattern assigned to shapes for custom layout of integrated circuit (IC) designs
US9911606B2 (en) * 2016-04-28 2018-03-06 Taiwan Semiconductor Manufacturing Co., Ltd. Mandrel spacer patterning in multi-pitch integrated circuit manufacturing
US10262981B2 (en) * 2016-04-29 2019-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit, system for and method of forming an integrated circuit
US9977854B2 (en) 2016-07-12 2018-05-22 Ati Technologies Ulc Integrated circuit implementing standard cells with metal layer segments extending out of cell boundary
US10128189B2 (en) 2016-08-30 2018-11-13 Taiwan Semiconductor Manufacturing Company Limited Standard cell layout for better routability
US10282504B2 (en) * 2016-09-30 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving circuit layout for manufacturability
US10402529B2 (en) * 2016-11-18 2019-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and layout of an integrated circuit
US9837398B1 (en) 2016-11-23 2017-12-05 Advanced Micro Devices, Inc. Metal track cutting in standard cell layouts
US10339250B2 (en) 2016-11-29 2019-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating engineering change order (ECO) layout of base cell and computer-readable medium comprising executable instructions for carrying out said method
US10373962B2 (en) * 2017-05-26 2019-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device including trimmed-gates and method for generating layout of same
US20190138682A1 (en) * 2017-11-07 2019-05-09 Qualcomm Incorporated Engineering change order (eco) cell architecture and implementation
US10733352B2 (en) * 2017-11-21 2020-08-04 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit and layout method for standard cell structures
US10756204B2 (en) * 2017-11-30 2020-08-25 Intel Corporation Fin trim isolation with single gate spacing for advanced integrated circuit structure fabrication
KR20230006054A (ko) * 2017-11-30 2023-01-10 인텔 코포레이션 진보된 집적 회로 구조체 제조를 위한 핀 패터닝
US10867102B2 (en) * 2018-06-28 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Inverted pitch IC structure, layout method, and system
US10776551B2 (en) * 2018-06-29 2020-09-15 Taiwan Semiconductor Manufacturing Company Ltd. Method and system of revising a layout diagram
KR102495912B1 (ko) * 2018-08-10 2023-02-03 삼성전자 주식회사 표준 셀을 포함하는 집적 회로 및 이를 제조하기 위한 방법
US10783313B2 (en) * 2018-08-30 2020-09-22 Taiwan Semiconductor Manufacturing Company Ltd. Method for improved cut metal patterning
US11232248B2 (en) * 2018-09-28 2022-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Routing-resource-improving method of generating layout diagram and system for same
US10970451B2 (en) * 2018-09-28 2021-04-06 Taiwan Semiconductor Manufacturing Company Ltd. Integrated circuit layout method, device, and system
US10997348B2 (en) * 2018-09-28 2021-05-04 Taiwan Semiconductor Manufacturing Company Ltd. Metal cut region location method and system
US10977418B2 (en) * 2018-09-28 2021-04-13 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device with cell region, method of generating layout diagram and system for same
DE102019125900B4 (de) * 2018-09-28 2022-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Metallschnittgebiet-positionierungsverfahren und system
US11188703B2 (en) * 2018-09-28 2021-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit, system, and method of forming the same
US11030372B2 (en) * 2018-10-31 2021-06-08 Taiwan Semiconductor Manufacturing Company Ltd. Method for generating layout diagram including cell having pin patterns and semiconductor device based on same
US11080453B2 (en) * 2018-10-31 2021-08-03 Taiwan Semiconductor Manufacturing Company Ltd. Integrated circuit fin layout method, system, and structure
US11138360B2 (en) * 2018-10-31 2021-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with filler cell region, method of generating layout diagram and system for same
US11494542B2 (en) * 2019-01-29 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method of generating layout diagram and system for same
US11107805B2 (en) * 2019-04-15 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit
DE102021100870B4 (de) * 2020-05-12 2024-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Hybridschicht-layout, -verfahren, -system und -struktur
KR20220003363A (ko) * 2020-07-01 2022-01-10 삼성전자주식회사 다중 높이 셀을 포함하는 집적 회로 및 이를 설계하는 방법
US20220180037A1 (en) * 2020-12-03 2022-06-09 Synopsys, Inc. Adaptive row patterns for custom-tiled placement fabrics for mixed height cell libraries
US11901286B2 (en) * 2021-01-28 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Diagonal via pattern and method

Also Published As

Publication number Publication date
US20210240903A1 (en) 2021-08-05
CN110968981B (zh) 2023-10-10
TWI735961B (zh) 2021-08-11
CN110968981A (zh) 2020-04-07
US20200104448A1 (en) 2020-04-02
US10997348B2 (en) 2021-05-04
KR102244993B1 (ko) 2021-04-28
US11636248B2 (en) 2023-04-25
KR20200037103A (ko) 2020-04-08

Similar Documents

Publication Publication Date Title
US11768991B2 (en) Pin access hybrid cell height design
US10977418B2 (en) Semiconductor device with cell region, method of generating layout diagram and system for same
US11188703B2 (en) Integrated circuit, system, and method of forming the same
US11775724B2 (en) Integrated circuit and method of manufacturing the same
TW202013067A (zh) 產生積體電路單元佈局圖之方法
US10867102B2 (en) Inverted pitch IC structure, layout method, and system
US20230267262A1 (en) Metal cut region location method
US11983475B2 (en) Method for manufacturing a cell having pins and semiconductor device based on same
US11636248B2 (en) Metal cut region location system
US11616055B2 (en) Integrated circuit and method of forming the same
US11494542B2 (en) Semiconductor device, method of generating layout diagram and system for same
US11587937B2 (en) Method of forming semiconductor device including trimmed-gates
US11637069B2 (en) Semiconductor device with V2V rail and methods of making same
US20230259686A1 (en) Semiconductor device and method and system of arranging patterns of the same
US20230289508A1 (en) Dummy cells placed adjacent functional blocks
US20230062400A1 (en) Semiconductor device, and method of forming same