DE102020119415A1 - Integrierte schaltung mit rückseitiger stromschiene und rückseitigem interconnect - Google Patents

Integrierte schaltung mit rückseitiger stromschiene und rückseitigem interconnect Download PDF

Info

Publication number
DE102020119415A1
DE102020119415A1 DE102020119415.3A DE102020119415A DE102020119415A1 DE 102020119415 A1 DE102020119415 A1 DE 102020119415A1 DE 102020119415 A DE102020119415 A DE 102020119415A DE 102020119415 A1 DE102020119415 A1 DE 102020119415A1
Authority
DE
Germany
Prior art keywords
layer
layout
integrated circuit
metal
transistor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102020119415.3A
Other languages
English (en)
Inventor
Shih-Wei Peng
Guo-Huei Wu
Jiann-Tyng Tzeng
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020119415A1 publication Critical patent/DE102020119415A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11868Macro-architecture
    • H01L2027/11874Layout specification, i.e. inner core region
    • H01L2027/11881Power supply lines
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Evolutionary Computation (AREA)
  • Architecture (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

Hier offenbarte Ausführungsformen beziehen sich auf eine integrierte Schaltung, die Metallschienen enthält. In einem Aspekt enthält die integrierte Schaltung eine erste Schicht mit einer ersten Metallschiene und eine zweite Schicht mit einer zweiten Metallschiene, wobei sich die zweite Schicht über der ersten Schicht entlang einer ersten Richtung befindet. In einem Aspekt enthält die integrierte Schaltung eine dritte Schicht mit einem aktiven Bereich eines Transistors, wobei sich die dritte Schicht entlang der ersten Richtung über der zweiten Schicht befindet. In einem Aspekt enthält die integrierte Schaltung eine vierte Schicht mit einer dritten Metallschiene, wobei sich die vierte Schicht entlang der ersten Richtung über der dritten Schicht befindet. In einem Aspekt enthält die integrierte Schaltung eine fünfte Schicht mit einer vierten Metallschiene, wobei sich die fünfte Schicht entlang der ersten Richtung über der vierten Schicht befindet.

Description

  • HINTERGRUND
  • Der jüngste Trend zur Miniaturisierung integrierter Schaltungen (ICs) hat zu kleineren Bauteilen geführt, die weniger Strom verbrauchen und dennoch mehr Funktionalität bei höherer Geschwindigkeit bieten. Der Miniaturisierungsprozess hat auch zu strengeren Entwurfs- und Herstellungsspezifikationen sowie zu Herausforderungen bei der Zuverlässigkeit geführt. Verschiedene elektronische Entwurfsautomatisierungs- (EDA)-Werkzeuge erzeugen, optimieren und verifizieren Standardzellen-Layoutentwürfe für integrierte Schaltungen und stellen gleichzeitig sicher, dass die Standardzellen-Layoutentwürfe und die Herstellungsspezifikationen eingehalten werden.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung werden am besten aus der folgenden detaillierten Beschreibung verstanden, wenn sie mit den beigefügten Zeichnungen gelesen wird. Man beachte, dass gemäß dem üblichen Vorgehen in der Branche verschiedene Merkmale nicht maßstabsgetreu gezeichnet sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zur Klarheit der Beschreibung beliebig vergrößert oder verkleinert werden.
    • Die 1A-1C sind Draufsichten eines Layoutentwurfs einer Schaltung mit einer rückseitigen Stromschiene und einer rückseitigen Metallschiene gemäß einer Ausführungsform.
    • 2A ist ein Querschnittsdiagramm entlang I-I' der integrierten Schaltung gemäß einer Ausführungsform, die gemäß dem Layoutentwurf der 1A-1C ausgebildet ist.
    • 2B ist ein Querschnittsdiagramm entlang II-II' der integrierten Schaltung gemäß einer Ausführungsform, die gemäß dem Layoutentwurf der 1A-1C ausgebildet ist.
    • Die 3A und 3B sind Draufsichten eines Layoutentwurfs einer integrierten Schaltung mit rückseitigen Metallschienen gemäß einer Ausführungsform.
    • Die 4A und 4B sind Draufsichten eines Layoutentwurfs einer integrierten Schaltung mit rückseitigen Metallschienen gemäß einer Ausführungsform.
    • Die 5A und 5B sind Draufsichten eines Layoutentwurfs einer integrierten Schaltung mit rückseitigen Metallschienen gemäß einer Ausführungsform.
    • Die 6A und 6B sind Draufsichten eines Layoutentwurfs einer integrierten Schaltung mit rückseitigen Metallschienen gemäß einer Ausführungsform.
    • 6C ist ein Querschnittsdiagramm entlang A-A' der integrierten Schaltung gemäß einer Ausführungsform, die gemäß dem Layoutentwurf der 6A und 6B ausgebildet ist.
    • 6D ist ein Querschnittsdiagramm entlang B-B' der integrierten Schaltung gemäß einer Ausführungsform, die gemäß dem Layoutentwurf der 6A und 6B ausgebildet ist.
    • 7 ist eine Draufsicht eines Layoutentwurfs einer integrierten Schaltung mit rückseitigen Metallschienen gemäß einer Ausführungsform.
    • 8A ist ein schematisches Diagramm einer beispielhaften Multiplexerschaltung gemäß einer Ausführungsform.
    • 8B ist eine Draufsicht eines Layoutentwurfs der beispielhaften Multiplexerschaltung von 8A gemäß einer Ausführungsform.
    • Die 9A-9C sind Draufsichten eines Layoutentwurfs der beispielhaften Multiplexerschaltung von 8A mit rückseitigen Metallschienen gemäß einer Ausführungsform.
    • Die 10A-10C sind Draufsichten eines Layoutentwurfs der beispielhaften Multiplexerschaltung von 8A mit rückseitigen Metallschienen gemäß einer Ausführungsform.
    • Die 11A-11C sind Draufsichten eines Layoutentwurfs der beispielhaften Multiplexerschaltung von 8A mit rückseitigen Metallschienen gemäß einer Ausführungsform.
    • Die 12A und 12B sind Draufsichten eines Layoutentwurfs der beispielhaften Multiplexerschaltung von 8A mit rückseitigen Metallschienen gemäß einer Ausführungsform.
    • 13A ist ein schematisches Diagramm einer beispielhaften Multiplexerschaltung gemäß einer Ausführungsform.
    • 13B ist eine Draufsicht eines Layoutentwurfs der beispielhaften Multiplexerschaltung von 13A gemäß einer Ausführungsform.
    • Die 13C bis 13D sind Draufsichten eines Layoutentwurfs der beispielhaften Multiplexerschaltung von 13A mit rückseitigen Metallschienen gemäß einer Ausführungsform.
    • Die 13E-13F sind Draufsichten eines Layoutentwurfs der beispielhaften Multiplexerschaltung von 13A mit rückseitigen Metallschienen gemäß einer Ausführungsform.
    • Die 13G-13I sind Draufsichten eines Layoutentwurfs der beispielhaften Multiplexerschaltung von 13A mit rückseitigen Metallschienen gemäß einer Ausführungsform.
    • 14A ist ein schematisches Diagramm einer beispielhaften Schaltung gemäß einer Ausführungsform.
    • 14B ist eine Draufsicht eines Layoutentwurfs der beispielhaften Schaltung von 14A gemäß einer Ausführungsform.
    • 15 ist ein Flussdiagramm eines Verfahrens zur Herstellung einer integrierten Schaltung gemäß einigen Ausführungsformen.
    • 16 ist ein Blockdiagramm eines Systems zum Erzeugen eines IC-Layoutentwurfs gemäß einigen Ausführungsformen.
    • 17 ist ein Blockdiagramm eines IC-Fertigungssystems und eines damit verbundenen IC-Fertigungsflusses gemäß mindestens einer Ausführungsform der vorliegenden Offenbarung.
  • DETAILLIERTE BESCHREIBUNG
  • Die folgende Offenbarung sieht viele verschiedene Ausführungsformen oder Beispiele vor, um verschiedene Merkmale des angegebenen Gegenstands zu implementieren. Spezielle Beispiele von Komponenten und Anordnungen sind unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele und sollen nicht einschränkend wirken. Beispielsweise kann das Ausbilden eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, in denen das erste und das zweite Merkmal in direktem Kontakt ausgebildet sind, und kann auch Ausführungsformen umfassen, in denen zusätzliche Merkmale zwischen dem ersten Merkmal und dem zweiten Merkmal ausgebildet sein können, so dass das erste und das zweite Merkmal nicht in direktem Kontakt stehen müssen. Zusätzlich kann die vorliegende Offenbarung Bezugszeichen und/oder Buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Einfachheit und Klarheit und erzwingt an sich keine Beziehung zwischen den verschiedenen beschriebenen Ausführungsformen und/oder Konfigurationen.
  • Weiter können räumlich relative Begriffe, wie „unten“, „unter“, „unterer“, „über“, „oberer“ und ähnliche, hier der Einfachheit der Beschreibung halber verwendet werden, um die Beziehung eines Elements oder Merkmals mit einem oder mehreren anderen Elementen oder Merkmalen zu beschreiben, wie sie in den Figuren gezeigt sind. Die räumlich relativen Begriffe sollen verschiedene Ausrichtungen der Vorrichtung, die verwendet oder betrieben wird, zusätzlich zu der in den Figuren gezeigten Ausrichtung umfassen. Die Vorrichtung kann anders (um 90 Grad gedreht oder in einer anderen Ausrichtung) ausgerichtet sein und die räumlich relativen Begriffe, die hier verwendet werden, können auch dahingehend interpretiert werden.
  • Gemäß einigen Ausführungsformen betrifft das hier Offenbarte eine integrierte Schaltung mit einer rückseitigen Stromschiene und rückseitigen Routing-Schienen. In einem Aspekt enthält die integrierte Schaltung aktive Bereiche, in denen eine große Anzahl von Transistoren ausgebildet sind, mehrere Schichten von Metallschienen auf einer Vorderseite der aktiven Bereiche und mindestens zwei Schichten von Metallschienen auf einer Rückseite der aktiven Bereiche. Ein aktiver Bereich ist eine Halbleiterstruktur mit entweder einer n- oder einer p-Dotierung. Metallschienen auf der Vorderseite der aktiven Bereiche können als „vorderseitige Metallschienen“ bezeichnet werden, wobei Metallschienen auf der Vorderseite der aktiven Bereiche zum Leiten eines elektrischen Signals oder zur Verwendung als lokaler Interconnect als „vorderseitige Interconnect-Schienen“ bezeichnet werden können. Weiter können Metallschienen auf einer Rückseite der aktiven Bereiche als „rückseitige Metallschienen“ bezeichnet werden, wobei Metallschienen auf der Rückseite der aktiven Bereiche zur Bereitstellung einer Versorgungsspannung bzw. Leistung als „rückseitige Stromschienen“ bezeichnet werden können, und wobei Metallschienen auf der Rückseite der aktiven Bereiche zum Leiten eines elektrischen Signals oder zur Verwendung als lokaler Interconnect als „rückseitige Interconnect-Schienen“ bezeichnet werden können.
  • In einigen Ausführungsformen kann eine erste Schicht von Metallschienen auf der Rückseite der aktiven Bereiche näher an den aktiven Bereichen liegen, verglichen mit den auf der Vorderseite angeordneten Metallschienen, und kann den aktiven Bereichen eine zuverlässigere Versorgungsspannung (z. B. VDD oder GND) als die Metallschienen auf der Vorderseite bereitstellen. Zusätzlich bietet eine zweite Schicht aus Metallschienen auf der Rückseite der aktiven Bereiche Flexibilität für Routing bzw. elektrisches Verbinden verschiedener Komponenten der integrierten Schaltung. Durch Implementieren von mindestens zwei Schichten von Metallschienen auf der Rückseite der aktiven Bereiche kann eine integrierte Schaltung in einer kleineren Fläche ausgebildet werden, da eine Anzahl von Kontakten und vorderseitigen Metallschienen verringert werden kann. Darüber hinaus ermöglicht die Verwendung der rückseitigen Metallschienen, dass Komponenten (z. B. aktive Bereiche, Metall-über-Diffusions- (MD)-Bereiche oder Gate-Bereiche) zum Ausbilden von Transistoren regelmäßigere oder konsistentere Formen aufweisen, so dass die Eigenschaften der Transistoren konsistenter werden können.
  • Bezugnehmend auf die 1A-1C sind Draufsichten 100A-100C eines Layoutentwurfs mit Layoutstrukturen zur Herstellung einer integrierten Schaltung gemäß einer Ausführungsform gezeigt. In einem Aspekt zeigen die Draufsichten 100A-100C eines Layoutentwurfs Layoutstrukturen für Komponenten in unterschiedlichen Schichten der integrierten Schaltung. Die gemäß dem Layoutentwurf in den Draufsichten 100A-100C ausgebildete Schaltung kann eine Wechselrichterschaltung sein. In einem Aspekt zeigt 1A Layoutstrukturen 110A, 110B, die rückseitigen Stromschienen entsprechen. In einem Aspekt zeigt 1B Layoutstrukturen 130A, 130B, die aktiven Bereichen von Transistoren entsprechen. In einem Aspekt zeigt 1C Layoutstrukturen 170A-170E, die vorderseitigen Metallschienen (z. B. Mo-Metallschienen) entsprechen. In einigen Ausführungsformen enthält der in den Draufsichten 100A-100C gezeigte Layoutentwurf mehr, weniger oder andere Layoutstrukturen als in den 1A-1C gezeigt. In einigen Ausführungsformen sind die Layoutstrukturen des Layoutentwurfs, die in den Draufsichten 100A-100C gezeigt sind, auf andere Weise als in den 1A-1C gezeigt angeordnet. Gemäß dem in den Draufsichten 100A-100C gezeigten Layoutentwurf kann eine integrierte Schaltung hergestellt bzw. ausgebildet werden.
  • In 1B zeigen die Layoutstrukturen 120B und 130B eine Abmessung und/oder einen Ort eines n-Transistors (z. B. eines NMOS, eines n-FinFET) an. In einem Aspekt entspricht die sich in Y-Richtung erstreckende Layoutstruktur 120B einem Gate-Bereich des n-Transistors, und die sich in X-Richtung erstreckende Layoutstruktur 130B entspricht einem aktiven Bereich zur Ausbildung eines Source-Bereichs und eines Drain-Bereichs des n-Transistors. Ein Gate-Bereich ist eine Struktur, die ein oder mehrere leitfähige Segmente enthält, die ein oder mehrere leitfähige Materialien enthalten, z. B. Polysilizium, ein oder mehrere Metalle und/oder ein oder mehrere andere geeignete Materialien, die im Wesentlichen von einem oder mehreren Isoliermaterialien umgeben sind, z. B. Siliziumdioxid und/oder einem oder mehreren anderen geeigneten Materialien, wobei das eine oder die mehreren leitfähigen Segmente so konfiguriert sind, dass sie eine Spannung steuern, die an darunter liegende und benachbarte dielektrische Schichten angelegt wird. Ein Source-Bereich bzw. ein Drain-Bereich ist eine Halbleiterstruktur in einem aktiven Bereich und so konfiguriert, dass sie einen Dotierungstyp aufweist, der dem anderer Abschnitte des aktiven Bereichs entgegengesetzt ist. Die Layoutstrukturen 120A, 120C können Gate-Bereichen weiterer Transistoren entsprechen. In einem Aspekt entsprechen die Layoutstrukturen 165B, 165C, die sich in Y-Richtung erstrecken, MD-Bereichen zum elektrischen Bereitstellen oder Absenken von Strom für den n-Transistor. Ein MD-Bereich ist ein leitfähiger Bereich bzw. eine leitfähige Struktur, die den Source-Bereich oder den Drain-Bereich direkt berührt. In einigen Ausführungsformen enthält der MD-Bereich eines oder mehrere von Polysilizium, Kupfer (Cu), Silber (Ag), Wolfram (W), Titan (Ti), Nickel (Ni), Zinn (Sn), Aluminium (Al) oder eines anderen Metalls oder Materials, das zur Bereitstellung einer niederohmigen elektrischen Verbindung zwischen IC-Strukturelementen geeignet ist. Beispielsweise ist ein Gate-Bereich des n-Transistors ausgebildet, bei dem sich die Layoutstrukturen 120B, 130B schneiden; ein Source-Bereich des n-Transistors ist ausgebildet, bei dem sich die Layoutstrukturen 165C, 130B schneiden; und ein Drain-Bereich des n-Transistors ist ausgebildet, bei dem sich die Layoutstrukturen 165B, 130B schneiden.
  • In einer Ausführungsform zeigen die Layoutstrukturen 120B und 130A eine Abmessung und/oder einen Ort eines p-Transistors (z. B. eines PMOS oder eines p-FinFET) an. In einem Aspekt entspricht die sich in Y-Richtung erstreckende Layoutstruktur 120B einem Gate-Bereich des p-Transistors, und die sich in X-Richtung erstreckende Layoutstruktur 130A entspricht einem aktiven Bereich zur Ausbildung eines Source-Bereichs und eines Drain-Bereichs des p-Transistors. In einem Aspekt entsprechen die die sich in Y-Richtung erstreckenden Layoutstrukturen 165A, 165B MD-Bereichen zum elektrischen Bereitstellen oder Absenken von Strom für den p-Transistor. Beispielsweise ist ein Gate-Bereich des p-Transistors ausgebildet, bei dem sich die Layoutstrukturen 120B, 130A schneiden; ein Source-Bereich des p-Transistors ist ausgebildet, bei dem sich die Layoutstrukturen 165A, 130A schneiden; und ein Drain-Bereich des p-Transistors ist ausgebildet, bei dem sich die Layoutstrukturen 165B, 130A schneiden. In dieser Konfiguration sind der Drain-Bereich des n-Transistors und der Drain-Bereich des p-Transistors miteinander verbunden, und der Gate-Bereich des n-Transistors und der Gate-Bereich des p-Transistors sind miteinander verbunden, um einen Wechselrichter auszubilden.
  • In einer Ausführungsform zeigen die Layoutstrukturen 160A-160D Abmessungen und/oder Orte von Durchkontaktierungen zum elektrischen Kontaktieren von rückseitigen Metallschienen unter den aktiven Bereichen an. Durch die gemäß den Layoutstrukturen 160A-160D ausgebildeten Durchkontaktierungen können elektrische Signale (z. B. Spannung oder Strom) zu oder von den Transistoren geleitet werden. In einigen Ausführungsformen enthalten die Durchkontaktierungen eines oder mehrere von Kupfer (Cu), Silber (Ag), Wolfram (W), Titan (Ti), Nickel (Ni), Zinn (Sn), Aluminium (Al) oder eines anderen Metalls oder Materials, das zur Bereitstellung einer niederohmigen elektrischen Verbindung zwischen verschiedenen Schichten geeignet ist.
  • In 1A zeigen die Layoutstrukturen 140A-140D in einer Ausführungsform Abmessungen und/oder Orte von rückseitigen Metallschienen an. Die rückseitigen Metallschienen können Metall oder ein beliebiges leitfähiges Material enthalten. Die gemäß den Layoutstrukturen 140A-140D ausgebildeten rückseitigen Metallschienen können in einer M-1-Schicht liegen und können als rückseitige Interconnect-Schienen implementiert sein. Die rückseitigen Metallschienen können durch Durchkontaktierungen elektrisch mit dem Transistor (z. B. dem Source-Bereich, dem Drain-Bereich oder dem Gate-Bereich) verbunden sein, die gemäß den Layoutstrukturen 160A-160D ausgebildet sind. In einer Ausführungsform zeigen die Layoutstrukturen 110A, 110B Abmessungen und/oder Orte von rückseitigen Stromschienen an. Die rückseitigen Stromschienen können Metall oder ein beliebiges leitfähiges Material enthalten. Die rückseitigen Stromschienen können sich auf einer M-2-Schicht bzw. einer unteren Schicht befinden. Die gemäß den Layoutstrukturen 110A, 110B ausgebildeten rückseitigen Stromschienen können über Durchkontaktierungen elektrisch mit den gemäß den Layoutstrukturen 140A-140D ausgebildeten rückseitigen Metallschienen verbunden sein, die gemäß Layoutstrukturen 150A-150B ausgebildet sind,. Die Layoutstrukturen 110A, 110B, 140A, 140B, 140C, 140D können sich in X-Richtung, in Y-Richtung oder in jeder beliebigen Richtung erstrecken.
  • In einer Konfiguration können die Layoutstrukturen 110A, 110B für die rückseitigen Stromschienen größere Flächen mit gleichmäßigen Strukturen aufweisen, so dass sie zuverlässige Versorgungsspannungen (z. B. VDD, GND) bereitstellen. In einem Beispiel kann die gemäß der Layoutstruktur 110A ausgebildete rückseitige Stromschiene über die gemäß der Layoutstruktur 140A ausgebildete rückseitige Metallschiene dem Source-Bereich des p-Transistors eine Versorgungsspannung (z. B. VDD oder 1V) bereitstellen. In ähnlicher Weise kann die gemäß der Layoutstruktur 110B ausgebildete rückseitige Stromschiene über die gemäß der Layoutstruktur 140C ausgebildete rückseitige Metallschiene dem Source-Bereich des n-Transistors eine Versorgungsspannung (z. B. GND oder oV) bereitstellen. Zugleich können sich die gemäß den Layoutstrukturen 140B, 140D ausgebildeten rückseitigen Metallschienen in jede Richtung so erstrecken, dass sie für lokale Verbindungen elektrisch mit weiteren Transistoren oder Metallschienen verbunden sind.
  • In 1C zeigen in einer Ausführungsform die Layoutstrukturen 170A-170E Abmessungen und/oder Orte von vorderseitigen Metallschienen an. In einem Aspekt erstrecken sich die Layoutstrukturen 170A-170E in X-Richtung. Die vorderseitigen Metallschienen können Metall oder ein beliebiges leitfähiges Material enthalten. Die vorderseitigen Metallschienen können sich auf einer Mo-Schicht befinden. Die vorderseitigen Metallschienen können durch Durchkontaktierungen, die gemäß den Layoutstrukturen 175, 180 ausgebildet sind, elektrisch mit dem Transistor (z. B. dem Source-Bereich, dem Drain-Bereich oder dem Gate-Bereich) verbunden sein. Beispielsweise kann die gemäß der Layoutstruktur 170A ausgebildete Metallschiene durch den MD-Bereich, der gemäß der Layoutstruktur 165B ausgebildet ist, und die Durchkontaktierung, die gemäß der Layoutstruktur 175 ausgebildet ist, elektrisch mit dem Drain-Bereich des p-Transistors verbunden sein. Beispielsweise kann die gemäß der Layoutstruktur 170D ausgebildete Metallschiene durch die Durchkontaktierung, die gemäß der Layoutstruktur 180 ausgebildet ist, elektrisch mit dem gemeinsamen Gate-Bereich des n-Transistors und des p-Transistors verbunden sein. In einigen Ausführungsformen enthält die integrierte Schaltung, die gemäß den in den 1A-1C gezeigten Layoutstrukturen ausgebildet ist, weitere Schichten (z. B. M1-M7) für vorderseitige Metallschienen.
  • In einem Aspekt bieten die hier offenbarten rückseitigen Stromschienen und rückseitigen Interconnect-Schienen mehrere Vorteile. In einem Beispiel kann die integrierte Schaltung in einer kleineren Fläche ausgebildet werden, da eine Anzahl der vorderseitigen Metallschienen und Durchkontaktierungen verringert werden kann. Zum Beispiel kann durch Implementieren von rückseitigen Interconnect-Schienen eine Gate-Dichte um 4% oder mehr verbessert werden, verglichen mit Vorrichtungen ohne ein Implementieren der rückseitigen Interconnect-Schienen. Darüber hinaus können in einem Beispiel der MD-Bereich oder der Gate-Bereich zum Ausbilden der Transistoren regelmäßigere oder konsistentere Formen aufweisen, so dass Eigenschaften der Transistoren konsistenter sein können.
  • 2A ist ein Querschnittsdiagramm 200A entlang I-I' einer integrierten Schaltung gemäß einer Ausführungsform, die gemäß dem Layoutentwurf der 1A-1C ausgebildet ist. 2B ist ein Querschnittsdiagramm 200B entlang II-II' der integrierten Schaltung gemäß einer Ausführungsform, die gemäß dem Layoutentwurf der 1A-1C ausgebildet ist.
  • Bezugnehmend auf die 2A und 2B enthält eine integrierte Schaltung eine rückseitige Stromschienenschicht BM mit rückseitigen Stromschienen 210A, 210B, die gemäß den Layoutstrukturen 110A, 110B ausgebildet sind. Oberhalb der rückseitigen Stromschienenschicht BM entlang der Z-Richtung kann eine Kontaktschicht VB mit Durchkontaktierungen 250A, 250C gemäß den Layoutstrukturen 150A, 150C ausgebildet sein. Oberhalb der Kontaktschicht VB entlang der Z-Richtung kann eine rückseitige Metallschienenschicht M-1 mit rückseitigen Metallschienen 240A-240C gemäß den Layoutstrukturen 140A-140C ausgebildet sein. Oberhalb der rückseitigen Metallschienenschicht M-1 entlang der Z-Richtung kann eine Kontaktschicht VDB mit Durchkontaktierungen 260A-260C gemäß den Layoutstrukturen 160A-160C ausgebildet sein. Oberhalb der Kontaktschicht VDB entlang der Z-Richtung kann eine Epitaxieschicht EPI mit Source/Drain-Bereichen 262A-262C an Schnittpunkten der Layoutstrukturen 130A, 130B und der Layoutstrukturen 165A-165C ausgebildet sein. Oberhalb der Epitaxieschicht EPI entlang der Z-Richtung kann eine leitfähige Schicht MD mit MD-Bereichen 265A-265C gemäß den Layoutstrukturen 165A-165C ausgebildet sein. Oberhalb der leitfähigen Schicht MD entlang der Z-Richtung kann eine Kontaktschicht VD mit einer Durchkontaktierung 275 gemäß der Layoutstruktur 175 ausgebildet sein. Oberhalb der Kontaktschicht VD entlang der Z-Richtung kann eine vorderseitige Metallschicht Mo mit einer vorderseitigen Metallschiene 270 gemäß der Layoutstruktur 170A ausgebildet sein.
  • In 2A ist in einem Aspekt die rückseitige Stromschiene 210A so konfiguriert, dass sie eine Versorgungsspannung VDD bereitstellt. Auf der rückseitigen Stromschiene 210A ist entlang der Z-Richtung die Durchkontaktierung 250A ausgebildet. Auf der VB-Schicht mit der Durchkontaktierung 250A ist entlang der Z-Richtung die rückseitige Metallschiene 240A ausgebildet. Die rückseitige Metallschiene 240A kann als rückseitige Interconnect-Schiene unterhalb des Source-Bereichs 262A (z. B. in einer der Z-Richtung entgegengesetzten Richtung) implementiert sein. Auf der rückseitigen Metallschiene 240A ist entlang der Z-Richtung die Durchkontaktierung 260A ausgebildet. Auf der Durchkontaktierung 260A ist entlang der Z-Richtung der Source-Bereich 262A des p-Transistors ausgebildet. Auf dem Source-Bereich 262A ist entlang der Z-Richtung der MD-Bereich 265A ausgebildet. In einem Aspekt ist der MD-Bereich 265A direkt mit dem Source-Bereich 262A verbunden. In einigen Implementierungen kann der MD-Bereich 265A als lokale Interconnect-Schiene verwendet werden, um benachbarte Komponenten (z. B. Metallschienen und/oder Source-/Drain-/Gate-Bereiche) elektrisch miteinander zu verbinden. In einem Aspekt ist eine Seite bzw. Oberfläche des Source-Bereichs 262A, die in Z-Richtung gerichtet ist, direkt mit dem MD-Bereich 265A verbunden, und eine Seite bzw. Oberfläche des Source-Bereichs 262A, die in einer der Z-Richtung entgegengesetzten Richtung gerichtet ist, ist direkt mit der Durchkontaktierung 260A verbunden. In dieser Konfiguration kann die Versorgungsspannung VDD über die Durchkontaktierung 250A, die rückseitige Metallschiene 240A und die Durchkontaktierung 260A dem Source-Bereich 262A und dem MD-Bereich 265A bereitgestellt werden.
  • In einem Aspekt ist die rückseitige Stromschiene 210B so konfiguriert, dass sie eine Versorgungsspannung GND bereitstellt. Auf der rückseitigen Stromschiene 210B ist entlang der Z-Richtung die Durchkontaktierung 250C ausgebildet. Auf der Durchkontaktierung 250C ist entlang der Z-Richtung die rückseitige Metallschiene 240C gemäß der Layoutstruktur 140C ausgebildet. Die rückseitige Metallschiene 240C kann als rückseitige Interconnect-Schiene implementiert sein. Auf der rückseitigen Metallschiene 240C ist entlang der Z-Richtung die Durchkontaktierung 260C ausgebildet. Auf der Durchkontaktierung 260C ist entlang der Z-Richtung der Source-Bereich 262C des n-Transistors ausgebildet. Auf dem Source-Bereich 262C ist entlang der Z-Richtung der MD-Bereich 265C ausgebildet. In einem Aspekt ist der MD-Bereich 265C direkt mit dem Source-Bereich 262C verbunden. In einigen Implementierungen kann der MD-Bereich 265C als lokale Interconnect-Schiene verwendet werden, um benachbarte Komponenten (z. B. Metallschienen und/oder Source-/Drain-/Gate-Bereiche) elektrisch miteinander zu verbinden.
  • In 2B enthält die integrierte Schaltung Gate-Bereiche 220A-220C, die gemäß den Layoutstrukturen 120A-120C ausgebildet sind. In einem Aspekt ist der Gate-Bereich 220B zwischen den Source/Drain-Bereichen 262A, 262B und zwischen den MD-Bereichen 265A, 265B ausgebildet. Auf der rückseitigen Metallschiene 240B ist entlang der Z-Richtung die Durchkontaktierung 260B ausgebildet. Auf der Durchkontaktierung 260B ist entlang der Z-Richtung der Drain-Bereich 262B ausgebildet. Auf dem Drain-Bereich 262B des p-Transistors entlang der Z-Richtung ist der MD-Bereich 265B ausgebildet. Somit ist der Drain-Bereich 262B zwischen der Durchkontaktierung 260B und dem MD-Bereich 265B angeordnet. Insbesondere ist eine Seite bzw. Oberfläche des Drain-Bereichs 262B, die in Z-Richtung gerichtet ist, direkt mit dem MD-Bereich 265B verbunden, und eine Seite bzw. Oberfläche des Drain-Bereichs 262B, die in einer der Z-Richtung entgegengesetzten Richtung gerichtet ist, ist direkt mit der Durchkontaktierung 260B verbunden. Auf dem MD-Bereich 265B ist entlang der Z-Richtung eine Durchkontaktierung 275 gemäß der Layoutstruktur 175 ausgebildet. Auf der Durchkontaktierung 275 ist entlang der Z-Richtung die vorderseitige Metallschiene 270 ausgebildet.
  • In dieser Konfiguration ist der Source-Bereich 262A für die Versorgungsspannung VDD über die Durchkontaktierung 250A, die rückseitige Metallschiene 240A und die Durchkontaktierung 260A mit der rückseitigen Stromschiene 210A elektrisch verbunden. Somit können die Transistoren mit der Versorgungsspannung VDD über die rückseitige Stromschiene 210A und die rückseitige Metallschiene 240A versorgt werden. Weiterhin ist der Drain-Bereich 262B über die Durchkontaktierung 275 und den MD-Bereich 265B elektrisch mit der vorderseitigen Metallschiene 270 verbunden und über die Durchkontaktierung 260B elektrisch mit der rückseitigen Metallschiene 240B verbunden. Daher können elektrische Signale durch die vorderseitige Metallschiene 270, durch die rückseitige Metallschiene 240B oder durch beide bereitgestellt werden.
  • Die 3A und 3B sind Draufsichten 300A, 300B eines Layoutentwurfs einer integrierten Schaltung mit rückseitigen Metallschienen gemäß einer Ausführungsform. In einem Aspekt zeigen die Draufsichten 300A, 300B eines Layoutentwurfs Layoutstrukturen für Komponenten in verschiedenen Schichten der integrierten Schaltung. In einem Aspekt zeigt die Draufsicht 300A Layoutstrukturen von vorderseitigen Metallschienen der integrierten Schaltung, und die Draufsicht 300B zeigt Layoutstrukturen von rückseitigen Metallschienen der integrierten Schaltung. In 3A zeigt die Layoutstruktur 320, die sich in Y-Richtung erstreckt, eine Abmessung und/oder einen Ort eines Gate-Bereichs eines Transistors an, die Layoutstruktur 330, die sich in X-Richtung erstreckt, zeigt eine Abmessung und/oder einen Ort eines aktiven Bereichs an; die Layoutstruktur 365, die sich in Y-Richtung erstreckt, zeigt eine Abmessung und/oder einen Ort eines MD-Bereichs an, und die Layoutstruktur 370, die sich in X-Richtung erstreckt, zeigt eine Abmessung und/oder einen Ort einer vorderseitigen Metallschiene (z. B. einer Mo-Schiene) an. In 3B zeigt eine Layoutstruktur 340, die sich in X-Richtung erstreckt, eine Abmessung und/oder einen Ort einer rückseitigen Metallschiene (z. B. in der M-1-Schicht) an, und die Layoutstruktur 350 (entsprechend 160A-D) zeigt eine Abmessung und/oder einen Ort einer Durchkontaktierung (z. B. in der VDB-Schicht) zwischen dem Transistor und dem rückseitigen Interconnect an. Die rückseitigen Metallschienen können in einer Schicht (z. B. der M-1-Schicht) zwischen einer ersten Schicht (z. B. der Epitaxieschicht EPI), in der die Transistoren ausgebildet sind, und einer zweiten Schicht (z. B. der BM-Schicht) ausgebildet sein, in der die rückseitigen Stromschienen ausgebildet sind, wie in den 2A und 2B gezeigt. In weiteren Ausführungsformen kann der Layoutentwurf der integrierten Schaltung, der in den Draufsichten 300A, 300B gezeigt ist, mehr, weniger oder Layoutstrukturen für andere Schichten enthalten.
  • Gemäß einem Aspekt ermöglichen die rückseitigen Metallschienen und die rückseitigen Stromschienen, dass Komponenten von Transistoren in einer regelmäßigen oder konsistenten Struktur ausgebildet sind. Beispielsweise können Versorgungsspannungen von darunter liegenden rückseitigen Stromschienen bereitgestellt werden, so dass Enden bzw. Ränder der Layoutstruktur 365 für die MD-Bereiche mit ähnlichen Formen ausgerichtet werden können, ohne sich so weit zu erweitern, dass sie mit vorderseitigen Stromschienen in Verbindung kommen würden. Darüber hinaus können die Eigenschaften der Transistoren im Vergleich zu MD-Bereichen mit unregelmäßigen oder inkonsistenten Strukturen konsistenter werden. Darüber hinaus ermöglichen die rückseitigen Metallschienen eine Verringerung einer Anzahl der vorderseitigen Metallschienen und Durchkontaktierungen, so dass eine Fläche der integrierten Schaltung verringert werden kann.
  • Die 4A und 4B sind Draufsichten 400A, 400B eines Layoutentwurfs einer integrierten Schaltung mit rückseitigen Metallschienen gemäß einer Ausführungsform. In einem Aspekt zeigen die Draufsichten 400A, 400B eines Layoutentwurfs Layoutstrukturen für Komponenten in verschiedenen Schichten der integrierten Schaltung. In einem Aspekt zeigt die Draufsicht 400A Layoutstrukturen von vorderseitigen Metallschienen (z. B. in der MD-Schicht und/oder der Mo-Schicht) der integrierten Schaltung, und die Draufsicht 400B zeigt Layoutstrukturen von rückseitigen Metallschienen (z. B. in der M-1-Schicht) der integrierten Schaltung. In einem Aspekt ähnelt der in den 4A, 4B gezeigte Layoutentwurf dem in den 3A-3B gezeigten Layoutentwurf, außer dass die Draufsicht 400B eine Layoutstruktur 440 enthält, die sich in Y-Richtung erstreckt und die eine Abmessung und/oder einen Ort einer rückseitigen Metallschiene anzeigt. Daher wird hier der Kürze halber eine detaillierte Beschreibung der identischen Abschnitte davon weggelassen. In einem Aspekt trägt die rückseitige Metallschiene, die sich in Y-Richtung erstreckt, dazu bei, für Flexibilität hinsichtlich Routing oder lokalem Interconnect zu sorgen. In weiteren Ausführungsformen kann der Layoutentwurf der integrierten Schaltung, der in den Draufsichten 400A, 400B gezeigt ist, mehr, weniger oder Layoutstrukturen für andere Schichten enthalten.
  • Die 5A und 5B sind Draufsichten 500A, 500B eines Layoutentwurfs einer integrierten Schaltung mit rückseitigen Metallschienen (z. B. in der M-1-Schicht) gemäß einer Ausführungsform. In einem Aspekt zeigen die Draufsichten 500A, 500B eines Layoutentwurfs Layoutstrukturen für Komponenten in verschiedenen Schichten der integrierten Schaltung. In einem Aspekt zeigt die Draufsicht 500A Layoutstrukturen von vorderseitigen Metallschienen der integrierten Schaltung, und die Draufsicht 500B zeigt Layoutstrukturen von rückseitigen Metallschienen der integrierten Schaltung. In einem Aspekt ähnelt der in den 5A, 5B gezeigte Layoutentwurf dem in den 3A-3B gezeigten Layoutentwurf, außer dass die Draufsicht 500B eine Layoutstruktur 540 enthält, die sich in X-Richtung und in Y-Richtung erstreckt und die eine Abmessung und/oder einen Ort einer rückseitigen Metallschiene anzeigt. Daher wird hier der Kürze halber eine detaillierte Beschreibung der identischen Abschnitte davon weggelassen. In einem Aspekt sorgt die sich in X- und in Y-Richtung erstreckende rückseitige Metallschiene für Flexibilität hinsichtlich Routing oder lokalem Interconnect. In weiteren Ausführungsformen kann der Layoutentwurf der integrierten Schaltung, der in den Draufsichten 500A, 500B gezeigt ist, mehr, weniger oder Layoutstrukturen für andere Schichten enthalten.
  • Die 6A und 6B sind Draufsichten 600A, 600B eines Layoutentwurfs einer integrierten Schaltung mit rückseitigen Metallschienen (z. B. in der M-1-Schicht) gemäß einer Ausführungsform. In einem Aspekt zeigen die Draufsichten 600A, 600B eines Layoutentwurfs Layoutstrukturen für Komponenten in verschiedenen Schichten der integrierten Schaltung. In einem Aspekt zeigt die Draufsicht 600A Layoutstrukturen von vorderseitigen Metallschienen der integrierten Schaltung, und die Draufsicht 600B zeigt Layoutstrukturen von rückseitigen Metallschienen der integrierten Schaltung. In 6A zeigen die Layoutstrukturen 620A-620F, die sich in Y-Richtung erstrecken, Abmessungen und/oder Orte von Gate-Bereichen von Transistoren an, die Layoutstruktur 630, die sich in X-Richtung erstreckt, zeigt eine Abmessung und/oder einen Ort eines aktiven Bereichs an, die Layoutstrukturen 665A-665E, die sich in Y-Richtung erstrecken, zeigen Abmessungen und/oder Orte von MD-Bereichen an, und die Layoutstrukturen 670A-670C, die sich in X-Richtung erstrecken, zeigen Abmessungen und/oder Orte von vorderseitigen Metallschienen (z. B. Mo-Schienen) an. In 6B zeigen die Layoutstrukturen 640A-640D, die sich in X-Richtung erstrecken, Abmessungen und/oder Orte von rückseitigen Metallschienen an, und die Layoutstrukturen 650A-650C zeigen Abmessungen und/oder Orte von Durchkontaktierungen zwischen den Transistoren und den rückseitigen Metallschienen (z. B. M-1-Schienen) an. In einem Aspekt enthält der Layoutentwurf in einem Bereich, der einer Zelle entspricht, drei Layoutstrukturen 670A-670C für die vorderseitigen Metallschienen (z. B. Mo-Schienen) und vier Layoutstrukturen 640A-640D für die rückseitigen Metallschienen (z. B. M-1-Schienen). In weiteren Ausführungsformen kann der Layoutentwurf der integrierten Schaltung, der in den Draufsichten 600A, 600B gezeigt ist, mehr, weniger oder Layoutstrukturen für andere Schichten enthalten.
  • In einigen Ausführungsformen können Source/Drain-Bereiche und MD-Bereiche mit unterschiedlicher Höhe in einer verschachtelten Abfolge gemäß den Layoutstrukturen 665A-665E ausgebildet sein. Beispielsweise können die Source/Drain-Bereiche und die MD-Bereiche mit einer ersten Höhe H1 gemäß den Layoutstrukturen 665A, 665C, 665E ausgebildet sein, wobei Source/Drain-Bereiche und MD-Bereiche mit einer zweiten Höhe H2 gemäß den Layoutstrukturen 665B, 665D ausgebildet sein können, die kleiner als die erste Höhe H1 ist. In einem Aspekt können die gemäß den Layoutstrukturen 665A, 665C, 665E ausgebildeten Source/Drain-Bereiche und MD-Bereiche über Durchkontaktierungen, die gemäß den Layoutstrukturen 650A-650C ausgebildet sind, und eine rückseitige Metallschiene, die gemäß der Layoutstruktur 640B ausgebildet ist, elektrisch miteinander verbunden sein. Ein solcher lokaler Interconnect durch die rückseitigen Metallschienen kann die Flexibilität für Orte und Abmessungen der vorderseitigen Metallschienen (z. B. der Mo-Schienen) unterstützen, die gemäß den Layoutstrukturen 670A-670C ausgebildet sind. Beispielsweise kann eine vorderseitige Metallschiene gemäß der Layoutstruktur 670B so ausgebildet sein, dass die vorderseitige Metallschiene nahe an einem Rand des MD-Bereichs liegen oder den MD-Bereich teilweise überlappen kann. Durch die Implementierung von rückseitigen Metallschienen und rückseitigen Stromschienen kann eine geringere Anzahl von vorderseitigen Metallschienen zum Verbinden der Transistoren implementiert werden. Daher kann eine integrierte Schaltung mit weniger Fläche ausgebildet werden, wenn die rückseitigen Metallschienen implementiert werden.
  • 6C ist ein Querschnittsdiagramm entlang A-A' der integrierten Schaltung gemäß einer Ausführungsform, die gemäß dem Layoutentwurf der 6A und 6B ausgebildet ist. 6D ist ein Querschnittsdiagramm entlang B-B' der integrierten Schaltung gemäß einer Ausführungsform, die gemäß dem Layoutentwurf der 6A und 6B ausgebildet ist.
  • Bezugnehmend auf die 6C und 6D enthält eine integrierte Schaltung eine rückseitige Stromschienenschicht BM mit rückseitigen Stromschienen 698A, 698B zum Bereitstellen von Versorgungsspannungen VDD und GND. Über der rückseitigen Stromschienenschicht BM kann entlang der Z-Richtung eine Kontaktschicht VB mit Durchkontaktierungen 695A, 695B ausgebildet sein. Über der Kontaktschicht VB kann entlang der Z-Richtung eine rückseitige Metallschienenschicht M-1 mit vier rückseitigen Metallschienen 690A-690D jeweils gemäß den Layoutstrukturen 640A-640D ausgebildet sein. In einem Aspekt wird die rückseitige Metallschiene 690A zum Bereitstellen einer Versorgungsspannung VDD verwendet und die rückseitige Metallschiene 690D wird zum Bereitstellen einer Massespannung GND verwendet, wobei die rückseitigen Metallschienen 690B, 690C für lokalen Interconnect verwendet werden. Über der rückseitigen Metallschienenschicht M-1 entlang der Z-Richtung kann eine Kontaktschicht VDB mit Durchkontaktierungen 688A-688D ausgebildet sein. Beispielsweise kann die Durchkontaktierung 688A gemäß der Layoutstruktur 650A ausgebildet sein. Über der Kontaktschicht VDB kann entlang der Z-Richtung eine Epitaxieschicht EPI mit Source/Drain-Bereichen 686A-686D ausgebildet sein. Beispielsweise kann die Drain-Struktur 686A eines p-Transistors an einem Schnittpunkt der Layoutstruktur 665A und der Layoutstruktur 630 ausgebildet sein, und der Source-Bereich 686C des p-Transistors kann an einem Schnittpunkt der Layoutstruktur 665B und der Layoutstruktur 630 ausgebildet sein. Über der Epitaxieschicht EPI kann entlang der Z-Richtung eine leitfähige Schicht MD mit MD-Bereichen 684A-684D ausgebildet sein. Beispielsweise können die MD-Bereiche 684A, 684C gemäß den Layoutstrukturen 665A, 665B ausgebildet sein. Über der leitfähigen Schicht MD entlang der Z-Richtung kann eine Kontaktschicht VD ausgebildet sein. Über der Kontaktschicht VD kann entlang der Z-Richtung eine vorderseitige Metallschicht Mo mit drei vorderseitigen Metallschienen 682A-682C gemäß den Layoutstrukturen 670A-670C ausgebildet sein.
  • In 6C ist die rückseitige Stromschiene 698B so konfiguriert, dass sie eine Versorgungsspannung GND bereitstellt. Auf der rückseitigen Stromschiene 698B ist entlang der Z-Richtung die Durchkontaktierung 695A ausgebildet. Auf der Durchkontaktierung 695A ist entlang der Z-Richtung die rückseitige Metallschiene 690D ausgebildet. Die rückseitige Metallschiene 690D kann als rückseitige Interconnect-Schiene implementiert sein. Auf der rückseitigen Metallschiene 690D ist entlang der Z-Richtung eine Durchkontaktierung 688B ausgebildet. Auf der Durchkontaktierung 688B ist entlang der Z-Richtung ein Source-Bereich 686B des n-Transistors ausgebildet. Auf dem Source-Bereich 686B ist entlang der Z-Richtung ein MD-Bereich 684B ausgebildet. In einem Aspekt ist der MD-Bereich 684B direkt mit dem Source-Bereich 686B verbunden. In einem Aspekt ist eine Seite bzw. Oberfläche des Source-Bereichs 686B, die in Z-Richtung gerichtet ist, direkt mit dem MD-Bereich 684B verbunden, und eine Seite bzw. Oberfläche des Source-Bereichs 686B, die in eine der Z-Richtung entgegengesetzten Richtung gerichtet ist, ist direkt mit der Durchkontaktierung 688B verbunden. In dieser Konfiguration kann die Versorgungsspannung GND dem Source-Bereich 686B und dem MD-Bereich 684B über die Durchkontaktierung 695A, die rückseitige Stromschiene 690D und die Durchkontaktierung 688B bereitgestellt werden.
  • In 6D ist in einem Aspekt die rückseitige Stromschiene 698A so konfiguriert, dass sie eine Versorgungsspannung VDD bereitstellt. Auf der rückseitigen Stromschiene 698A ist entlang der Z-Richtung eine Durchkontaktierung 695B ausgebildet. Auf der VB-Schicht mit der Durchkontaktierung 695B ist entlang der Z-Richtung eine rückseitige Metallschiene 690A ausgebildet. Die rückseitige Metallschiene 690A kann als rückseitige Interconnect-Schiene (z. B. in der der Z-Richtung entgegengesetzten Richtung) unter dem Source-Bereich 686C implementiert sein. Auf der rückseitigen Metallschiene 690A ist entlang der Z-Richtung eine Durchkontaktierung 688C ausgebildet. Auf der Durchkontaktierung 688C ist entlang der Z-Richtung ein Source-Bereich 686C des p-Transistors ausgebildet. Auf dem Source-Bereich 686C ist entlang der Z-Richtung der MD-Bereich 684C ausgebildet. In einem Aspekt ist der MD-Bereich 684C direkt mit dem Source-Bereich 686C verbunden. In einem Aspekt ist eine Seite bzw. Oberfläche des Source-Bereichs 686C, die in Z-Richtung gerichtet ist, direkt mit dem MD-Bereich 684C verbunden, und eine Seite bzw. Oberfläche des Source-Bereichs 686C, die in eine der Z-Richtung entgegengesetzten Richtung gerichtet ist, ist direkt mit der Durchkontaktierung 688C verbunden. In dieser Konfiguration kann die Versorgungsspannung VDD dem Source-Bereich 686C und dem MD-Bereich 684C über die Durchkontaktierung 695B, die rückseitige Stromschiene 690A und die Durchkontaktierung 688C bereitgestellt werden.
  • 7 ist eine Draufsicht 700 eines Layoutentwurfs einer integrierten Schaltung mit rückseitigen Metallschienen gemäß einer Ausführungsform. In einem Aspekt zeigt die Draufsicht 700 Layoutstrukturen 710A-710E von rückseitigen Metallschienen der integrierten Schaltung. Die gemäß den Layoutstrukturen ausgebildeten rückseitigen Metallschienen können in einer M-2-Schicht ausgebildet sein und zwischen einer ersten Schicht (z. B. der M-1-Schicht), in der die rückseitigen Metallschienen ausgebildet sind, und einer zweiten Schicht (z. B. der BM-Schicht) angeordnet sein, in der rückseitige Stromschienen ausgebildet sind. Die rückseitigen Metallschienen, die gemäß den Layoutstrukturen 710A-710E ausgebildet sind, können Metall oder beliebige leitfähige Materialien enthalten. Wie in 7 gezeigt, können sich in einigen Ausführungsformen die Layoutstrukturen 640A-640D für die rückseitigen Metallschienen in der M-1-Schicht in X-Richtung erstrecken, während sich die Layoutstrukturen 710A-710E für die rückseitigen Metallschienen in der M-2-Schicht in Y-Richtung erstrecken können. In einigen Ausführungsformen können eine oder mehrere Durchkontaktierungen dort ausgebildet sein, wo sich eine Layoutstruktur für eine rückseitige Metallschiene in der M-i-Schicht und eine Layoutstruktur für eine rückseitige Metallschiene in der M-2-Schicht schneiden, um eine elektrische Verbindung der rückseitigen Metallschienen in den verschiedenen Schichten zu ermöglichen. Obwohl der Einfachheit halber nicht gezeigt, können eine oder mehrere Durchkontaktierungen dort ausgebildet sein, wo sich eine Layoutstruktur für eine rückseitige Metallschiene in der M-2-Schicht und eine Layoutstruktur für eine rückseitige Stromschiene schneiden, um eine elektrische Verbindung der rückseitigen Metallschienen in der M-2-Schicht mit der rückseitige Stromschiene zu ermöglichen. Die Verwendung der rückseitigen Metallschienen in der M-2-Schicht zwischen den rückseitigen Metallschienen in der M-1-Schicht und einer rückseitigen Stromschiene in der BM-Schicht bietet weitere Flexibilität bei Platzierung und Routing und ermöglicht den Entwurf einer integrierten Schaltung in kompakter Form.
  • 8A ist ein schematisches Diagramm einer beispielhaften Multiplexerschaltung 800 gemäß einer Ausführungsform. In einer Konfiguration enthält die Multiplexerschaltung 800 p-Transistoren P1, P2 (z. B. PMOS-Transistoren oder p-FinFETs) und n-Transistoren N1, N2 (z. B. NMOS-Transistoren oder n-FinFETs). In einer Konfiguration ist ein erster Eingangsanschluss I1 der Multiplexerschaltung 800 mit einem Drain-Bereich (oder einem Source-Bereich) des Transistors P1 und einem Drain-Bereich (oder einem Source-Bereich) des Transistors N2 verbunden. In ähnlicher Weise ist ein zweiter Eingangsanschluss 12 der Multiplexerschaltung 800 mit einem Drain-Bereich (oder einem Source-Bereich) des Transistors P2 und einem Drain-Bereich (oder einem Source-Bereich) des Transistors N2 verbunden. Zusätzlich ist ein Ausgangsanschluss Z der Multiplexerschaltung 800 mit einem Source-Bereich (oder einem Drain-Bereich) des Transistors P1, einem Source-Bereich (oder einem Drain-Bereich) des Transistors P2, einem Source-Bereich (oder einem Drain-Bereich) des Transistors N1 und einen Source-Bereich (oder einem Drain-Bereich) des Transistors N2 verbunden. Darüber hinaus ist ein Steueranschluss A der Multiplexerschaltung 800 mit einem Gate-Bereich des Transistors P1 und einem Gate-Bereich des Transistors N2 verbunden, und ein Steueranschluss B der Multiplexer-Schaltung 800 ist mit einem Gate-Bereich des Transistors P2 und einem Gate-Bereich des Transistors N1 verbunden. Wenn in dieser Konfiguration eine Spannung am Steueranschluss A hoch ist (z. B. VDD) und eine Spannung am Steueranschluss B niedrig ist (z. B. GND), kann ein elektrisches Signal am Eingangsanschluss I2 an den Ausgangsanschluss Z durch die Transistoren P2, N2 weitergeleitet werden. In ähnlicher Weise kann, wenn eine Spannung am Steueranschluss A niedrig ist (z. B. GND) und eine Spannung am Steueranschluss B hoch ist (z. B. VDD), ein elektrisches Signal am Eingangsanschluss I1 an den Ausgangsanschluss Z durch die Transistoren P1, N1 weitergeleitet werden.
  • 8B ist eine Draufsicht 805 eines Layoutentwurfs der beispielhaften Multiplexerschaltung 800 von 8A gemäß einer Ausführungsform. In einem Aspekt enthält der in 8B gezeigte Layoutentwurf Layoutstrukturen 830A, 830B, die Abmessungen und/oder Orte von aktiven Bereichen anzeigen, und Layoutstrukturen 820A-820D, die Abmessungen und/oder Orte von Gate-Bereichen anzeigen. Wie oben in Bezug auf 1B gezeigt, können Transistoren dort ausgebildet sein, wo sich die Layoutstrukturen 830A, 830B für die aktiven Bereiche und die Layoutstrukturen 820A-820D für die Gate-Bereiche schneiden. In einem Beispiel können Gate-Bereiche, die gemäß den Layoutstrukturen 820A, 820D ausgebildet sind, dem Steueranschluss A der Multiplexerschaltung 800 zugeordnet oder mit diesem verbunden sein, und Gate-Bereiche, die gemäß den Layoutstrukturen 820B, 820C ausgebildet sind, können dem Steueranschluss B der Multiplexerschaltung 800 zugeordnet oder mit diesem verbunden sein. Um die Multiplexerschaltung 800 wie in 8A gezeigt zu implementieren, können kreuzgekoppelte Verbindungen 840A, 840B verwendet werden. In einem Aspekt können die rückseitigen Metallschienen verwendet werden, um für einen lokalen Interconnect zu sorgen, wie nachstehend in Bezug auf die 9A-9C, 10A-10C, 11A-11C und 12A-12B beschrieben ist.
  • Die 9A-9C sind Draufsichten 900A-900C von Layoutentwürfen der beispielhaften Multiplexerschaltung 800 von 8A mit rückseitigen Metallschienen (z. B. in der M-1-Schicht) gemäß einer Ausführungsform. In einem Aspekt zeigen die Draufsichten 900A-900C eines Layoutentwurfs Layoutstrukturen für Komponenten in unterschiedlichen Schichten der integrierten Schaltung. In einem Aspekt enthält ein Layoutentwurf 900A, der in 9A gezeigt ist, Layoutstrukturen 970A-970E, die sich in X-Richtung erstrecken, und Layoutstrukturen 980A-980B, die sich in Y-Richtung erstrecken. Die Layoutstrukturen 970A-970E können Abmessungen und Orte von vorderseitigen Metallschienen (z. B. Mo-Schienen) anzeigen, und die Layoutstrukturen 980A, 980B können Abmessungen und Orte von vorderseitigen Metallschienen (z. B. Mi-Schienen) anzeigen. In einem Aspekt enthält ein Layoutentwurf 900B, der in 9B gezeigt ist, Layoutstrukturen 920A-920D, die sich in Y-Richtung erstrecken, und Layoutstrukturen 925A-925D. Die Layoutstrukturen 920A-920D können Abmessungen und Orte von Gate-Bereichen anzeigen, und die Layoutstrukturen 925A-925D können Abmessungen und Orte von Durchkontaktierungen zwischen den Gate-Bereichen und den vorderseitigen Metallschienen (z. B. den Mo-Schienen) anzeigen. In einem Aspekt enthält ein Layoutentwurf 900C, der in 9C gezeigt ist, Layoutstrukturen 940A-940B, die sich in X-Richtung erstrecken. Die Layoutstrukturen 940A, 940B können Abmessungen und Orte von rückseitigen Metallschienen (z. B. in der M-1-Schicht) anzeigen. Gemäß dem in den 9A-9C gezeigten Layoutentwurf können die kreuzgekoppelten Verbindungen 840A, 840B durch die vorderseitigen Metallschienen (z. B. die Mi-Schienen und die Mo-Schienen) gebildet werden. Darüber hinaus können Drain-Bereiche oder Source-Bereiche der Transistoren lokal durch die rückseitigen Metallschienen (z. B. die M-1-Schienen) zwischenverbunden sein.
  • Die 10A-10C sind Draufsichten 1000A-1000C eines Layoutentwurfs der beispielhaften Multiplexerschaltung 800 von 8A mit rückseitigen Metallschienen (z. B. in der M-1-Schicht) gemäß einer Ausführungsform. In einem Aspekt zeigen die Draufsichten 1000A-1000C eines Layoutentwurfs Layoutstrukturen für Komponenten in unterschiedlichen Schichten der integrierten Schaltung. In einem Aspekt ähnelt der in den Draufsichten 1000A-1000C gezeigte Layoutentwurf dem Layoutentwurf in den Draufsichten 900A-900C, die in den 9A-9C gezeigt sind, außer dass der in den 10A-10C gezeigte Layoutentwurf Layoutstrukturen 1070A-1070D für vier vorderseitige Metallschienen (z. B. Mo-Schienen) anstelle von fünf vorderseitigen Metallschienen enthält. Gemäß dem in den 10A-10C gezeigten Layoutentwurf können die kreuzgekoppelten Verbindungen 840A, 840B durch die vorderseitigen Metallschienen (z. B. die Mi-Schienen und die Mo-Schienen) gebildet werden. Darüber hinaus können Drain-Bereiche oder Source-Bereiche der Transistoren lokal durch die rückseitigen Metallschienen (z. B. die M-1-Schienen) zwischenverbunden sein.
  • Die 11A-11C sind Draufsichten eines Layoutentwurfs der beispielhaften Multiplexerschaltung 800 von 8A mit rückseitigen Metallschienen (z. B. der M-1-Schicht) gemäß einer Ausführungsform. In einem Aspekt zeigen die Draufsichten 1100A-1100C eines Layoutentwurfs Layoutstrukturen für Komponenten in unterschiedlichen Schichten der integrierten Schaltung. In einem Aspekt ähnelt der in den Draufsichten 1100A-1100C gezeigte Layoutentwurf dem Layoutentwurf in den Draufsichten 900A-900C, die in den 9A-9C gezeigt sind, außer dass der in den 11A-11C gezeigte Layoutentwurf Layoutstrukturen 1170A-1170C für drei vorderseitige Metallschienen (z. B. Mo-Schienen) anstelle von fünf vorderseitigen Metallschienen enthält. Gemäß dem in den 11A-11C gezeigten Layoutentwurf können die kreuzgekoppelten Verbindungen 840A, 840B durch die vorderseitigen Metallschienen (z. B. die Mi-Schienen und die Mo-Schienen) gebildet werden. Darüber hinaus können Drain-Bereiche oder Source-Bereiche der Transistoren lokal durch die rückseitigen Metallschienen (z. B. die M-1-Schienen) zwischenverbunden sein.
  • Die 12A und 12B sind Draufsichten 1200A-1200B eines Layoutentwurfs der beispielhaften Multiplexerschaltung 800 von 8A mit rückseitigen Metallschienen (z. B. in der M-1-Schicht) gemäß einer Ausführungsform. In einem Aspekt zeigen die Draufsichten 1200A-1200B eines Layoutentwurfs Layoutstrukturen für Komponenten in unterschiedlichen Schichten der integrierten Schaltung. In einem Aspekt enthält der in 12A gezeigte Layoutentwurf Layoutstrukturen 1230A-1230D, die Abmessungen und/oder Orte von aktiven Bereichen anzeigen, und Layoutstrukturen 1220A-1220C, die Abmessungen und/oder Orte von Gate-Bereichen anzeigen. Wie oben in Bezug auf 1B gezeigt, können Transistoren dort ausgebildet sein, wo sich die Layoutstrukturen 1230A-1230D für die aktiven Bereiche und die Layoutstrukturen 1220A-1220C für die Gate-Bereiche schneiden. In einem Beispiel sind verschiedene Transistoren entlang der Y-Richtung gemäß den Layoutstrukturen 1230A-1230D und 1220A-1220C ausgebildet.
  • In einem Aspekt können vorderseitige Metallschienen und rückseitige Metallschienen zum Zwischenverbinden verschiedener Komponenten ausgebildet sein. In einem Beispiel enthält der in 12A gezeigte Layoutentwurf auch Layoutstrukturen 1270A-1270D, die Abmessungen und/oder Orte von vorderseitigen Metallschienen (z. B. Mo-Schienen) anzeigen, und eine Layoutstruktur 1280, die eine Abmessung und/oder einen Ort einer vorderseitigen Metallschiene (z. B. einer M1-Schiene) anzeigt. In einem Beispiel enthält der in 12B gezeigte Layoutentwurf auch Layoutstrukturen 1240A-1240D, die Abmessungen und/oder Orte von rückseitigen Metallschienen (z. B. M-1-Schienen) anzeigen. Gemäß dem in den 12A-12B gezeigten Layoutentwurf können die kreuzgekoppelten Verbindungen 840A, 840B durch die vorderseitigen Metallschienen (z. B. die M1-Schiene und die Mo-Schienen) gebildet werden. Darüber hinaus können Drain-Bereiche oder Source-Bereiche der Transistoren lokal durch die rückseitigen Metallschienen (z. B. M-1-Schienen) zwischenverbunden sein.
  • 13A ist ein schematisches Diagramm einer beispielhaften Schaltung 1300 gemäß einer Ausführungsform. In einer Konfiguration ähnelt die Schaltung 1300 der Multiplexerschaltung 800 von 8A, außer dass Dummy-Transistoren D1, D2 hinzugefügt sind. Daher wird hier der Kürze halber eine detaillierte Beschreibung der identischen Abschnitte weggelassen. In einem Aspekt ermöglichen die Dummy-Transistoren D1, D2 einfache Platzierung und Zwischenverbindung verschiedener Komponenten.
  • 13B ist eine Draufsicht 1305 eines Layoutentwurfs der beispielhaften Schaltung 1300 von 13A gemäß einer Ausführungsform. In einem Aspekt enthält der in 13B gezeigte Layoutentwurf Layoutstrukturen 1310A, 1310B, die Abmessungen und/oder Orte von aktiven Bereichen anzeigen, und Layoutstrukturen 1320A-1320E, die Abmessungen und/oder Orte von Gate-Bereichen anzeigen. Die Layoutstrukturen 1310A, 1310B können sich in X-Richtung erstrecken, und die Layoutstrukturen 1320A-1320E können sich in Y-Richtung erstrecken. Wie oben in Bezug auf 1B gezeigt, können Transistoren dort ausgebildet sein, wo sich die Layoutstrukturen 1310A, 1310B für die aktiven Bereiche und die Layoutstrukturen 1320A-1320E für die Gate-Bereiche schneiden.
  • In einigen Ausführungsformen können vorderseitige Metallschienen und/oder rückseitige Metallschienen für lokale Zwischenverbindung verwendet werden. In einem Beispiel können ein Drain-Bereich und ein Source-Bereich eines Transistors, die gemäß den Layoutstrukturen 1330A, 1330B ausgebildet sind, für das Ausbilden des Dummy-Transistors D1 über einen lokalen Interconnect 1350 kurzgeschlossen bzw. elektrisch miteinander verbunden sein. In einem Beispiel können Gate-Bereiche, die gemäß den Layoutstrukturen 1320B, 1320D ausgebildet sind, über einen lokalen Interconnect 1352 kurzgeschlossen bzw. elektrisch miteinander verbunden sein. Der lokale Interconnect 1352 kann dem Steueranschluss B der Schaltung 1300 zugeordnet oder mit diesem verbunden sein. In einem Beispiel können ein Drain-Bereich oder ein Source-Bereich eines Transistors, der gemäß der Layoutstruktur 1330C ausgebildet ist, und ein Drain-Bereich oder ein Source-Bereich eines Transistors, der gemäß der Layoutstruktur 1330D ausgebildet ist, durch einen lokalen Interconnect 1354 kurzgeschlossen bzw. elektrisch miteinander verbunden sein. Der lokale Interconnect 1354 kann dem Ausgangsanschluss Z der Schaltung 1300 zugeordnet oder mit diesem verbunden sein. In ähnlicher Weise können in einem Beispiel ein Drain-Bereich und ein Source-Bereich eines Transistors, die gemäß den Layoutstrukturen 1330E, 1330F ausgebildet sind, für das Ausbilden des Dummy-Transistors D2 durch einen lokalen Interconnect 1356 kurzgeschlossen bzw. elektrisch miteinander verbunden sein. Die lokalen Interconnects 1350, 1352, 1354, 1356 können Metallschienen in der M-2-Schicht, der M-1-Schicht, der M0-Schicht, der M1-Schicht oder einer beliebigen Kombination davon sein.
  • In einem Aspekt ermöglichen die rückseitigen Metallschienen Flexibilität bei Platzierung und Routing von Komponenten, so dass die Layoutstrukturen 1320A-1320E, 1330A-1330F regelmäßige oder konsistente Formen haben können. Beispielsweise können die Layoutstrukturen 1320A-1320E zum Ausbilden der Gate-Bereiche gleiche oder ähnliche Formen haben und sich über die Layoutstrukturen 1330A, 1330B zum Ausbilden eines aktiven Bereichs erstrecken. Beispielsweise können die Layoutstrukturen 1330A-1330E zum Ausbilden der Source/Drain-Bereiche und/oder MD-Bereiche gleiche oder ähnliche Formen mit ausgerichteten Rändern aufweisen. Vorteilhafterweise ermöglichen solche regelmäßigen oder konsistenten Formen der Layoutstrukturen 1320A-1320E, 1330A-1330F, dass Komponenten von Transistoren (z. B. Gate-Bereiche, Source/Drain-Bereiche) konsistent ausgebildet werden, damit die Eigenschaften der Transistoren konsistenter sein können. Darüber hinaus kann die Schaltung 1300 in kompakter Form ausgebildet sein, da eine Anzahl von vorderseitigen Metallschienen und Durchkontaktierungen verringert werden kann.
  • Die 13C-13D sind Draufsichten 1360A, 1360B eines Layoutentwurfs der beispielhaften Multiplexerschaltung 1300 von 13A mit rückseitigen Metallschienen gemäß einer Ausführungsform. In einem Aspekt zeigen die Draufsichten 1360A, 1360B eines Layoutentwurfs Layoutstrukturen für Komponenten in unterschiedlichen Schichten der integrierten Schaltung. In einem Aspekt enthält der in 13C gezeigte Layoutentwurf Layoutstrukturen 1366A-1366D, die Abmessungen und/oder Orte von aktiven Bereichen anzeigen, und Layoutstrukturen 1364A-1364E, die Abmessungen und/oder Orte von Gate-Bereichen anzeigen.
  • In einem Aspekt können vorderseitige Metallschienen und rückseitige Metallschienen zum Zwischenverbinden verschiedener Komponenten ausgebildet sein. In einem Beispiel enthält der in 13C gezeigte Layoutentwurf auch Layoutstrukturen 1362A-1362C, die Abmessungen und/oder Orte von vorderseitigen Metallschienen (z. B. Mo-Schienen) anzeigen. In einem Beispiel enthält der in 13D gezeigte Layoutentwurf auch Layoutstrukturen 1368A-1368B, die Abmessungen und/oder Orte von rückseitigen Metallschienen (z. B. M-1-Schienen) anzeigen. Gemäß dem in den 13C-13D gezeigten Layoutentwurf können die kreuzgekoppelten Verbindungen 1352, 1354 durch die vorderseitigen Metallschienen (z. B. eine M1-Schiene und die M0-Schienen) und die rückseitigen Metallschienen (z. B. die M-1-Schienen) gebildet werden. In einem Beispiel kann ein Drain-Bereich oder ein Source-Bereich eines Transistors, der gemäß der Layoutstruktur 1366A ausgebildet ist, elektrisch über eine Durchkontaktierung, die gemäß einer Layoutstruktur 1369A ausgebildet ist, mit einer rückseitigen Metallschiene (z. B. einer M-1-Schiene) verbunden sein, die gemäß der Layoutstruktur 1368A ausgebildet ist. In ähnlicher Weise kann ein Source-Bereich oder ein Drain-Bereich des Transistors, der gemäß der Layoutstruktur 1366B ausgebildet ist, elektrisch über eine Durchkontaktierung, die gemäß einer Layoutstruktur 1369B ausgebildet ist, mit der rückseitigen Metallschiene (z. B. der M-1-Schiene) verbunden sein, die gemäß der Layoutstruktur 1368A ausgebildet ist. In einem Beispiel kann ein Drain-Bereich oder ein Source-Bereich eines Transistors, der gemäß der Layoutstruktur 1366C ausgebildet ist, elektrisch über eine Durchkontaktierung, die gemäß einer Layoutstruktur 1369C ausgebildet ist, mit einer rückseitigen Metallschiene (z. B. einer M-1-Schiene) verbunden sein, die gemäß der Layoutstruktur 1368B ausgebildet ist. In ähnlicher Weise kann ein Drain-Bereich oder ein Source-Bereich eines Transistors, der gemäß der Layoutstruktur 1366D ausgebildet ist, elektrisch über eine Durchkontaktierung, die gemäß einer Layoutstruktur 1369D ausgebildet ist, mit der rückseitigen Metallschiene (z. B. der M-1-Schiene) verbunden sein, die gemäß der Layoutstruktur 1368B ausgebildet ist. So können unterschiedliche Transistoren, die gemäß den Layoutstrukturen 1366C, 1366D ausgebildet sind, durch eine rückseitige Metallschiene, die gemäß der Layoutstruktur 1368B ausgebildet ist, elektrisch miteinander verbunden sein. In diesem in den 13C und 13D gezeigten Beispiel können sich die Layoutstrukturen 1368A, 1368B sowohl in X- als auch in Y-Richtung erstrecken, damit die Layoutstrukturen 1366A-1366D für die Source/Drain-Bereiche eine regelmäßige oder konsistente Struktur aufweisen können.
  • Die 13E bis 13F sind Draufsichten 1370A, 1370B eines Layoutentwurfs der beispielhaften Multiplexerschaltung 1300 von 13A mit rückseitigen Metallschienen gemäß einer Ausführungsform. In einem Aspekt zeigen die Draufsichten 1370A, 1370B eines Layoutentwurfs Layoutstrukturen für Komponenten in unterschiedlichen Schichten der integrierten Schaltung. In einem Aspekt ähnelt der in den 13E und 13F gezeigte Layoutentwurf dem in den 13C und 13D gezeigten Layoutentwurf, außer dass der Layoutentwurf, der in den 13E und 13F gezeigt ist, Layoutstrukturen 1376A-1376D, die Abmessungen und/oder Orte von Source/Drain-Bereichen mit unterschiedlicher Länge anzeigen, und Layoutstrukturen 1378A-1378C enthält, die Abmessungen und/oder Orte von rückseitigen Metallschienen (z. B. M-1-Schienen) anzeigen, die sich in X-Richtung erstrecken. Zum Beispiel erstrecken sich die Layoutstrukturen 1376C und 1376D in 13E so in Y-Richtung, dass sie die Layoutstruktur 1378B teilweise überlappen, die sich in X-Richtung erstreckt. In einem Aspekt kann ein Drain-Bereich oder ein Source-Bereich eines Transistors, der gemäß der Layoutstruktur 1376C ausgebildet ist, über eine Durchkontaktierung, die gemäß einer Layoutstruktur 1379D ausgebildet ist, elektrisch mit einer rückseitigen Metallschiene verbunden sein, die gemäß der Layoutstruktur 1378B ausgebildet ist. In ähnlicher Weise kann ein Drain-Bereich oder ein Source-Bereich eines Transistors, der gemäß der Layoutstruktur 1376D ausgebildet ist, über eine Durchkontaktierung, die gemäß einer Layoutstruktur 1379C ausgebildet ist, elektrisch mit der rückseitigen Metallschiene verbunden sein, die gemäß der Layoutstruktur 1378B ausgebildet ist. Somit können die Layoutstrukturen 1376A-1376D schwankende Strukturen aufweisen, wenn sich die Layoutstrukturen 1378A-1378C nur in eine Richtung (z. B. in X-Richtung) erstrecken.
  • Die 13G-13I sind Draufsichten 1380A-1380C eines Layoutentwurfs der beispielhaften Multiplexerschaltung 1300 von 13A mit rückseitigen Metallschienen gemäß einer Ausführungsform. In einem Aspekt zeigen die Draufsichten 1380A-1380C eines Layoutentwurfs Layoutstrukturen für Komponenten in unterschiedlichen Schichten der integrierten Schaltung. In einem Aspekt ähnelt der in den 13G-13I gezeigte Layoutentwurf dem in den 13C und 13D gezeigten Layoutentwurf, außer dass der Layoutentwurf, der in den 13G-13I gezeigt ist, Layoutstrukturen 1388A-1388C, die Abmessungen und/oder Orte von rückseitigen Metallschienen (z. B. M-1-Schienen) anzeigen, die sich in X-Richtung erstrecken, und Layoutstrukturen 1395A-1395B für andere rückseitige Metallschienen (z. B. M-2-Schienen) enthält, die sich in Y-Richtung erstrecken. In einem Aspekt können Transistoren über die rückseitigen Metallschienen (z. B. M-1-Schienen), die gemäß den Layoutstrukturen 1388A-1388C ausgebildet sind, die rückseitigen Metallschienen (z. B. M-2-Schienen), die gemäß den Layoutstrukturen 1395A-1395B ausgebildet sind, und durch Durchkontaktierungen verbunden sein, die gemäß Layoutstrukturen 1389A-1389B und 1398A-1398D ausgebildet sind. Die Verwendung unterschiedlicher Schichten von rückseitigen Metallschienen kann Flexibilität hinsichtlich Routing bzw. Verbindung verschiedener Komponenten einer integrierten Schaltung bieten. Darüber hinaus ermöglichen die rückseitigen Metallschienen Flexibilität bei Platzierung und Routing von Komponenten, so dass Layoutstrukturen zum Ausbilden der Transistoren (z. B. Drain-Bereiche, Source-Bereiche und Gate-Bereiche) regelmäßige oder konsistente Formen haben können. Vorteilhafterweise ermöglichen solche regelmäßigen oder konsistenten Formen der Layoutstruktur, dass Komponenten von Transistoren (z. B. Gate-Bereiche, Source/Drain-Bereiche) auf konsistente Weise ausgebildet werden, so dass die Eigenschaften von Transistoren konsistenter sein können.
  • 14A ist ein schematisches Diagramm einer beispielhaften Schaltung 1400 gemäß einer Ausführungsform. 14B ist eine Draufsicht 1450 eines Layoutentwurfs der beispielhaften Schaltung von 14A gemäß einer Ausführungsform. In einem Beispiel ist die Schaltung 1400 als Flipflop-Schaltung implementiert. In einem Aspekt enthält die Schaltung 1400 einen ersten Abschnitt 1410A und einen zweiten Abschnitt 1420B, die eine ähnliche Konfiguration wie die Schaltung 1300 in 13A aufweisen. Daher kann die Schaltung 1300 mit kreuzgekoppelten Verbindungen ausgebildet werden, wie in 14B gezeigt. Wie oben in Bezug auf 13B gezeigt, können rückseitige Metallschienen für den lokalen Interconnect verwendet werden. Eine solche Verwendung von rückseitigen Metallschienen ermöglicht Flexibilität bei Platzierung und Routing von Komponenten, so dass Layoutstrukturen zum Ausbilden der Transistoren (z. B. Drain-Bereiche, Source-Bereiche und Gate-Bereiche) regelmäßige oder konsistente Formen haben können. Vorteilhafterweise ermöglichen solche regelmäßigen oder konsistenten Formen der Layoutstruktur, dass Komponenten der Transistoren (z. B. Gate-Bereiche, Source/Drain-Bereiche) auf konsistente Weise ausgebildet werden, so dass die Eigenschaften der Transistoren konsistenter sein können. Darüber hinaus kann die Schaltung 1400 in kompakter Form ausgebildet sein, da eine Anzahl von vorderseitigen Metallschienen und Durchkontaktierungen verringert werden kann.
  • 15 ist ein Flussdiagramm eines Verfahrens 1500 zum Ausbilden bzw. Herstellen einer integrierten Schaltung gemäß einigen Ausführungsformen. Es versteht sich, dass weitere Vorgänge vor, während und/oder nach dem in 15 gezeigten Verfahren 1500 ausgeführt werden können. In einigen Ausführungsformen kann das Verfahren 1500 verwendet werden, um eine integrierte Schaltung gemäß verschiedenen hierin offenbarten Layoutentwürfen auszubilden.
  • In Vorgang 1510 des Verfahrens 1500 wird ein Layoutentwurf einer integrierten Schaltung erzeugt. Vorgang 1510 wird von einer Prozessorvorrichtung (z. B. dem Prozessor 1602 von 16) ausgeführt, die so konfiguriert ist, dass sie Befehle zum Erzeugen eines Layoutentwurfs ausführt. In einem Ansatz wird der Layoutentwurf erzeugt, indem über eine Nutzerschnittstelle Layoutentwürfe einer oder mehrerer Standardzellen platziert werden. In einem Ansatz wird der Layoutentwurf automatisch von einem Prozessor erzeugt, der ein Synthesewerkzeug ausführt, das einen Logikentwurf (z. B. Verilog) in einen entsprechenden Layoutentwurf umwandelt. In einigen Ausführungsformen wird der Layoutentwurf in einem GDSII- („Graphic Database System“)-Dateiformat gerendert.
  • In Vorgang 1520 des Verfahrens 1500 wird die integrierte Schaltung auf Basis des Layoutentwurfs gefertigt. In einigen Ausführungsformen umfasst Vorgang 1520 des Verfahrens 1500 ein Fertigen mindestens einer Maske auf Basis des Layoutentwurfs und ein Fertigen der integrierten Schaltung auf Basis der mindestens einen Maske. In einem Ansatz umfasst der Vorgang 1520 Vorgänge 1522, 1524, 1526, 1528, 1530. In Vorgang 1522 wird eine erste Schicht (z. B. eine BM-Schicht) mit einer ersten Metallschiene (z. B. einer rückseitigen Stromschiene) ausgebildet. In Vorgang 1524 wird eine zweite Schicht (z. B. eine M-2- oder M-1-Schicht) mit einer zweiten Metallschiene (z. B. einer rückseitigen Metallschiene) ausgebildet. In einem Aspekt liegt die zweite Schicht entlang einer Richtung (z. B. der Z-Richtung) über der ersten Schicht. In einem Ansatz kann eine erste Kontaktschicht (z. B. eine VB-Schicht), die eine oder mehrere Durchkontaktierungen enthält, zwischen der ersten Schicht und der zweiten Schicht ausgebildet werden. Die eine oder mehreren Durchkontaktierungen in der ersten Kontaktschicht (z. B. der VB-Schicht) können die erste Metallschiene (z. B. die rückseitige Stromschiene) und die zweite Metallschiene (z. B. die rückseitige Metallschiene) elektrisch verbinden. In Vorgang 1526 wird eine dritte Schicht (z. B. eine EPI-Schicht) mit einem aktiven Bereich eines Transistors entlang der Richtung (z. B. der Z-Richtung) über der zweiten Schicht ausgebildet. In einem Ansatz kann eine zweite Kontaktschicht (z. B. eine VDB-Schicht), die eine oder mehrere Durchkontaktierungen enthält, zwischen der zweiten Schicht und der dritten Schicht ausgebildet werden. In einem Aspekt können die eine oder mehreren Durchkontaktierungen in der zweiten Kontaktschicht (z. B. der VDB-Schicht) den Transistor und die rückseitige Metallschiene elektrisch verbinden. In Vorgang 1528 wird eine vierte Schicht (z. B. eine MD-Schicht) mit einer dritten Metallschiene (z. B. einem MD-Bereich) entlang der Richtung (z. B. der Z-Richtung) über der dritten Schicht ausgebildet. In einigen Ausführungsformen ist der MD-Bereich direkt mit dem aktiven Bereich verbunden. In Vorgang 1530 wird eine fünfte Schicht (z. B. eine M0-Schicht) mit einer Metallschiene (z. B. einer M0-Schiene) entlang der Richtung (z. B. der Z-Richtung) über der vierten Schicht ausgebildet. Bei einem Ansatz kann eine dritte Kontaktschicht (z. B. eine VD-Schicht) mit einer oder mehreren Durchkontaktierungen zwischen der vierten Schicht und der fünften Schicht ausgebildet werden. Die eine oder mehreren Durchkontaktierungen in der dritten Kontaktschicht (z. B. der VD-Schicht) können den MD-Bereich und die M0-Schiene elektrisch verbinden.
  • Vorteilhafterweise ermöglichen die rückseitige Stromschiene und die rückseitige Metallschiene Flexibilität bei Platzierung und Routing der Komponenten, so dass Layoutstrukturen zur Ausbildung von Transistoren (z. B. Drain-Strukturen, Source-Strukturen und Gate-Strukturen) regelmäßige oder konsistente Formen haben können. Vorteilhafterweise ermöglichen solche regelmäßigen oder konsistenten Formen der Layoutstrukturen, dass Komponenten (z. B. Source/Drain-Bereiche, Gate-Bereiche usw.) von Transistoren auf konsistente Weise ausgebildet werden, so dass die Eigenschaften von Transistoren konsistenter sein können. Darüber hinaus kann die integrierte Schaltung in kompakter Form ausgebildet werden, da eine Anzahl von vorderseitigen Metallschienen und Durchkontaktierungen verringert werden kann.
  • 16 ist eine schematische Ansicht eines Systems 1600 zum Entwerfen und Fertigen eines IC-Layoutentwurfs gemäß einigen Ausführungsformen. In einigen Ausführungsformen erzeugt oder platziert das System 1600 einen oder mehrere der hier beschriebenen IC-Layoutentwürfe. In einigen Ausführungsformen stellt das System 1600 einen oder mehrere ICs auf Basis des einen oder der mehreren hier beschriebenen IC-Layoutentwürfe her. Das System 1600 enthält einen Hardwareprozessor 1602 und ein nichtflüchtiges computerlesbares Speichermedium 1604, das beispielsweise mit dem Computerprogrammcode 1606, z. B. einem Satz ausführbarer Befehle, codiert ist. Das computerlesbare Speichermedium 1604 ist zur Kommunikation mit Fertigungsmaschinen zur Fertigung der integrierten Schaltung konfiguriert. Der Prozessor 1602 ist über einen Bus 1608 elektrisch mit dem computerlesbaren Speichermedium 1604 verbunden. Der Prozessor 1602 ist über den Bus 1608 auch elektrisch mit einer E/A-Schnittstelle 1610 verbunden. Eine Netzwerkschnittstelle 1612 ist ebenfalls über den Bus 1608 elektrisch mit dem Prozessor 1602 verbunden. Die Netzwerkschnittstelle 1612 ist mit einem Netzwerk 1614 verbunden, so dass der Prozessor 1602 und das computerlesbare Speichermedium 1604 über das Netzwerk 1614 eine Verbindung zu externen Elementen herstellen können. Der Prozessor 1602 ist so konfiguriert, dass er den Computerprogrammcode 1606 ausführt, der in dem computerlesbaren Speichermedium 1604 codiert ist, um zu bewirken, dass das System 1600 zum Ausführen eines Teils oder aller im Verfahren 1500 beschriebenen Vorgänge verwendet werden kann.
  • In einigen Ausführungsformen ist der Prozessor 1602 eine Zentraleinheit (CPU), ein Multiprozessor, ein verteiltes Verarbeitungssystem, eine anwendungsspezifische integrierte Schaltung (ASIC) und/oder eine geeignete Verarbeitungseinheit.
  • In einigen Ausführungsformen ist das computerlesbare Speichermedium 1604 ein elektronisches, magnetisches, optisches, elektromagnetisches, Infrarot- und/oder Halbleitersystem (oder -bauteil oder -vorrichtung). Beispielsweise enthält das computerlesbare Speichermedium 1604 einen Halbleiter- bzw. Festkörperspeicher, ein Magnetband, eine entfernbare Computerdiskette, einen Direktzugriffsspeicher (RAM), einen Festwertspeicher (ROM), eine feste Magnetplatte und/oder eine optische Platte. In einigen Ausführungsformen, die optische Platten verwenden, enthält das computerlesbare Speichermedium 1604 eine Compactdisc (CD-ROM), eine wiederbeschreibbare Compactdisc (CD-RW) und/oder eine digitale Videodisk (DVD).
  • In einigen Ausführungsformen speichert das Speichermedium 1604 den Computerprogrammcode 1606, der so konfiguriert ist, dass er das System 1600 veranlasst, das Verfahren 1500 auszuführen. In einigen Ausführungsformen speichert das Speichermedium 1604 auch Informationen, die zur Ausführung des Verfahrens 1500 benötigt werden, sowie Informationen, die während der Ausführung des Verfahrens 1500 erzeugt werden, beispielsweise einen Layoutentwurf 1616 und eine Nutzerschnittstelle 1618 und eine Fertigungseinheit 1620, und/oder einen Satz ausführbarer Befehle zum Ausführen der Vorgänge des Verfahrens 1500.
  • In einigen Ausführungsformen speichert das Speichermedium 1604 Befehle (z. B. den Computerprogrammcode 1606) zur Kommunikation mit Fertigungsmaschinen. Die Befehle (z. B. der Computerprogrammcode 1606) ermöglichen es dem Prozessor 1602, Fertigungsbefehle zu erzeugen, die von den Fertigungsmaschinen gelesen werden können, um das Verfahren 1500 während eines Fertigungsprozesses wirksam zu implementieren.
  • Das System 1600 enthält die E/A-Schnittstelle 1610. Die E/A-Schnittstelle 1610 ist mit externen Schaltungen verbunden. In einigen Ausführungsformen enthält die E/A-Schnittstelle 1610 eine Tastatur, ein Tastenfeld, eine Maus, einen Trackball, ein Trackpad und/oder Cursor-Richtungstasten zum Übertragen von Informationen und Befehlen an den Prozessor 1602.
  • Das System 1600 enthält auch die Netzwerkschnittstelle 1612, die mit dem Prozessor 1602 verbunden ist. Die Netzwerkschnittstelle 1612 ermöglicht es dem System 1600, mit dem Netzwerk 1614 zu kommunizieren, mit dem ein oder mehrere andere Computersysteme verbunden sind. Die Netzwerkschnittstelle 1612 enthält drahtlose Netzwerkschnittstellen wie BLUETOOTH, WIFI, WIMAX, GPRS oder WCDMA; oder kabelgebundene Netzwerkschnittstellen wie ETHERNET, USB oder IEEE-13154. In einigen Ausführungsformen ist das Verfahren 1500 in zwei oder mehr Systemen 1600 implementiert, und Informationen wie Layoutentwurf, Nutzerschnittstelle und Fertigungseinheit werden zwischen den verschiedenen Systemen 1600 durch das Netzwerk 1614 ausgetauscht.
  • Das System 1600 ist so konfiguriert, dass es Informationen bezüglich eines Layoutentwurfs über die E/A-Schnittstelle 1610 oder die Netzwerkschnittstelle 1612 empfängt. Die Informationen werden vom Bus 1608 an den Prozessor 1602 übertragen, um einen Layoutentwurf zum Erzeugen eines IC zu bestimmen. Der Layoutentwurf wird dann in dem computerlesbaren Medium 1604 als Layoutentwurf 1616 gespeichert. Das System 1600 ist so konfiguriert, dass es Informationen bezüglich einer Nutzerschnittstelle über die E/A-Schnittstelle 1610 oder die Netzwerkschnittstelle 1612 empfängt. Die Informationen werden auf dem computerlesbaren Medium 1604 als Nutzerschnittstelle 1618 gespeichert. Das System 1600 ist so konfiguriert, dass es Informationen bezüglich einer Fertigungseinheit über die E/A-Schnittstelle 1610 oder die Netzwerkschnittstelle 1612 empfängt. Die Informationen werden in dem computerlesbaren Medium 1604 als Fertigungseinheit 1620 gespeichert. In einigen Ausführungsformen enthält die Fertigungseinheit 1620 Fertigungsinformationen, die vom System 1600 verwendet werden.
  • In einigen Ausführungsformen ist das Verfahren 1500 als eigenständige Softwareanwendung zur Ausführung durch einen Prozessor implementiert. In einigen Ausführungsformen ist das Verfahren 1500 als Softwareanwendung implementiert, die Teil einer weiteren Softwareanwendung ist. In einigen Ausführungsformen ist das Verfahren 1500 als Plug-In für eine Softwareanwendung implementiert. In einigen Ausführungsformen ist das Verfahren 1500 als Softwareanwendung implementiert, die ein Teil eines EDA-Werkzeugs ist. In einigen Ausführungsformen ist das Verfahren 1500 als Softwareanwendung implementiert, die von einem EDA-Werkzeug verwendet wird. In einigen Ausführungsformen wird das EDA-Werkzeug verwendet, um einen Layoutentwurf der integrierten Schaltungsvorrichtung zu erzeugen. In einigen Ausführungsformen wird der Layoutentwurf auf einem nichtflüchtigen computerlesbaren Medium gespeichert. In einigen Ausführungsformen wird der Layoutentwurf unter Verwendung eines Werkzeugs wie VIRTUOSO®, erhältlich von CADENCE DESIGN SYSTEMS, Inc., oder eines anderen geeigneten Layouterzeugungswerkzeugs erzeugt. In einigen Ausführungsformen wird der Layoutentwurf auf Basis einer Netzliste erzeugt, die auf Grundlage des schematischen Entwurfs erstellt wird. In einigen Ausführungsformen wird das Verfahren 1500 durch ein Fertigungsgerät zur Fertigung einer integrierten Schaltung unter Verwendung eines Satzes von Masken implementiert, die auf Grundlage eines oder mehrerer Layoutentwürfe hergestellt werden, die vom System 1600 erzeugt werden. In einigen Ausführungsformen ist das System 1600 ein Fertigungsgerät (z. B. ein Fertigungswerkzeug 1622) zum Herstellen einer integrierten Schaltung unter Verwendung eines Satzes von Masken, die auf Grundlage eines oder mehrerer Layoutentwürfe der vorliegenden Offenbarung hergestellt werden. In einigen Ausführungsformen erzeugt das System 1600 von 16 Layoutentwürfe eines IC, die kleiner als in anderen Ansätzen sind. In einigen Ausführungsformen erzeugt das System 1600 von 16 Layoutentwürfe eines IC, die weniger Fläche als in anderen Ansätzen belegen.
  • 17 ist ein Blockdiagramm eines Fertigungssystems 1700 für integrierte Schaltungen (IC) und eines damit verbundenen IC-Fertigungsflusses gemäß mindestens einer Ausführungsform der vorliegenden Offenbarung.
  • In 17 enthält das IC-Fertigungssystem 1700 Entitäten wie beispielsweise ein Designhaus 1720, ein Maskenhaus 1730 und eine IC-Herstellung/-Fertigung („Fab“ bzw. Fabrik) 1740, die in den Entwurfs-, Entwicklungs- und Fertigungszyklen und/oder bei Dienstleistungen im Zusammenhang mit der Fertigung einer IC-Vorrichtung 1760 zusammenarbeiten. Die Entitäten im System 1700 sind durch ein Kommunikationsnetzwerk verbunden. In einigen Ausführungsformen ist das Kommunikationsnetzwerk ein einzelnes Netzwerk. In einigen Ausführungsformen besteht das Kommunikationsnetz aus mehreren verschiedenen Netzwerken, wie beispielsweise einem Intranet und dem Internet. Das Kommunikationsnetzwerk enthält drahtgebundene und/oder drahtlose Kommunikationskanäle. Jede Entität interagiert mit einer oder mehreren der anderen Entitäten und stellt Dienstleistungen für eine oder mehrere der anderen Entitäten bereit und/oder empfängt diese. In einigen Ausführungsformen gehören zwei oder mehr des Designhauses 1720, des Maskenhauses 1730 und der IC-Fabrik 1740 einer einzigen Firma. In einigen Ausführungsformen teilen sich zwei oder mehr des Designhauses 1720, des Maskenhauses 1730 und der IC-Fabrik 1740 eine gemeinsame Einrichtung und verwenden gemeinsame Ressourcen.
  • Das Designhaus (bzw. Designteam) 1720 erzeugt ein IC-Entwurfslayout 1722. Das IC-Entwurfslayout 1722 enthält verschiedene geometrische Strukturen, die für eine IC-Vorrichtung 1760 entworfen sind. Die geometrischen Strukturen entsprechen Strukturen von Metall-, Oxid- oder Halbleiterschichten, die die verschiedenen Komponenten der zu fertigenden IC-Vorrichtung 1760 bilden. Die verschiedenen Schichten bilden zusammen verschiedene IC-Merkmale. Beispielsweise enthält ein Teil des IC-Entwurfslayouts 1722 verschiedene IC-Merkmale, wie aktive Bereiche, Gate-Strukturen, Source-Strukturen und Drain-Strukturen, Metallleitungen oder Durchkontaktierungen einer Zwischenschichtverbindung und Öffnungen für Bondpads, die auf einem Halbleitersubstrat (wie einem Siliziumwafer) und verschiedenen auf dem Halbleitersubstrat angeordneten Materialschichten ausgebildet werden sollen. Das Designhaus 1720 implementiert ein geeignetes Entwurfsverfahren, um das IC-Entwurfslayout 1722 auszubilden. Das Entwurfsverfahren umfasst einen logischen Entwurf, einen physischen Entwurf und/oder Place-and-Route (bzw. Layoutsynthese). Das IC-Entwurfslayout 1722 wird in einer oder mehreren Dateien mit Informationen über die geometrischen Strukturen dargestellt. Beispielsweise kann das IC-Entwurfslayout 1722 in einem GDSII-Dateiformat oder einem DFII-Dateiformat dargestellt werden.
  • Das Maskenhaus 1730 enthält eine Maskendatenaufbereitung 1732 und eine Maskenfertigung 1734. Das Maskenhaus 1730 verwendet das IC-Entwurfslayout 1722, um eine oder mehrere Masken herzustellen, die zur Fertigung der verschiedenen Schichten der IC-Vorrichtung 1760 gemäß dem IC-Entwurfslayout 1722 verwendet werden sollen. Das Maskenhaus 1730 führt die Maskendatenaufbereitung 1732 durch, bei der das IC-Entwurfslayout 1722 in eine repräsentative Datei („RDF“) übersetzt wird. Die Datenaufbereitung 1732 für die Masken liefert die RDF zur Maskenfertigung 1734. Die Maskenfertigung 1734 enthält einen Maskenschreiber. Ein Maskenschreiber wandelt die RDF in ein Bild auf einem Substrat um, beispielsweise einer Maske (einem Retikel) oder einem Halbleiterwafer. Das Entwurfslayout wird durch die Maskendatenaufbereitung 1732 so manipuliert, dass es zu bestimmten Eigenschaften des Maskenschreibers und/oder Anforderungen der IC-Fabrik 1740 passt. In 17 sind die Maskendatenaufbereitung 1732 und die Maskenfertigung 1734 als getrennte Elemente gezeigt. In einigen Ausführungsformen können die Datenaufbereitung 1732 für die Masken und die Maskenfertigung 1734 gemeinsam als Datenaufbereitung für die Masken bezeichnet werden.
  • In einigen Ausführungsformen umfasst die Datenaufbereitung 1732 für die Masken optische Nahbereichskorrektur (OPC), die Lithographieverbesserungstechniken verwendet, um Bildfehler zu kompensieren, wie sie beispielsweise durch Beugung, Interferenz, andere Prozesseffekte und dergleichen entstehen können. Die OPC modifiziert das IC-Entwurfslayout 1722. In einigen Ausführungsformen enthält die Datenaufbereitung 1732 für die Masken ferner Auflösungsverbesserungstechniken (RET) wie beispielsweise außeraxiale Beleuchtung, Hilfsmerkmale unterhalb der Auflösungsgrenze (SRAF), Phasenverschiebungsmasken, andere geeignete Techniken und dergleichen oder Kombinationen davon. In einigen Ausführungsformen wird auch Inverslithographie-Technologie (ILT) verwendet, die OPC als ein inverses Abbildungsproblem behandelt.
  • In einigen Ausführungsformen umfasst die Datenaufbereitung 1732 für die Masken einen Maskenregelprüfer (MRC), der das IC-Entwurfslayout, das OPC-Prozesse durchlaufen hat, auf einen Satz von Maskenerstellungsregeln prüft, die bestimmte geometrische und/oder Verbindungsbeschränkungen enthalten, um ausreichende Abstände sicherzustellen, die Variabilität in den Halbleiterfertigungsprozessen zu berücksichtigen und dergleichen. In einigen Ausführungsformen modifiziert der MRC das IC-Entwurfslayout, um Einschränkungen während der Maskenfertigung 1734 zu kompensieren, die einen Teil der von der OPC durchgeführten Modifikationen rückgängig machen können, um die Maskenerstellungsregeln zu erfüllen.
  • In einigen Ausführungsformen enthält die Datenaufbereitung 1732 für die Masken Lithographieprozessprüfung (LPC), die eine Verarbeitung simuliert, die von der IC-Fabrik 1740 zur Fertigung der IC-Vorrichtung 1760 implementiert wird. Die LPC simuliert diese Verarbeitung auf Basis des IC-Entwurfslayouts 1722, um eine simulierte gefertigte Vorrichtung wie die IC-Vorrichtung 1760 zu erzeugen. Die Verarbeitungsparameter in der LPC-Simulation können Parameter, die verschiedenen Prozessen des IC-Fertigungszyklus zugeordnet sind, Parameter, die Werkzeugen zur IC-Herstellung zugeordnet sind, und/oder andere Aspekte des Herstellungsprozesses enthalten. Die LPC berücksichtigt verschiedene Faktoren wie Luftbildkontrast, Schärfentiefe („DOF“), Maskenfehlerverbesserungsfaktor („MEEF“), andere geeignete Faktoren und dergleichen oder Kombinationen davon. In einigen Ausführungsformen können die OPC und/oder der MRC zur weiteren Verbesserung des IC-Entwurfslayouts 1722 wiederholt werden, nachdem die simulierte gefertigte Vorrichtung durch die LPC erzeugt wurde, wenn die simulierte Vorrichtung nicht nahe genug einer Form ist, die die Entwurfsregeln erfüllt.
  • Es versteht sich, dass die obige Beschreibung der Datenaufbereitung 1732 für die Masken aus Gründen der Klarheit vereinfacht wurde. In einigen Ausführungsformen umfasst die Maskendatenaufbereitung 1732 zusätzliche Merkmale wie Logic Operation (LOP), um das IC-Entwurfslayout gemäß den Fertigungsregeln zu modifizieren. Zusätzlich können die Prozesse, die während der Maskendatenaufbereitung 1732 auf das IC-Entwurfslayout 1722 angewendet werden, in einer Vielzahl unterschiedlicher Sequenzen ausgeführt werden.
  • Nach der Maskendatenaufbereitung 1732 und während der Maskenfertigung 1734 wird eine Maske oder eine Gruppe von Masken auf Basis des modifizierten IC-Entwurfslayouts hergestellt. In einigen Ausführungsformen wird ein Elektronenstrahl (e-beam) oder ein Mechanismus aus mehreren Elektronenstrahlen verwendet, um eine Struktur auf einer Maske (Photomaske oder Retikel) auf Basis des modifizierten IC-Entwurfslayouts auszubilden. Die Maske kann durch verschiedene Technologien hergestellt werden. In einigen Ausführungsformen wird die Maske unter Verwendung von Binärtechnologie ausgebildet. In einigen Ausführungsformen enthält eine Maskenstruktur opake Bereiche und transparente Bereiche. Ein Strahlenbündel, wie beispielsweise ein Ultraviolett- (UV)-Strahl, der zum Freilegen einer auf einem Wafer beschichteten lichtempfindlichen Materialschicht (z. B. Photoresist) verwendet wird, wird durch die opaken Bereiche blockiert und durch die transparenten Bereiche durchgelassen. In einem Beispiel enthält eine binäre Maske ein transparentes Substrat (z. B. Quarzglas) und ein opakes Material (z. B. Chrom), das in den opaken Bereichen der Maske beschichtet wird. In einem weiteren Beispiel wird die Maske unter Verwendung einer Phasenverschiebungstechnologie ausgebildet. Bei Phasenverschiebungsmasken (PSM) sind verschiedene Merkmale in der auf der Maske ausgebildeten Struktur so konfiguriert, dass sie eine geeignete Phasendifferenz aufweisen, um Auflösung und Bildqualität zu verbessern. In verschiedenen Beispielen kann die Phasenverschiebungsmaske eine Halbton-PSM oder eine alternierende PSM sein. Die durch die Maskenfertigung 1734 erzeugten Masken werden in einer Vielzahl von Prozessen verwendet. Beispielsweise werden solche Masken in Ionenimplantationsprozessen zum Ausbilden verschiedener dotierter Bereiche in dem Halbleiterwafer, in Ätzprozessen zum Ausbilden verschiedener Ätzbereiche in dem Halbleiterwafer und/oder in anderen geeigneten Prozessen verwendet.
  • Die IC-Fabrik 1740 ist eine IC-Fertigungsentität, die eine oder mehrere Fertigungsanlagen zur Herstellung einer Vielzahl verschiedener IC-Produkte enthält. In einigen Ausführungsformen ist die IC-Fabrik 1740 eine Halbleiter-Foundry. Beispielsweise kann es eine Fertigungseinrichtung für die Front-End-Fertigung einer Mehrzahl von IC-Produkten geben (Front-End-of-Line- (FEOL)-Fertigung), während eine zweite Fertigungseinrichtung für die Back-End-Fertigung für Zwischenverbindung und Verpackung der IC-Produkte (Back-End-of-Line- (BEOL)-Fertigung) sorgen kann, und eine dritte Fertigungseinrichtung kann andere Dienstleistungen für die Foundry-Entität erbringen.
  • Die IC-Fabrik 1740 verwendet die vom Maskenhaus 1730 hergestellte Maske (oder Masken), um die IC-Vorrichtung 1760 herzustellen. Somit verwendet die IC-Fabrik 1740 zumindest indirekt das IC-Entwurfslayout 1722, um die IC-Vorrichtung 1760 herzustellen. In einigen Ausführungsformen wird ein Halbleiterwafer 1742 von der IC-Fabrik 1740 unter Verwendung der Maske (oder Masken) hergestellt, um die IC-Vorrichtung 1760 auszubilden. Der Halbleiterwafer 1742 enthält ein Siliziumsubstrat oder ein anderes geeignetes Substrat mit darauf ausgebildeten Materialschichten. Der Halbleiterwafer enthält ferner verschiedene dotierte Bereichen, dielektrische Merkmale, Mehrebenen-Interconnects und/oder dergleichen (in nachfolgenden Fertigungsschritten ausgebildet).
  • Das System 1700 ist so gezeigt, dass es das Designhaus 1720, das Maskenhaus 1730 oder die IC-Fabrik 1740 als getrennte Komponenten oder Entitäten aufweist. Es versteht sich jedoch, dass das Designhaus 1720, das Maskenhaus 1730 und/oder die IC-Fabrik 1740 Teil einer gleichen Komponente oder Entität sein können.
  • Details bezüglich eines Fertigungssystems für integrierte Schaltungen (ICs) (z. B. des Systems 1700 von 17) und eines damit verbundenen IC-Fertigungsflusses sind z.B. in der US-Patentveröffentlichung Nr. 9,256,709 , erteilt am 9. Februar 2016, der US-Offenlegungsschrift Nr. 20150278429 , veröffentlicht am 1. Oktober 2015, der US-Offenlegungsschrift Nr. 20100040838 , veröffentlicht am 6. Februar 2014, und der US-Patentveröffentlichung Nr. 7,260,442 , erteilt am 21. August 2007 zu finden, deren Gesamtheit hiermit jeweils aufgenommen wird.
  • Ein Aspekt dieser Beschreibung betrifft eine integrierte Schaltung. In einigen Ausführungsformen enthält die integrierte Schaltung eine erste Schicht mit einer ersten Metallschiene. In einigen Ausführungsformen enthält die integrierte Schaltung eine zweite Schicht mit einer zweiten Metallschiene, wobei sich die zweite Schicht entlang einer ersten Richtung über der ersten Schicht befindet. In einigen Ausführungsformen enthält die integrierte Schaltung eine dritte Schicht mit einem aktiven Bereich eines Transistors, wobei sich die dritte Schicht entlang der ersten Richtung über der zweiten Schicht befindet. In einigen Ausführungsformen enthält die integrierte Schaltung eine vierte Schicht mit einer dritten Metallschiene, wobei sich die vierte Schicht entlang der ersten Richtung über der dritten Schicht befindet. In einigen Ausführungsformen enthält die integrierte Schaltung eine fünfte Schicht mit einer vierten Metallschiene, wobei sich die fünfte Schicht entlang der ersten Richtung über der vierten Schicht befindet.
  • Ein Aspekt dieser Beschreibung betrifft ein Verfahren zum Ausbilden einer integrierten Schaltung. In einigen Ausführungsformen umfasst das Verfahren ein Ausbilden einer ersten Schicht mit einer ersten Metallschiene. In einigen Ausführungsformen umfasst das Verfahren ein Ausbilden einer zweiten Schicht mit einer zweiten Metallschiene, wobei sich die zweite Schicht entlang einer ersten Richtung über der ersten Schicht befindet. In einigen Ausführungsformen umfasst das Verfahren ein Ausbilden einer dritten Schicht mit einem aktiven Bereich eines Transistors, wobei sich die dritte Schicht entlang der ersten Richtung über der zweiten Schicht befindet. In einigen Ausführungsformen umfasst das Verfahren ein Ausbilden einer vierten Schicht mit einer dritten Metallschiene, wobei sich die vierte Schicht entlang der ersten Richtung über der dritten Schicht befindet. In einigen Ausführungsformen umfasst das Verfahren ein Ausbilden einer fünften Schicht mit einer vierten Metallschiene, wobei sich die fünfte Schicht entlang der ersten Richtung über der vierten Schicht befindet.
  • Ein Aspekt dieser Beschreibung betrifft eine integrierte Schaltung. In einigen Ausführungsformen enthält die integrierte Schaltung eine erste Schicht mit einem aktiven Bereich eines Transistors. In einigen Ausführungsformen enthält die integrierte Schaltung eine zweite Schicht mit einer ersten Metallschiene, die direkt mit einer ersten Seite des aktiven Bereichs des Transistors verbunden ist, wobei sich die zweite Schicht entlang einer ersten Richtung über der ersten Schicht befindet. In einigen Ausführungsformen enthält die integrierte Schaltung eine dritte Schicht mit einer zweiten Metallschiene, die über eine erste Durchkontaktierung mit einer zweiten Seite des aktiven Bereichs des Transistors verbunden ist, wobei die zweite Seite von der ersten Seite weg gerichtet ist. In einem Aspekt befindet sich die erste Schicht entlang der ersten Richtung über der dritten Schicht. In einigen Ausführungsformen enthält die integrierte Schaltung eine vierte Schicht mit einer dritten Metallschiene, die über eine zweite Durchkontaktierung mit der zweiten Metallschiene verbunden ist, wobei sich die dritte Schicht entlang der ersten Richtung über der vierten Schicht befindet. In einigen Ausführungsformen enthält die integrierte Schaltung einen weiteren aktiven Bereich des Transistors. In einigen Ausführungsformen enthält die zweite Schicht eine vierte Metallschiene, die direkt mit einer ersten Seite des weiteren aktiven Bereichs des Transistors verbunden ist. In einigen Ausführungsformen enthält die dritte Schicht eine fünfte Metallschiene, die über eine dritte Durchkontaktierung mit einer zweiten Seite des weiteren aktiven Bereichs des Transistors verbunden ist. Die dritte Metallschiene kann über die zweite Metallschiene eine Versorgungsspannung für den aktiven Bereich des Transistors bereitstellen, wobei die fünfte Metallschiene ein elektrisches Signal zu oder von dem weiteren aktiven Bereich des Transistors leiten kann.
  • Das Vorangehende beschreibt Merkmale von mehreren Ausführungsformen, so dass Fachleute die Aspekte der vorliegenden Offenbarung besser verstehen können. Fachleute sollten anerkennen, dass sie die vorliegende Offenbarung leicht als Basis verwenden können, um weitere Prozesse und Strukturen zu entwerfen oder zu modifizieren, um die gleichen Ziele zu erreichen und/oder die gleichen Vorteile der hier eingeführten Ausführungsformen zu realisieren. Fachleute sollten auch erkennen, dass solche äquivalenten Konstruktionen nicht von dem Geist und Schutzumfang der vorliegenden Offenbarung abweichen und dass sie verschiedene Änderungen, Ersetzungen und Modifikationen hier vornehmen können, ohne von dem Geist und Schutzumfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 9256709 [0073]
    • US 20150278429 [0073]
    • US 20100040838 [0073]
    • US 7260442 [0073]

Claims (20)

  1. Integrierte Schaltung, aufweisend: eine erste Schicht mit einer ersten Metallschiene; eine zweite Schicht mit einer zweiten Metallschiene, wobei sich die zweite Schicht entlang einer ersten Richtung über der ersten Schicht befindet; eine dritte Schicht mit einem aktiven Bereich eines Transistors, wobei sich die dritte Schicht entlang der ersten Richtung über der zweiten Schicht befindet; eine vierte Schicht mit einer dritten Metallschiene, wobei sich die vierte Schicht entlang der ersten Richtung über der dritten Schicht befindet; und eine fünfte Schicht mit einer vierten Metallschiene, wobei sich die fünfte Schicht entlang der ersten Richtung über der vierten Schicht befindet.
  2. Integrierte Schaltung nach Anspruch 1, wobei die erste Metallschiene dazu eingerichte ist, über die zweite Metallschiene eine Versorgungsspannung für den aktiven Bereich des Transistors bereitzustellen.
  3. Integrierte Schaltung nach Anspruch 1 oder 2, wobei die dritte Metallschiene dazu eingerichtet ist, ein elektrisches Signal von oder zu dem Transistor zu leiten.
  4. Integrierte Schaltung nach einem der vorhergehenden Ansprüche, wobei die erste Metallschiene über eine erste Durchkontaktierung elektrisch mit der zweiten Metallschiene verbunden ist und die zweite Metallschiene über eine zweite Durchkontaktierung elektrisch mit einer ersten Seite des aktiven Bereichs des Transistors verbunden ist.
  5. Integrierte Schaltung nach einem der vorhergehenden Ansprüche, wobei die dritte Metallschiene direkt mit einer zweiten Seite des aktiven Bereichs des Transistors verbunden ist.
  6. Integrierte Schaltung nach einem der vorhergehenden Ansprüche, wobei die vierte Schicht enthält: eine fünfte Metallschiene, die direkt mit einem weiteren aktiven Bereich des Transistors verbunden ist.
  7. Integrierte Schaltung nach Anspruch 6, wobei sich die dritte Metallschiene in einer zweiten Richtung senkrecht zur ersten Richtung erstreckt, wobei die dritte Metallschiene ein erstes Ende und ein zweites Ende aufweist, und wobei sich die fünfte Metallschiene in der zweiten Richtung erstreckt, wobei die fünfte Metallschiene ein drittes Ende und ein viertes Ende aufweist, wobei das erste Ende der dritten Metallschiene und das dritte Ende der fünften Metallschiene zueinander ausgerichtet sind, und wobei das zweite Ende der dritten Metallschiene und des vierten Endes der fünften Metallschiene zueinander ausgerichtet sind.
  8. Integrierte Schaltung nach Anspruch 7, wobei die zweite Schicht enthält: eine sechste Metallschiene, die mit dem weiteren aktiven Bereich des Transistors verbunden ist.
  9. Integrierte Schaltung nach Anspruch 8, wobei die sechste Metallschiene mit einem aktiven Bereich eines weiteren Transistors verbunden ist.
  10. Integrierte Schaltung nach Anspruch 9, ferner aufweisend: eine siebte Metallschiene des Transistors, die sich in der zweiten Richtung erstreckt, wobei die siebte Metallschiene zwischen dem aktiven Bereich des Transistors und dem weiteren aktiven Bereich des Transistors angeordnet ist, wobei die siebte Metallschiene die sechste Metallschiene teilweise überlappt.
  11. Integrierte Schaltung nach einem der vorhergehenden Ansprüche, wobei sich die vierte Metallschiene in einer zweiten Richtung senkrecht zur ersten Richtung erstreckt, wobei sich die erste Metallschiene in der zweiten Richtung erstreckt.
  12. Integrierte Schaltung nach Anspruch 11, wobei sich die zweite Metallschiene in einer dritten Richtung erstreckt, wobei die erste Richtung senkrecht zur zweiten Richtung und zur dritten Richtung ist.
  13. Integrierte Schaltung nach Anspruch 11, wobei sich die zweite Metallschiene in der zweiten Richtung erstreckt.
  14. Integrierte Schaltung nach einem der vorhergehenden Ansprüche, ferner aufweisend: eine sechste Schicht mit einer fünften Metallschiene zwischen der ersten Schicht und der zweiten Schicht, wobei sich die zweite Metallschiene in einer zweiten Richtung erstreckt, wobei sich die fünfte Metallschiene sich in einer dritten Richtung erstreckt, und wobei die erste Richtung senkrecht zur zweiten Richtung zur dritten Richtung ist.
  15. Verfahren zum Ausbilden einer integrierten Schaltung, das Verfahren umfassend: Ausbilden einer ersten Schicht mit einer ersten Metallschiene; Ausbilden einer zweiten Schicht mit einer zweiten Metallschiene, wobei sich die zweite Schicht entlang einer ersten Richtung über der ersten Schicht befindet; Ausbilden einer dritten Schicht mit einem aktiven Bereich eines Transistors, wobei sich die dritte Schicht entlang der ersten Richtung über der zweiten Schicht befindet; Ausbilden einer vierten Schicht mit einer dritten Metallschiene, wobei sich die vierte Schicht entlang der ersten Richtung über der dritten Schicht befindet; und Ausbilden einer fünften Schicht mit einer vierten Metallschiene, wobei sich die fünfte Schicht entlang der ersten Richtung über der vierten Schicht befindet.
  16. Verfahren nach Anspruch 15, wobei die erste Metallschiene dazu eingerichtet ist, dem aktiven Bereich des Transistors über die zweite Metallschiene eine Versorgungsspannung bereitzustellen, wobei die dritte Metallschiene dazu eingerichtet ist, ein elektrisches Signal von oder zu dem Transistor zu leiten.
  17. Verfahren nach Anspruch 15 oder 16, ferner umfassend: Ausbilden einer ersten Durchkontaktierung zum elektrischen Verbinden der ersten Metallschiene mit der zweiten Metallschiene; und Ausbilden einer zweiten Durchkontaktierung zum elektrischen Verbinden der zweiten Metallschiene mit dem aktiven Bereich des Transistors.
  18. Integrierte Schaltung, aufweisend: eine erste Schicht mit einem aktiven Bereich eines Transistors; eine zweite Schicht mit einer ersten Metallschiene, die direkt mit einer ersten Seite des aktiven Bereichs des Transistors verbunden ist, wobei sich die zweite Schicht entlang einer ersten Richtung über der ersten Schicht befindet; eine dritte Schicht mit einer zweiten Metallschiene, die über eine erste Durchkontaktierung mit einer zweiten Seite des aktiven Bereichs des Transistors verbunden ist, wobei die zweite Seite von der ersten Seite weg zeigt, wobei sich die erste Schicht entlang der ersten Richtung über der dritten Schicht befindet; und eine vierte Schicht mit einer dritten Metallschiene, die über eine zweite Durchkontaktierung mit der zweiten Metallschiene verbunden ist, wobei sich die dritte Schicht entlang der ersten Richtung über der vierten Schicht befindet.
  19. Integrierte Schaltung nach Anspruch 18, ferner aufweisend: einen weiteren aktiven Bereich des Transistors; wobei die zweite Schicht eine vierte Metallschiene enthält, die direkt mit einer ersten Seite des weiteren aktiven Bereichs des Transistors verbunden ist; und wobei die dritte Schicht eine fünfte Metallschiene enthält, die über eine dritte Durchkontaktierung mit einer zweiten Seite des weiteren aktiven Bereichs des Transistors verbunden ist.
  20. Integrierte Schaltung nach Anspruch 19, wobei die dritte Metallschiene dazu eingerichtet ist, über die zweite Metallschiene eine Versorgungsspannung für den aktiven Bereich des Transistors bereitzustellen, wobei die fünfte Metallschiene dazu eingerichtet ist, ein elektrisches Signal zu oder von dem weiteren aktiven Bereich des Transistors zu leiten.
DE102020119415.3A 2020-06-12 2020-07-23 Integrierte schaltung mit rückseitiger stromschiene und rückseitigem interconnect Pending DE102020119415A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/900,687 2020-06-12
US16/900,687 US11296070B2 (en) 2020-06-12 2020-06-12 Integrated circuit with backside power rail and backside interconnect

Publications (1)

Publication Number Publication Date
DE102020119415A1 true DE102020119415A1 (de) 2021-12-16

Family

ID=77808932

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020119415.3A Pending DE102020119415A1 (de) 2020-06-12 2020-07-23 Integrierte schaltung mit rückseitiger stromschiene und rückseitigem interconnect

Country Status (5)

Country Link
US (2) US11296070B2 (de)
KR (2) KR20210154689A (de)
CN (1) CN113451201A (de)
DE (1) DE102020119415A1 (de)
TW (1) TWI794752B (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11444073B2 (en) 2020-10-27 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Power distribution network

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220230947A1 (en) * 2021-01-18 2022-07-21 Samsung Electronics Co., Ltd. Backside power distribution network semiconductor architecture using direct epitaxial layer connection and method of manufacturing the same
US20240079407A1 (en) * 2022-09-07 2024-03-07 Qualcomm Incorporated Folded series switches

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7260442B2 (en) 2004-03-03 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for mask fabrication process control
US20100040838A1 (en) 2008-08-15 2010-02-18 Abdallah David J Hardmask Process for Forming a Reverse Tone Image
US20150278429A1 (en) 2014-04-01 2015-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. System and Method for Integrated Circuit Manufacturing
US9256709B2 (en) 2014-02-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit mask patterning
WO2017111792A1 (en) 2015-12-23 2017-06-29 Intel Corporation Fabrication and use of through silicon vias on double sided interconnect device
US20200035560A1 (en) 2016-08-26 2020-01-30 Intel Corporation Integrated circuit device structures and double-sided fabrication techniques
DE112016007503T5 (de) 2016-12-07 2020-02-20 Intel Corporation Integrierte-Schaltung-Bauelement mit Rückseiten-Zwischenverbindung zu tiefem Source/Drain-Halbleiter
US20200135646A1 (en) 2018-10-26 2020-04-30 International Business Machines Corporation Power distribution networks for monolithic three-dimensional semiconductor integrated circuit devices

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9257439B2 (en) * 2014-02-27 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET SRAM
US9865544B2 (en) * 2015-10-05 2018-01-09 Samsung Electronics Co., Ltd. Semiconductor device layout having a power rail
EP3229270A1 (de) * 2016-04-06 2017-10-11 IMEC vzw Leistungsverteilungsnetzwerk für integrierte schaltungen
US10700207B2 (en) 2017-11-30 2020-06-30 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device integrating backside power grid and related integrated circuit and fabrication method
DE102018127446B4 (de) * 2017-11-30 2023-11-16 Taiwan Semiconductor Manufacturing Co. Ltd. Metallschienenleiter für nichtplanare Halbleiterbauteile und Verfahren zur Bildung derselben
US11055469B2 (en) * 2018-07-31 2021-07-06 Taiwan Semiconductor Manufacturing Company Ltd. Power structure with power pick-up cell connecting to buried power rail
DE102019121157B4 (de) * 2018-09-06 2024-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Transfer-gate-struktur, layout, verfahren und system
US10878161B2 (en) * 2018-09-28 2020-12-29 Taiwan Semiconductor Manufacturing Company Ltd. Method and structure to reduce cell width in integrated circuits
US10811415B2 (en) * 2018-10-25 2020-10-20 Samsung Electronics Co., Ltd. Semiconductor device and method for making the same

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7260442B2 (en) 2004-03-03 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for mask fabrication process control
US20100040838A1 (en) 2008-08-15 2010-02-18 Abdallah David J Hardmask Process for Forming a Reverse Tone Image
US9256709B2 (en) 2014-02-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit mask patterning
US20150278429A1 (en) 2014-04-01 2015-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. System and Method for Integrated Circuit Manufacturing
WO2017111792A1 (en) 2015-12-23 2017-06-29 Intel Corporation Fabrication and use of through silicon vias on double sided interconnect device
US20200035560A1 (en) 2016-08-26 2020-01-30 Intel Corporation Integrated circuit device structures and double-sided fabrication techniques
DE112016007503T5 (de) 2016-12-07 2020-02-20 Intel Corporation Integrierte-Schaltung-Bauelement mit Rückseiten-Zwischenverbindung zu tiefem Source/Drain-Halbleiter
US20200135646A1 (en) 2018-10-26 2020-04-30 International Business Machines Corporation Power distribution networks for monolithic three-dimensional semiconductor integrated circuit devices

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11444073B2 (en) 2020-10-27 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Power distribution network
DE102020129832B4 (de) 2020-10-27 2024-01-04 Taiwan Semiconductor Manufacturing Co. Ltd. Leistungsverteilungsnetzwerk
US11984443B2 (en) 2020-10-27 2024-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Power distribution network

Also Published As

Publication number Publication date
TWI794752B (zh) 2023-03-01
CN113451201A (zh) 2021-09-28
US11296070B2 (en) 2022-04-05
KR20210154689A (ko) 2021-12-21
US11984441B2 (en) 2024-05-14
TW202213161A (zh) 2022-04-01
KR20220121761A (ko) 2022-09-01
US20220199608A1 (en) 2022-06-23
US20210391318A1 (en) 2021-12-16

Similar Documents

Publication Publication Date Title
DE102019129048B4 (de) Halbleitervorrichtung mit füllerzellregion, verfahren zur erzeugung eines layoutdiagramms und system für dafür
DE102019116952B4 (de) Integrierte-schaltkreis-struktur, layout-schaubild-verfahren und system
DE102020119415A1 (de) Integrierte schaltung mit rückseitiger stromschiene und rückseitigem interconnect
DE102018108579B4 (de) Integrierte schaltung und verfahren zu deren herstellung
DE102017125395A1 (de) Zellstrunkturen und Halbleitervorrichtungen damit
DE102019117778A1 (de) Speicherzellenschaltung und verfahren zu derer herstellung
DE102019123621B4 (de) Routungsressourcenverbesserndes verfahren zum generieren von layout-diagrammen, und system dafür
DE102019128571B4 (de) Verfahren zum erzeugen eines layoutdiagramms mit zelle mit darauf basierenden stiftmustern und halbleitervorrichtung
DE102019121157B4 (de) Transfer-gate-struktur, layout, verfahren und system
DE102020119280A1 (de) Platzbedarf für multi-bit-flip-flop
DE102021110414A1 (de) Vier-cpp-breite speicherzelle mit vergrabenem leistungsgitter und verfahren zu deren herstellung
DE102019116733A1 (de) Verfahren, vorrichtung und system eines integrierten schaltungslayouts
DE102021110387A1 (de) Integrierte schaltungsanlage und verfahren
DE102019118660A1 (de) Integrierter Schaltkreis und Verfahren zu seiner Herstellung
DE102020115617B4 (de) Halbleitervorrichtung, integrierte Schaltung und darauf basierendes System
DE102019125900B4 (de) Metallschnittgebiet-positionierungsverfahren und system
DE102020109326B4 (de) Ic-vorrichtung, verfahren zum herstellen und verfahren zum herstellen eines layout-diagramms
DE102020109522A1 (de) Integrierter schaltkreis
DE102019125461A1 (de) Halbleiterstruktur, vorrichtung und verfahren
DE102020124907B4 (de) Integrierte schaltung
DE102022132158A1 (de) Verfahren, system und computerprogrammprodukt zum entwerfen von integrierten schaltkreisen
DE102020114939B4 (de) Gate-strukturen für halbleitervorrichtungen
DE102021108836A1 (de) Halbleiterbauelement mit v2v-schiene und herstellungsverfahren davon
DE102021105450B4 (de) Integrierte Schaltungsvorrichtung, System und Verfahren
DE102021100627A1 (de) Integrierte schaltungsvorrichtung und verfahren

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication