DE102019118660A1 - Integrierter Schaltkreis und Verfahren zu seiner Herstellung - Google Patents

Integrierter Schaltkreis und Verfahren zu seiner Herstellung Download PDF

Info

Publication number
DE102019118660A1
DE102019118660A1 DE102019118660.9A DE102019118660A DE102019118660A1 DE 102019118660 A1 DE102019118660 A1 DE 102019118660A1 DE 102019118660 A DE102019118660 A DE 102019118660A DE 102019118660 A1 DE102019118660 A1 DE 102019118660A1
Authority
DE
Germany
Prior art keywords
layout
gate
contact
active region
level
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102019118660.9A
Other languages
English (en)
Inventor
Shih-Wei Peng
Cheng-Chi Chuang
Chi-Ming Lai
Jiann-Tyng Tzeng
Wei-Cheng Lin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102019118660A1 publication Critical patent/DE102019118660A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/02Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components
    • H03K19/08Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using semiconductor devices
    • H03K19/094Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using semiconductor devices using field-effect transistors
    • H03K19/0944Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using semiconductor devices using field-effect transistors using MOSFET or insulated gate field-effect transistors, i.e. IGFET
    • H03K19/0948Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using semiconductor devices using field-effect transistors using MOSFET or insulated gate field-effect transistors, i.e. IGFET using CMOS or complementary insulated gate field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/20Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits characterised by logic function, e.g. AND, OR, NOR, NOT circuits

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Engineering & Computer Science (AREA)
  • Computing Systems (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Architecture (AREA)
  • Manufacturing & Machinery (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

Ein integrierter Schaltkreis enthält ein erstes Gate, ein zweites Gate, einen ersten Kontakt und eine erste Isolierschicht. Das erste Gate erstreckt sich in der ersten Richtung und befindet sich auf einer ersten Ebene. Das zweite Gate erstreckt sich in der ersten Richtung, befindet sich auf der ersten Ebene und ist von dem ersten Gate in einer zweiten Richtung getrennt, die von der ersten Richtung verschieden ist. Der erste Kontakt erstreckt sich in der zweiten Richtung, überlappt das erste Gate und das zweite Gate, befindet sich auf einer zweiten Ebene, die von der ersten Ebene verschieden ist, und ist mit dem mindestens ersten Gate gekoppelt. Die erste isolierende Schicht erstreckt sich in der zweiten Richtung, überlappt das erste Gate und das zweite Gate, und liegt zwischen dem zweiten Gate und dem ersten Kontakt.

Description

  • PRIORITÄTSANSPRUCH
  • Diese Anmeldung beansprucht die Priorität der vorläufigen US-Anmeldung Nr. 62/698,762 , eingereicht am 16. Juli 2018, die hiermit durch Bezugnahme in vollem Umfang in den vorliegenden Text aufgenommen wird.
  • HINTERGRUND
  • Der jüngste Trend in der Miniaturisierung integrierter Schaltkreise (Integrated Circuits, ICs) hat zu kleineren Vorrichtungen geführt, die weniger Strom verbrauchen und dennoch mehr Funktionalität bei höheren Geschwindigkeiten bieten. Der Miniaturisierungsprozess hat auch zu strengeren Design- und Herstellungsspezifikationen sowie Herausforderungen im Hinblick auf die Zuverlässigkeit geführt. Verschiedene Electronic Design Automation (EDA)-Tools generieren, optimieren und verifizieren Standardzellenlayout-Designs für integrierte Schaltkreise und gewährleisten dabei, dass das Standardzellenlayout-Design und die Herstellungsspezifikationen erfüllt werden.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung werden am besten anhand der folgenden detaillierten Beschreibung verstanden, wenn sie zusammen mit den beiliegenden Figuren gelesen wird. Es ist anzumerken, dass gemäß der gängigen Praxis in der Industrie verschiedene Strukturelemente nicht maßstabsgetreu gezeichnet sind. Die Abmessungen der verschiedenen Merkmale können im Interesse der Übersichtlichkeit der Besprechung nach Bedarf vergrößert oder verkleinert werden.
    • 1 ist ein Schaltbild eines integrierten Schaltkreises gemäß einigen Ausführungsformen.
    • 2A-2C sind Schaubilder eines Layout-Designs eines integrierten Schaltkreises gemäß einigen Ausführungsformen.
    • 3 ist eine perspektivische Ansicht eines Schaubildes eines integrierten Schaltkreises gemäß einigen Ausführungsformen.
    • 4 ist ein Schaltbild eines integrierten Schaltkreises gemäß einigen Ausführungsformen.
    • 5 ist ein Schaubild eines Layout-Designs eines integrierten Schaltkreises gemäß einigen Ausführungsformen.
    • 6A ist eine perspektivische Ansicht eines Schaubildes eines integrierten Schaltkreises gemäß einigen Ausführungsformen.
    • 6B ist eine Querschnittsansicht eines vergrößerten Abschnitts eines integrierten Schaltkreises gemäß einigen Ausführungsformen.
    • 7 ist ein Schaltbild eines integrierten Schaltkreises gemäß einigen Ausführungsformen.
    • 8 ist ein Schaubild eines Layout-Designs eines integrierten Schaltkreises gemäß einigen Ausführungsformen.
    • 9 ist eine perspektivische Ansicht eines Schaubildes eines integrierten Schaltkreises gemäß einigen Ausführungsformen.
    • 10A ist ein Schaltbild eines integrierten Schaltkreises gemäß einigen Ausführungsformen.
    • 10B ist ein Schaltbild eines integrierten Schaltkreises gemäß einigen Ausführungsformen.
    • 11A-11F sind Schaubilder eines Layout-Designs eines integrierten Schaltkreises gemäß einigen Ausführungsformen.
    • 11G ist ein Schaubild eines Layout-Designs eines integrierten Schaltkreises gemäß einigen Ausführungsformen.
    • 12A-12B sind eine perspektivische Ansicht eines Schaubildes eines integrierten Schaltkreises gemäß einigen Ausführungsformen.
    • 12C-12D sind eine perspektivische Ansicht eines Schaubildes eines integrierten Schaltkreises gemäß einigen Ausführungsformen.
    • 13 ist ein Flussdiagramm eines Verfahrens zum Herstellen eines integrierten Schaltkreises gemäß einigen Ausführungsformen.
    • 14 ist ein Flussdiagramm eines Verfahrens zum Generieren eines Layout-Designs eines integrierten Schaltkreises gemäß einigen Ausführungsformen.
    • 15 ist ein Blockschaubild eines Systems zum Entwerfen eines IC Layout-Designs gemäß einigen Ausführungsformen.
    • 16 ist ein Blockschaubild eines IC-Herstellungssystems und eines damit verknüpften IC-Herstellungsflusses gemäß mindestens einer Ausführungsform der vorliegenden Offenbarung.
  • Die Patent- oder Anmeldungsdatei enthält Zeichnungen/Fotografien, die in Farbe ausgeführt sind. Kopien dieses Patents mit Farbzeichnung(en)/Fotografie(n) werden vom Patentamt auf Anfrage und gegen Zahlung der notwendigen Gebühr bereitgestellt.
  • DETAILLIERTE BESCHREIBUNG
  • Die folgende Offenbarung stellt verschiedene Ausführungsformen oder Beispiele zum Implementieren von Merkmalen des hier besprochenen Gegenstandes bereit. Im Folgenden werden konkrete Beispiele von Komponenten, Materialien, Werten, Schritten, Anordnungen oder dergleichen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Dies sind natürlich nur Beispiele und dienen nicht der Einschränkung. Andere Komponenten, Materialien, Werte, Schritte, Anordnungen oder dergleichen werden ebenfalls in Betracht gezogen. Zum Beispiel kann die Ausbildung eines ersten Strukturelements über oder auf einem zweiten Strukturelement in der folgenden Beschreibung Ausführungsformen enthalten, bei denen die ersten und zweiten Strukturelemente in direktem Kontakt ausgebildet sind, und können auch Ausführungsformen enthalten, bei denen zusätzliche Strukturelemente zwischen den ersten und zweiten Strukturelementen ausgebildet sein können, so dass die ersten und zweiten Strukturelemente nicht unbedingt in direktem Kontakt stehen. Darüber hinaus kann die vorliegende Offenbarung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient der Einfachheit und Klarheit und schafft nicht automatisch eine Beziehung zwischen den verschiedenen besprochenen Ausführungsformen und/oder Konfigurationen.
  • Des Weiteren können räumlich relative Begriffe, wie zum Beispiel „unterhalb“, „unter“, „unterer“, „oberhalb“, „oberer“ und dergleichen, im vorliegenden Text verwendet werden, um die Beschreibung zu vereinfachen, um die Beziehung eines Elements oder Strukturelements zu einem oder mehreren anderen Elementen oder Strukturelementen zu beschreiben, wie in den Figuren veranschaulicht. Die räumlich relativen Begriffe sollen neben der in den Figuren gezeigten Ausrichtung noch weitere Ausrichtungen der Vorrichtung während des Gebrauchs oder Betriebes umfassen. Die Vorrichtung kann auch anders ausgerichtet (90 Grad gedreht oder anders ausgerichtet) sein, und die im vorliegenden Text verwendeten räumlich relativen Deskriptoren können gleichermaßen entsprechend interpretiert werden.
  • Gemäß einigen Ausführungsformen enthält ein integrierter Schaltkreis ein erstes Gate, ein zweites Gate, einen ersten Kontakt und eine erste isolierende Schicht. Das erste Gate erstreckt sich in der ersten Richtung und befindet sich auf einer ersten Ebene. Das zweite Gate erstreckt sich in der ersten Richtung, befindet sich auf der ersten Ebene und ist von dem ersten Gate in einer zweiten Richtung, die von der ersten Richtung verschieden ist, getrennt.
  • Der erste Kontakt erstreckt sich in der zweiten Richtung und befindet sich auf einer zweiten Ebene, die von der ersten Ebene verschieden ist. Der erste Kontakt überlappt das erste Gate und das zweite Gate und ist mit dem mindestens ersten Gate gekoppelt.
  • Die erste isolierende Schicht erstreckt sich in der zweiten Richtung und überlappt das erste Gate und das zweite Gate. In einigen Ausführungsformen befindet sich die erste isolierende Schicht über dem zweiten Gate und befindet sich unter dem ersten Kontakt, wodurch das zweite Gate elektrisch von dem ersten Kontakt isoliert wird. In einigen Ausführungsformen kann der erste Kontakt durch Positionieren der ersten isolierenden Schicht über dem zweiten Gate Routungsressourcen unter oberen Metallisierungsebenen (zum Beispiel M0, M1 usw.) für einen integrierten Schaltkreis bereitstellen.
  • In einigen Ausführungsformen enthält der integrierte Schaltkreis des Weiteren einen zweiten Kontakt, der mit einer ersten aktiven Region und einer zweiten aktiven Region gekoppelt ist. In einigen Ausführungsformen enthält der integrierte Schaltkreis des Weiteren einen dritten Kontakt, der mindestens einen Abschnitt des zweiten Kontakts überlappt, und eine zweite isolierende Schicht unter dem dritten Kontakt und über dem Abschnitt des zweiten Kontakts.
  • In einigen Ausführungsformen kann der dritte Kontakt durch Positionieren der zweiten isolierenden Schicht über dem Abschnitt des zweiten Kontakts Routungsressourcen unter oberen Metallisierungsebenen (zum Beispiel M0, M1 usw.) für einen integrierten Schaltkreis bereitstellen.
  • In einigen Ausführungsformen kann durch Bereitstellen von Routungsressourcen unter oberen Metallisierungsebenen (zum Beispiel M0, M1 usw.) die Verwendung der oberen Metallisierungsebenen (zum Beispiel M0, M1 usw.) verringert werden, oder die oberen Metallisierungsebenen (zum Beispiel M0, M1 usw.) können als zusätzliche Routungsressourcen verwendet werden, die zu einem integrierten Schaltkreis führen, der eine kleinere Fläche und eine kleinere Standardzelle hat als andere Lösungsansätze.
  • INTEGRIERTER SCHALTKREIS
  • 1 ist ein Schaltbild eines integrierten Schaltkreises 100 gemäß einigen Ausführungsformen. In einigen Ausführungsformen ist der integrierte Schaltkreis 100 ein Complementary Metal Oxide Semiconductor (CMOS)-Inverterschaltkreis. Ein CMOS-Inverterschaltkreis wird zur Veranschaulichung verwendet, aber andere Arten von Schaltkreisen liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Der integrierte Schaltkreis 100 enthält einen P-Typ-Metalloxidhalbleiter (PMOS)-Transistor P1-1, der mit einem N-Typ-Metalloxidhalbleiter (NMOS)-Transistor N1-1 gekoppelt ist.
  • Ein Gate-Anschluss des PMOS-Transistors P1-1 und ein Gate-Anschluss des NMOS-Transistors N1-1 sind miteinander gekoppelt und sind als ein Eingangsknoten IN konfiguriert. Ein Drain-Anschluss des PMOS-Transistors P1-1 und ein Drain-Anschluss des NMOS-Transistors N1-1 sind miteinander gekoppelt und sind als ein Ausgangsknoten OUT konfiguriert. Ein Source-Anschluss des PMOS-Transistors P1-1 ist mit einer Spannungsversorgung VDD gekoppelt. Ein Source-Anschluss des NMOS-Transistors N1-1 ist mit einer Referenzspannungsversorgung VSS gekoppelt.
  • LAYOUT-DESIGN EINES INTEGRIERTEN SCHALTKREISES
  • 2A-2C sind Schaubilder eines Layout-Designs 200 eines integrierten Schaltkreises gemäß einigen Ausführungsformen. Das Layout-Design 200 ist ein Layout-Schaubild des integrierten Schaltkreises 100 von 1.
  • 2A ist ein Schaubild eines Layout-Designs 200. Zur Vereinfachung der Veranschaulichung sind einige der in 2A mit Bezugszeichen versehenen Elemente in den 2B-2C nicht mit Bezugszeichen versehen. In einigen Ausführungsformen enthalten die 2A-2C zusätzliche Elemente, die in den 2A-2C nicht gezeigt sind.
  • 2B-2C sind Schaubilder eines entsprechenden Abschnitts 200B-200C des Layout-Designs 200 von 2A, die zur Vereinfachung der Veranschaulichung vereinfacht wurden. Abschnitt 200B enthält ein oder mehrere Strukturelemente des Layout-Designs 200 von 2A der aktiven (OD) Ebene, der POLY1-Ebene und der MD1-Ebene des Layout-Designs 200. Abschnitt 200C enthält ein oder mehrere Strukturelemente des Layout-Designs 200 von 2A der POLY1-Ebene, der MD2-Ebene, der VD-Ebene und der Mo-Ebene des Layout-Designs 200. Das Layout-Design 200 kann dafür benutzt werden, den integrierten Schaltkreis 100 von 1 oder den integrierten Schaltkreis 300 von 3 herzustellen. Komponenten, die gleich oder ähnlich denen in jeder der 2-15 sind, sind mit den gleichen Bezugszahlen versehen, und auf ihre detaillierte Beschreibung wird verzichtet.
  • Das Layout-Design 200 enthält Aktivregion-Layout-Strukturen 202a und 202b (zusammen als ein „Satz Aktivregion-Layout-Strukturen 202“ bezeichnet), die sich in einer ersten Richtung X erstrecken. Die Aktivregion-Layout-Strukturen 202a, 202b des Satzes Aktivregion-Layout-Strukturen 202 sind in einer zweiten Richtung Y, die von der ersten Richtung X verschieden ist, voneinander getrennt. Der Satz Aktivregion-Layout-Strukturen 202 kann dafür benutzt werden, einen entsprechenden Satz aktiver Regionen 302 (3) des integrierten Schaltkreises 300 herzustellen. In einigen Ausführungsformen kann die Aktivregion-Layout-Struktur 202a, 202b des Satzes Aktivregion-Layout-Strukturen 202 dafür benutzt werden, entsprechende aktive Regionen 302a, 302b des Satzes aktiver Regionen 302 (3) des integrierten Schaltkreises 300 herzustellen. In einigen Ausführungsformen wird der Satz Aktivregion-Layout-Strukturen 202 als eine Oxiddiffusions (OD)-Region bezeichnet, die die Source- oder Drain-Diffusionsregionen des integrierten Schaltkreises 300 definiert. In einigen Ausführungsformen kann die Aktivregion-Layout-Struktur 202b des Satzes Aktivregion-Layout-Strukturen 202 dafür benutzt werden, Source- und Drain-Regionen des NMOS-Transistors N1-1 herzustellen, und die Aktivregion-Layout-Struktur 202b des Satzes Aktivregion-Layout-Strukturen 202 kann dafür benutzt werden, Source- und Drain-Regionen des PMOS-Transistors P1-1 des integrierten Schaltkreises 100 (1) herzustellen. In einigen Ausführungsformen befindet sich der Satz Aktivregion-Layout-Strukturen 202 auf einer ersten Layout-Ebene. In einigen Ausführungsformen entspricht die erste Layout-Ebene einer aktiven Ebene oder einer OD-Ebene eines oder mehrere der Layout-Designs 200, 500, 800, 1100 (2, 5, 8, 11) oder der integrierten Schaltkreise 300, 600, 900 oder 1200-1200' (3, 6, 9, 12A-12D).
  • Das Layout-Design 200 enthält des Weiteren mindestens die Gate Layout-Struktur 204a, 204b oder 204c (zusammen als ein „Satz Gate Layout-Strukturen 204“ bezeichnet), die sich in der zweiten Richtung Y erstreckt. Der Satz Gate Layout-Strukturen 204 des Layout-Designs 200 und der integrierte Schaltkreis 300 haben einen Contact Poly Pitch (CPP) von 2.
  • Jede der Layout-Strukturen des Satzes Gate Layout-Strukturen 204 ist von einer benachbarten Layout-Struktur des Satzes Gate Layout-Strukturen 204 in der ersten Richtung X um einen ersten Mittenabstand (nicht mit Bezugszeichen versehen) getrennt. Der Satz Gate Layout-Strukturen 204 kann dafür benutzt werden, einen entsprechenden Satz Gates 304 ( 3) des integrierten Schaltkreises 300 herzustellen. In einigen Ausführungsformen kann die Gate-Layout-Struktur 204b des Satzes Gate-Layout-Strukturen 204 dafür benutzt werden, ein entsprechendes Gate 304b des Satzes aktiver Regionen 302 (3) des integrierten Schaltkreises 300 herzustellen.
  • Der Satz Gate-Layout-Strukturen 204 ist auf einem ersten Abschnitt einer zweiten Layout-Ebene positioniert. In einigen Ausführungsformen der erste Abschnitt der zweiten Layout-Ebene ist, die verschieden ist von der ersten Layout-Ebene. In einigen Ausführungsformen der erste Abschnitt der zweiten Layout-Ebene entspricht einer POLY Schicht eines oder mehrere der Layout-Designs 200, 500, 800, 1100 (2, 5, 8, 11) oder der integrierte Schaltkreis 300, 600, 900, 1200-1200' (3, 6, 9, 12A-12D).
  • Der Satz Aktivregion-Layout-Strukturen 202 befindet sich unter dem Satz Gate-Layout-Strukturen 204. Die Gate-Layout-Struktur 204b kann dafür benutzt werden, den Gate-Anschluss des PMOS-Transistors P1-1 und den Gate-Anschluss des NMOS-Transistors N1-1 von 1 herzustellen. Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Anzahlen von Strukturen in dem Satz Gate-Layout-Strukturen 204 liegen innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • In einigen Ausführungsformen überlappen eine oder mehrere geschnittene Strukturelement-Layout-Strukturen (nicht gezeigt) mindestens eine des Satzes Gate-Layout-Strukturen 204, die im Interesse der besseren Übersichtlichkeit in dem Layout-Design 200 nicht gezeigt sind. In einigen Ausführungsformen identifizieren die eine oder die mehreren geschnittenen Strukturelement-Layout-Strukturen (nicht gezeigt) eine geschnittene Region des Satzes Gates 304, 604 (6A), 904 (9) oder 1204 (12A-12B), die während Herstellung entfernt werden, zum Beispiel während der Operation 1304 des Verfahrens 1300 (13). In einigen Ausführungsformen sind eine oder mehrere geschnittene Strukturelement-Layout-Strukturen (nicht gezeigt) auf der zweiten Layout-Ebene (POLY1) positioniert.
  • Andere Konfigurationen oder Anzahlen von Strukturen in dem Satz Gate-Layout-Strukturen 204 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Das Layout-Design 200 enthält des Weiteren mindestens Metal-over-Diffusion-Layout-Strukturen 210a, 210b, 210C oder 210d (zusammen als ein „Satz Metal-over-Diffusion-Layout-Strukturen 210“ bezeichnet), die sich in der zweiten Richtung Y erstrecken. Mindestens eine der Layout-Strukturen des Satzes Metal-over-Diffusion-Layout-Strukturen 210 überlappt den Satz Aktivregion-Layout-Strukturen 202. Die Layout-Strukturen des Satzes Metal-over-Diffusion-Layout-Strukturen 210 sind von einer benachbarten Layout-Struktur des Satzes Metal-over-Diffusion-Layout-Strukturen 210 in mindestens der ersten Richtung X oder der zweiten Richtung Y getrennt. In einigen Ausführungsformen befindet sich der Satz Metal-over-Diffusion-Layout-Strukturen 210 auf einem zweiten Abschnitt der zweiten Layout-Ebene. In einigen Ausführungsformen entspricht der zweite Abschnitt der zweiten Layout-Ebene einer Metal-over-Diffusion-eins (MD1)-Ebene eines oder mehrerer der Layout-Designs 200, 500, 800, 1100 (2, 5, 8, 11) oder der integrierten Schaltkreise 300, 600, 900, 1200-1200' (3, 6, 9, 12A-12D). In einigen Ausführungsformen enthält die zweite Layout-Ebene einen MD1-Abschnitt und einen POLY1-Abschnitt. Der Satz Metal-over-Diffusion-Layout-Strukturen 210 kann dafür benutzt werden, einen entsprechenden Satz Kontakte 310 (3) des integrierten Schaltkreises 300 herzustellen. In einigen Ausführungsformen können die Metal-over-Diffusion-Layout-Strukturen 210a, 210b, 210c, 210d des Satzes Metal-over-Diffusion-Layout-Strukturen 210 dafür benutzt werden, entsprechende Kontakte 310a, 310b, 310c, 310d des Satzes Kontakte 310 (3) des integrierten Schaltkreises 300 herzustellen.
  • In einigen Ausführungsformen hat jede der Layout-Strukturen des Satzes Metal-over-Diffusion-Layout-Strukturen 210 eine regelmäßige Layout-Struktur. In einigen Ausführungsformen sind regelmäßige Layout-Strukturen Layout-Strukturen, die in mindestens einer Richtung mit Bezug aufeinander ausgerichtet sind. In einigen Ausführungsformen sind regelmäßige Layout-Strukturen Layout-Strukturen, die in mindestens der ersten Richtung X oder der zweiten Richtung Y ausgerichtet sind.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Anzahlen von Strukturen in dem Satz Metal-over-Diffusion-Layout-Strukturen 210 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Das Layout-Design 200 enthält des Weiteren mindestens Metal-over-Diffusion-Layout-Strukturen 220a, 220b oder 220c (zusammen als ein „Satz Metal-over-Diffusion-Layout-Strukturen 220“ bezeichnet), die sich in der zweiten Richtung Y erstrecken. Mindestens eine der Layout-Strukturen des Satzes Metal-over-Diffusion-Layout-Strukturen 220 überlappt mindestens eine des Satzes Aktivregion-Layout-Strukturen 202 oder mindestens eine des Satzes Metal-over-Diffusion-Layout-Strukturen 210. Die Metal-over-Diffusion-Layout-Struktur 220a überlappt die Metal-over-Diffusion-Layout-Strukturen 210a und 210c. Die Metal-over-Diffusion-Layout-Strukturen 220b, 220c überlappen entsprechenden Metal-over-Diffusion-Layout-Strukturen 210b, 210d.
  • Die Layout-Strukturen des Satzes Metal-over-Diffusion-Layout-Strukturen 220 sind von einer benachbarten Layout-Struktur des Satzes Metal-over-Diffusion-Layout-Strukturen 220 in mindestens der ersten Richtung X oder der zweiten Richtung Y getrennt. Der Satz Metal-over-Diffusion-Layout-Strukturen 220 befindet sich auf einer dritten Layout-Ebene. In einigen Ausführungsformen ist die dritte Layout-Ebene von der ersten Layout-Ebene und der zweiten Layout-Ebene verschieden. In einigen Ausführungsformen entspricht die dritte Layout-Ebene einer Metal-over-Diffusion-zwei (MD2)-Ebene eines oder mehrerer der Layout-Designs 200, 500, 800 oder 1100 (2, 5, 8 oder 11) oder der integrierten Schaltkreise 300, 600, 900, 1200-1200' (3, 6, 9 oder 12A-12D). In einigen Ausführungsformen befindet sich die MD2-Ebene über der MD1-Ebene oder der POLY1-Ebene. Der Satz Metal-over-Diffusion-Layout-Strukturen 220 kann dafür benutzt werden, einen entsprechenden Satz Kontakte 320 (3) des integrierten Schaltkreises 300 herzustellen. In einigen Ausführungsformen können die Metal-over-Diffusion-Layout-Strukturen 220a, 220b, 220c des Satzes Metal-over-Diffusion-Layout-Strukturen 220 dafür benutzt werden, entsprechende Kontakte 320a, 320b, 320c des Satzes Kontakte 320 (3) des integrierten Schaltkreises 300 herzustellen.
  • In einigen Ausführungsformen kann mindestens die Metal-over-Diffusion-Layout-Struktur 210a oder ein Abschnitt der Metal-over-Diffusion-Layout-Struktur 220a dafür benutzt werden, den Drain-Anschluss des PMOS-Transistors P1-1 von 1 herzustellen. In einigen Ausführungsformen kann mindestens die Metal-over-Diffusion-Layout-Struktur 210c oder ein Abschnitt der Metal-over-Diffusion-Layout-Struktur 220a dafür benutzt werden, den Drain-Anschluss des NMOS-Transistors N1-1 von 1 herzustellen. In einigen Ausführungsformen kann die Metal-over-Diffusion-Layout-Struktur 210b dafür benutzt werden, den Source-Anschluss des PMOS-Transistors P1-1 von 1 herzustellen, und die Metal-over-Diffusion-Layout-Struktur 210d kann dafür benutzt werden, den Source-Anschluss des NMOS-Transistors N1-1 von 1 herzustellen.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Anzahlen von Strukturen in dem Satz Metal-over-Diffusion-Layout-Strukturen 220 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Das Layout-Design 200 enthält des Weiteren mindestens eine Leitfähige-Strukturelemente-Layout-Struktur 240a, 240b, 240c oder 240d (zusammen als ein „Satz Leitfähige-Strukturelemente-Layout-Strukturen 240“ bezeichnet), die sich in der ersten Richtung X erstreckt. Der Satz Leitfähige-Strukturelemente-Layout-Strukturen 240 kann dafür benutzt werden, einen entsprechenden Satz leitfähiger Strukturen 340 (3) des integrierten Schaltkreises 300 herzustellen. In einigen Ausführungsformen können die Leitfähige-Strukturelemente-Layout-Strukturen 240a, 240b, 240c, 240d des Satzes Leitfähige-Strukturelemente-Layout-Strukturen 240 dafür benutzt werden, entsprechende leitfähige Strukturelemente 340a, 340b (nicht gezeigt), 340c (nicht gezeigt), 340d (nicht gezeigt) des Satzes leitfähiger Strukturelemente 340 (3) des integrierten Schaltkreises 300 herzustellen.
  • Der Satz Leitfähige-Strukturelemente-Layout-Strukturen 240 überlappt mindestens den Satz Metal-over-Diffusion-Layout-Strukturen 210 oder den Satz Metal-over-Diffusion-Layout-Strukturen 220. Der Satz Leitfähige-Strukturelemente-Layout-Strukturen 240 befindet sich auf einer vierten Layout-Ebene. In einigen Ausführungsformen ist die vierte Layout-Ebene von mindestens der ersten Layout-Ebene, der zweiten Layout-Ebene oder der dritten Layout-Ebene verschieden. In einigen Ausführungsformen entspricht die vierte Layout-Ebene einer Metallnull (Mo)-Schicht eines oder mehrerer der Layout-Designs 200, 500, 800, 1100 (2, 5, 8, 11) oder der integrierten Schaltkreise 300, 600, 900, 1200-1200' (3, 6, 9, 12A-12D). Andere Layout-Ebenen liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung. Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Anzahlen von Strukturen in dem Satz Leitfähige-Strukturelemente-Layout-Strukturen 240 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Das Layout-Design 200 enthält des Weiteren eine oder mehrere die Stromschienen-Layout-Strukturen 242a oder 242b (zusammen als ein „Satz Stromschienen-Layout-Strukturen 242“ bezeichnet), die sich in der ersten Richtung X erstrecken und sich auf der vierten Layout-Ebene befinden. Der Satz Stromschienen-Layout-Strukturen 242 kann dafür benutzt werden, einen entsprechenden Satz Stromschienen 342 des integrierten Schaltkreises 300 (3) herzustellen. In einigen Ausführungsformen können die die Stromschienen-Layout-Strukturen 242a, 242b des Satzes die Stromschienen-Layout-Strukturen 242 dafür benutzt werden, entsprechende Stromschienen 342a, 342b des Satzes Stromschienen 342 (3) des integrierten Schaltkreises 300 herzustellen.
  • In einigen Ausführungsformen ist der Satz Stromschienen 342 dafür konfiguriert, eine erste Versorgungsspannung einer Spannungsversorgung VDD oder einer zweiten Versorgungsspannung einer Referenzspannungsversorgung VSS in den integrierten Schaltkreis einzuspeisen, wie zum Beispiel den integrierten Schaltkreis 300. In einigen Ausführungsformen befindet sich jede die Stromschienen-Layout-Struktur des Satzes die Stromschienen-Layout-Strukturen 242 entlang eines entsprechenden Randes einer Standardzelle des Layout-Designs 300. Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Anzahlen von Strukturen in dem Satz Stromschienen-Layout-Strukturen 242 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Das Layout-Design 200 enthält des Weiteren mindestens Durchkontaktierungs-Layout-Strukturen 250a, 250b oder 250c (zusammen als ein „Satz Durchkontaktierungs-Layout-Strukturen 250“ bezeichnet). Der Satz Durchkontaktierungs-Layout-Strukturen 250 kann dafür benutzt werden, einen entsprechenden Satz Durchkontaktierungen 350 (3) herzustellen. In einigen Ausführungsformen können die Durchkontaktierungs-Layout-Strukturen 250a, 250b, 250c des Satzes Durchkontaktierungs-Layout-Strukturen 250 dafür benutzt werden, entsprechende Durchkontaktierungen 350a, 350b, 350c des Satzes Durchkontaktierungen 350 (3) des integrierten Schaltkreises 300 herzustellen. In einigen Ausführungsformen befindet sich der Satz Durchkontaktierungs-Layout-Strukturen 250 zwischen dem Satz Leitfähige-Strukturelemente-Layout-Strukturen 240 oder dem Satz Stromschienen-Layout-Strukturen 242 und dem Satz Metal-over-Diffusion-Layout-Strukturen 220.
  • Der Satz Durchkontaktierungs-Layout-Strukturen 250 ist auf einer Via-over-Diffusion (VD)-Ebene oder einer Tall-Via-over-Diffusion (VDT) eines oder mehrerer der Layout-Designs 200, 500, 800 oder 1100 (2, 5, 8 oder 11) oder der integrierten Schaltkreise 300, 600, 900, 1200-1200' (3, 6, 9 oder 12A-12D) positioniert. In einigen Ausführungsformen liegt die VD-Ebene zwischen der Mo-Ebene und der MD2-Ebene. In einigen Ausführungsformen liegt die VDT-Ebene zwischen der Mo-Ebene und der MD1-Ebene. In einigen Ausführungsformen befindet sich die MD2-Ebene über der MD1-Ebene oder der POLY1-Ebene. In einigen Ausführungsformen liegt die VDT-Ebene zwischen der vierten Layout-Ebene und dem zweiten Abschnitt der zweiten Layout-Ebene (zum Beispiel MD1). In einigen Ausführungsformen liegt die VD-Ebene zwischen der vierten Layout-Ebene und der dritten Layout-Ebene (zum Beispiel MD2). Andere Layout-Ebenen liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Die Durchkontaktierungs-Layout-Strukturen 250b, 250c befinden sich zwischen entsprechenden die Stromschienen-Layout-Strukturen 242a, 242b und entsprechenden Metal-over-Diffusion-Layout-Strukturen 220b, 220c. Die Durchkontaktierungs-Layout-Struktur 250a liegt zwischen der Leitfähige-Strukturelemente-Layout-Struktur 240a und der Metal-over-Diffusion-Layout-Struktur 220a. In einigen Ausführungsformen ist mindestens eine Layout-Struktur des Satzes Metal-over-Diffusion-Layout-Strukturen 220 nicht in dem Layout-Design 200 enthalten; darum ist eine entsprechende Durchkontaktierungs-Layout-Struktur des Satzes Durchkontaktierungs-Layout-Strukturen 250 über der entfernten Layout-Struktur des Satzes Metal-over-Diffusion-Layout-Strukturen 220 auf der VDT-Ebene positioniert. Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Anzahlen von Strukturen in dem Satz Durchkontaktierungs-Layout-Strukturen 250 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Die Layout-Designs 200, 500 (5) und 800 (8) haben eine Höhe H1 in der zweiten Richtung Y. In einigen Ausführungsformen werden die Layout-Design 200, 500 ( 5) und 800 (8) als eine Einzelhöhen-Standardzelle bezeichnet.
  • INTEGRIERTER SCHALTKREIS
  • 3 ist eine perspektivische Ansicht eines Schaubildes eines integrierten Schaltkreises 300 gemäß einigen Ausführungsformen.
  • Der integrierte Schaltkreis 300 wird durch das Layout-Design 200 hergestellt. Der integrierte Schaltkreis 300 ist eine Ausführungsform eines Abschnitts des integrierten Schaltkreises 100.
  • Die strukturellen Beziehungen, einschließlich Ausrichtung, Längen und Breiten, sowie Konfigurationen des integrierten Schaltkreises 300 ähneln den strukturellen Beziehungen und Konfigurationen des Layout-Designs 200 von 2, und auf eine ähnliche detaillierte Beschreibung wird in 3 im Interesse der besseren Übersichtlichkeit verzichtet.
  • Der integrierte Schaltkreis 300 enthält den Satz aktiver Regionen 302, den Satz Gates 304, den Satz Kontakte 310, den Satz Kontakte 320, den Satz leitfähiger Strukturelemente 340, den Satz Schienen 342 und den Satz Durchkontaktierungen 350. Jedoch zeigt der integrierte Schaltkreis 300 zur Vereinfachung der Veranschaulichung mindestens eines der Elemente in dem Satz Gates 304, dem Satz Kontakte 310, dem Satz Kontakte 320, dem Satz leitfähiger Strukturelemente 340, dem Satz Stromschienen 342 oder dem Satz Durchkontaktierungen 350 nicht.
  • Gate 304b des Satzes Gates 304 erstreckt sich in der zweiten Richtung Y. Gate 304b des Satzes Gates 304 entspricht dem Gate-Anschluss des PMOS-Transistors P1-1 und dem Gate-Anschluss des NMOS-Transistors N1-1 von 1.
  • Der Satz aktiver Regionen 302 enthält aktive Regionen 302a und 302b. Die aktive Region 302a enthält die aktive Region 302a1 und die aktive Region 302a2. Die aktive Region 302b enthält die aktive Region 302b1 und die aktive Region 302b2.
  • In einigen Ausführungsformen entspricht die aktive Region 302a1 dem Drain des NMOS-Transistors N1-1, die aktive Region 302a2 entspricht der Source des NMOS-Transistors N1-1, die aktive Region 302b1 entspricht dem Drain des PMOS-Transistors N1-1, und die aktive Region 302b2 entspricht der Source des PMOS-Transistors P1-1. Andere Konfigurationen oder Anordnungen in dem Satz aktiver Regionen 302 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Kontakte 310a, 310b, 310c, 310d des Satzes Kontakte 310 erstrecken sich in der zweiten Richtung Y und überlappen entsprechende aktive Regionen 302b1, 302b2, 302a1, 302a2 des Satzes aktiver Regionen 302. Die Kontakte 3108, 310b, 310c, 310d des Satzes Kontakte 310 sind elektrisch mit entsprechenden aktiven Regionen 302b1, 302b2, 302a1, 302a2 des Satzes aktiver Regionen 302 gekoppelt.
  • Kontakte 320b, 320c des Satzes Kontakte 320 erstrecken sich in der zweiten Richtung Y und überlappen entsprechende Kontakte 310b, 310d des Satzes Kontakte 310. Die Kontakte 320b, 320c des Satzes Kontakte 320 sind elektrisch mit entsprechenden Kontakten 310b, 310d des Satzes Kontakte 310 gekoppelt.
  • Kontakt 320a des Satzes Kontakte 320 erstreckt sich in der zweiten Richtung Y und überlappt Kontakt 310a und Kontakt 310c des Satzes Kontakte 310, wodurch eine elektrische Verbindung zwischen Kontakt 310a und Kontakt 310c des Satzes Kontakte 310 bereitgestellt wird. In einigen Ausführungsformen werden Kontakt 320a oder andere Kontakte ähnlich Kontakt 320a als „MD-Flyer“-Abschnitte bezeichnet, da diese Kontakte mindestens ein Paar Kontakte in der MD1-Ebene überlappen oder sich darüber erstrecken.
  • In einigen Ausführungsformen enthält mindestens ein Kontakt in dem Satz Kontakte 320, 620 (6A-6B), 920 (9) eine oder mehrere Schichten eines leitfähigen Materials. In einigen Ausführungsformen enthält das leitfähige Material Wolfram, Kobalt, Ruthenium, Kupfer oder dergleichen oder Kombinationen davon.
  • In einigen Ausführungsformen ist die aktive Region 302b2 (zum Beispiel die Source des PMOS-Transistors P1-1) elektrisch mit der Spannungsversorgung VDD gekoppelt. Zum Beispiel ist in einigen Ausführungsformen die aktive Region 302b2 elektrisch mit dem Kontakt 310b des Satzes Kontakte 310 gekoppelt, Kontakt 310b des Satzes Kontakte 310 ist elektrisch mit dem Kontakt 320b des Satzes Kontakte 320 gekoppelt, und Kontakt 320b des Satzes Kontakte 320 ist elektrisch mit Stromschienen 342a über die Durchkontaktierung 350b gekoppelt. In einigen Ausführungsformen ist die Stromschiene 342a mit der Spannungsversorgung VDD gekoppelt.
  • In einigen Ausführungsformen ist die aktive Region 302a2 (zum Beispiel Source des NMOS-Transistors N1-1) elektrisch mit der Referenzspannungsversorgung VSS gekoppelt. Zum Beispiel ist in einigen Ausführungsformen die aktive Region 302a2 elektrisch mit dem Kontakt 310d des Satzes Kontakte 310 gekoppelt, Kontakt 310d des Satzes Kontakte 310 ist elektrisch mit dem Kontakt 320c des Satzes Kontakte 320 gekoppelt, und Kontakt 320c des Satzes Kontakte 320 ist elektrisch mit der Stromschiene 342b über die Durchkontaktierung 350c gekoppelt. In einigen Ausführungsformen ist die Stromschiene 342b mit der Referenzspannungsversorgung VSS gekoppelt.
  • In einigen Ausführungsformen ist Kontakt 320a des Satzes Kontakte 320 dafür konfiguriert, eine elektrische Verbindung zwischen einem ersten Abschnitt (zum Beispiel Drain) eines Transistors (zum Beispiel NMOS N1-1) und einem zweiten Abschnitt (zum Beispiel Drain) eines anderen Transistors (zum Beispiel PMOS P1-1) bereitzustellen. Zum Beispiel entspricht in einigen Ausführungsformen die aktive Region 302a1 dem Drain des NMOS-Transistors N1-1, und die aktive Region 302b1 entspricht dem Drain des PMOS-Transistors N1-1, und sie sind durch mindestens den Kontakt 320a des Satzes Kontakte 320 elektrisch miteinander gekoppelt In einigen Ausführungsformen ist die aktive Region 302a1 elektrisch mit dem Kontakt 310c des Satzes Kontakte 310 gekoppelt, Kontakt 310c des Satzes Kontakte 310 ist elektrisch mit dem Kontakt 320a des Satzes Kontakte 320 gekoppelt, Kontakt 320a des Satzes Kontakte 320 ist elektrisch mit dem Kontakt 310a des Satzes Kontakte 310 gekoppelt, und Kontakt 310a des Satzes Kontakte 310 ist elektrisch mit der aktiven Region 302b1 gekoppelt.
  • In einigen Ausführungsformen können durch Bereitstellen einer elektrischen Verbindung zwischen den aktiven Regionen 302a1 und 302b1 des Satzes aktiver Regionen 302 unter Verwendung des Kontakts 320a des Satzes Kontakte 320 in der MD2-Schicht andere Metallisierungsebenen (zum Beispiel M0, M1 usw.) für zusätzliche Routungsressourcen verwendet werden, was dazu führt, dass der integrierte Schaltkreis 300 eine kleinere Fläche und eine kleinere Standardzelle hat als andere Lösungsansätze.
  • Leitfähigen Strukturelemente 340a des Satzes leitfähiger Strukturelemente 340 ist elektrisch gekoppelt mit dem Kontakt 320a über die Durchkontaktierung 350a.
  • INTEGRIERTER SCHALTKREIS
  • 4 ist ein Schaltbild eines integrierten Schaltkreises 400 gemäß einigen Ausführungsformen. In einigen Ausführungsformen ist der integrierte Schaltkreis 400 ein NAND-Gate. Ein NAND-Gate wird zwar zur Veranschaulichung verwendet, doch andere Arten von Schaltkreisen liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Der integrierte Schaltkreis 400 enthält PMOS-Transistoren P4-1 und P4-2, die mit NMOS-Transistoren N4-1 und N4-2 gekoppelt sind.
  • Ein Gate-Anschluss des PMOS-Transistors P4-1 und des NMOS-Transistors N4-1 sind miteinander gekoppelt und sind als ein Eingangsknoten IN1' konfiguriert. Ein Gate-Anschluss des PMOS-Transistors P4-2 und des NMOS-Transistor N4-2 sind miteinander gekoppelt und sind als ein Eingangsknoten IN2' konfiguriert.
  • Ein Source-Anschluss des PMOS-Transistors P4-1 und ein Source-Anschluss des PMOS-Transistors P4-2 sind mit der Spannungsversorgung VDD gekoppelt. Ein Source-Anschluss des NMOS-Transistors N4-1 ist mit der Referenzspannungsversorgung VSS gekoppelt. Ein Source-Anschluss des NMOS-Transistors N4-2 und ein Drain-Anschluss des NMOS-Transistors N4-1 sind miteinander gekoppelt.
  • Ein Drain-Anschluss des PMOS-Transistors P4-1, ein Drain-Anschluss des PMOS-Transistors P4-2 und ein Drain-Anschluss des NMOS-Transistors N4-2 sind miteinander gekoppelt und sind als ein Ausgangsknoten OUT1 konfiguriert.
  • LAYOUT-DESIGN EINES INTEGRIERTEN SCHALTKREISES
  • 5 ist ein Schaubild eines Layout-Designs 500 eines integrierten Schaltkreises gemäß einigen Ausführungsformen.
  • Das Layout-Design 500 ist eine Variation des Layout-Designs 200 (2). Zum Beispiel veranschaulicht das Layout-Design 500 ein Beispiel, wo eine Isolierschicht-Layout-Struktur 514a zwischen einer Metal-over-Diffusion-Layout-Struktur 520a und einer Metal-over-Diffusion-Layout-Struktur 510b positioniert ist.
  • Das Layout-Design 500 kann dafür benutzt werden, einen integrierten Schaltkreis ähnlich dem integrierten Schaltkreis 400 von 4 oder dem integrierten Schaltkreis 600 von 6 herzustellen.
  • Das Layout-Design 500 enthält den Satz Aktivregion-Layout-Strukturen 202, den Satz Schienen-Layout-Strukturen 242, einen Satz Gate-Layout-Strukturen 504, einen Satz Metal-over-Diffusion-Layout-Strukturen 510, eine Isolierschicht-Layout-Struktur 514a (zusammen als ein „Satz Isolierschicht-Layout-Strukturen 514“ bezeichnet), einen Satz Metal-over-Diffusion-Layout-Strukturen 520, einen Satz Leitfähige-Strukturelemente-Layout-Strukturen 540, und einen Satz Durchkontaktierungs-Layout-Strukturen 550 und 560.
  • Im Vergleich zu dem Layout-Design 200 von 2 ersetzt der Satz Gate-Layout-Strukturen 504 des Layout-Designs 500 den Satz Gate-Layout-Strukturen 204. Der Satz Gate-Layout-Strukturen 504 des Layout-Designs 500 und der integrierte Schaltkreis 600 haben einen CPP von 3. Der Satz Gate-Layout-Strukturen 504 ähnelt dem Satz Gate-Layout-Strukturen 204, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird. Elemente des Satzes Gate-Layout-Strukturen 504 ähneln den entsprechenden Elementen des Satzes Gate-Layout-Strukturen 204, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Der Satz Gate-Layout-Strukturen 504 enthält mindestens die Gate-Layout-Strukturen 204a, 504b, 504c oder 504d. Der Satz Gate-Layout-Strukturen 504 kann dafür benutzt werden, einen entsprechenden Satz Gates 604 (6) des integrierten Schaltkreises 600 herzustellen. In einigen Ausführungsformen können die Gate-Layout-Strukturen 504b, 504c des Satzes Gate-Layout-Strukturen 504 dafür benutzt werden, entsprechende Gates 304b, 604c des Satzes Gates 604 (6) des integrierten Schaltkreises 600 herzustellen.
  • Die Gate-Layout-Struktur 504c ersetzt die Gate-Layout-Struktur 204c, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird. Im Vergleich zu der Gate-Layout-Struktur 204c von 3 ist die Gate-Layout-Struktur 504c nicht entlang des Randes des Layout-Designs 500 positioniert. Die Gate-Layout-Struktur 504d ist entlang des Randes des Layout-Designs 500 positioniert. In einigen Ausführungsformen ähneln - mindestens aus Gründen, zu denen eine Positionierung entlang des Randes des Layout-Designs (zum Beispiel des Layout-Designs 500) gehört - die Strukturelemente der Gate-Layout-Struktur 504d der Gate-Layout-Struktur 204c, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Die Gate-Layout-Struktur 504b kann dafür benutzt werden, den Gate-Anschluss des PMOS-Transistors P4-1 und den Gate-Anschluss des NMOS-Transistors N4-1 von 4 herzustellen, und die Gate-Layout-Struktur 504c kann dafür benutzt werden, den Gate-Anschluss des PMOS-Transistors P4-2 und den Gate-Anschluss des NMOS-Transistors N4-2 von 4 herzustellen. Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Anzahlen von Strukturen in dem Satz Gate-Layout-Strukturen 504 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Im Vergleich zu dem Layout-Design 200 von 2 ersetzt der Satz Metal-over-Diffusion-Layout-Strukturen 510 den Satz Metal-over-Diffusion-Layout-Strukturen 210. Der Satz Metal-over-Diffusion-Layout-Strukturen 510 ähnelt dem Satz Metal-over-Diffusion-Layout-Strukturen 204, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird. Elemente des Satzes Metal-over-Diffusion-Layout-Strukturen 510 ähneln den entsprechenden Elementen des Satzes Metal-over-Diffusion-Layout-Strukturen 210, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird. In einigen Ausführungsformen hat jede der Layout-Strukturen des Satzes Metal-over-Diffusion-Layout-Strukturen 510 eine regelmäßige Layout-Struktur. In einigen Ausführungsformen hat jede der Layout-Strukturen des Satzes Metal-over-Diffusion-Layout-Strukturen 510 die gleiche Fläche wie die anderen.
  • Der Satz Metal-over-Diffusion-Layout-Strukturen 510 enthält mindestens die Metal-over-Diffusion-Layout-Strukturen 210b, 210d, 510a, 510b, 510c oder 510d. Der Satz Metal-over-Diffusion-Layout-Strukturen 510 kann dafür benutzt werden, einen entsprechenden Satz Kontakte 610 (6) des integrierten Schaltkreises 600 herzustellen.
  • In einigen Ausführungsformen können die Metal-over-Diffusion-Layout-Strukturen 210b, 210d, 510a, 510b, 510c, 510d des Satzes Metal-over-Diffusion-Layout-Strukturen 510 dafür benutzt werden, entsprechende Kontakte 310b, 310d, 610a, 610b, 610c, 610d des Satzes Kontakte 610 (6) des integrierten Schaltkreises 600 herzustellen.
  • Die Metal-over-Diffusion-Layout-Strukturen 510a, 510b ersetzen die entsprechenden Metal-over-Diffusion-Layout-Strukturen 210a, 210b von 2, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird. In einigen Ausführungsformen sind die Metal-over-Diffusion-Layout-Strukturen 510c, 510d Spiegelbilder von entsprechenden Metal-over-Diffusion-Layout-Strukturen 210b, 210d mit Bezug auf die zweite Richtung Y, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Anzahlen von Strukturen in dem Satz Metal-over-Diffusion-Layout-Strukturen 510 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Im Vergleich zu dem Layout-Design 200 von 2 ersetzt der Satz Metal-over-Diffusion-Layout-Strukturen 520 den Satz Metal-over-Diffusion-Layout-Strukturen 220. Der Satz Metal-over-Diffusion-Layout-Strukturen 520 ähnelt dem Satz Metal-over-Diffusion-Layout-Strukturen 220, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird. Elemente des Satzes Metal-over-Diffusion-Layout-Strukturen 520 ähneln den entsprechenden Elementen des Satzes Metal-over-Diffusion-Layout-Strukturen 220, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird. In einigen Ausführungsformen hat jede der Layout-Strukturen des Satzes Metal-over-Diffusion-Layout-Strukturen 520 eine regelmäßige Layout-Struktur.
  • Der Satz Metal-over-Diffusion-Layout-Strukturen 520 enthält mindestens die Metal-over-Diffusion-Layout-Strukturen 220b, 220c, 520a, 520b oder 520c. Der Satz Metal-over-Diffusion-Layout-Strukturen 520 kann dafür benutzt werden, einen entsprechenden Satz Kontakte 620 (6) des integrierten Schaltkreises 600 herzustellen.
  • In einigen Ausführungsformen können die Metal-over-Diffusion-Layout-Strukturen 220b, 220c, 520a, 520b, 520c des Satzes Metal-over-Diffusion-Layout-Strukturen 520 dafür benutzt werden, entsprechende Kontakte 320b, 320c, 620a, 620b, 620c des Satzes Kontakte 620 (6) des integrierten Schaltkreises 600 herzustellen.
  • Die Metal-over-Diffusion-Layout-Struktur 520a ersetzt die entsprechende Metal-over-Diffusion-Layout-Struktur 220a von 2, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • In einigen Ausführungsformen ist die Metal-over-Diffusion-Layout-Struktur 520b ein Spiegelbild der entsprechenden Metal-over-Diffusion-Layout-Struktur 220b mit Bezug auf einen mittigen Abschnitt des Layout-Designs 500 in der zweiten Richtung Y, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • In einigen Ausführungsformen überlappt die Metal-over-Diffusion-Layout-Struktur 520c die Aktivregion-Layout-Struktur 202b und befindet sich über der Metal-over-Diffusion-Layout-Struktur 510d.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Anzahlen von Strukturen in dem Satz Metal-over-Diffusion-Layout-Strukturen 520 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • In einigen Ausführungsformen kann mindestens die Metal-over-Diffusion-Layout-Struktur 210b oder ein Abschnitt der Metal-over-Diffusion-Layout-Struktur 220b dafür benutzt werden, den Source-Anschluss des PMOS-Transistors P4-1 von 4 herzustellen. In einigen Ausführungsformen kann mindestens die Metal-over-Diffusion-Layout-Struktur 510a oder ein Abschnitt der Metal-over-Diffusion-Layout-Struktur 520a dafür benutzt werden, den Drain-Anschluss des PMOS-Transistors P4-1 oder den Drain-Anschluss des PMOS-Transistors P4-2 von 4 herzustellen. In einigen Ausführungsformen kann mindestens die Metal-over-Diffusion-Layout-Struktur 510c oder ein Abschnitt der Metal-over-Diffusion-Layout-Struktur 520b dafür benutzt werden, den Source-Anschluss des PMOS-Transistors P4-2 von 4 herzustellen.
  • In einigen Ausführungsformen kann mindestens die Metal-over-Diffusion-Layout-Struktur 210d oder ein Abschnitt der Metal-over-Diffusion-Layout-Struktur 220c dafür benutzt werden, den Source-Anschluss des NMOS-Transistors N4-1 von 4 herzustellen. In einigen Ausführungsformen kann mindestens die Metal-over-Diffusion-Layout-Struktur 510b oder ein Abschnitt der Metal-over-Diffusion-Layout-Struktur 520a dafür benutzt werden, den Drain-Anschluss des NMOS-Transistors N4-1 oder den Source-Anschluss des NMOS-Transistors N4-2 von 4 herzustellen. In einigen Ausführungsformen kann mindestens die Metal-over-Diffusion-Layout-Struktur 510d oder ein Abschnitt der Metal-over-Diffusion-Layout-Struktur 520c dafür benutzt werden, den Drain-Anschluss des NMOS-Transistors N4-2 von 4 herzustellen.
  • Die Isolierschicht-Layout-Struktur 514a (zusammen als ein „Satz Isolierschicht-Layout-Strukturen 514“ bezeichnet) erstreckt sich in der zweiten Richtung Y. Mindestens eine der Layout-Strukturen des Satzes Isolierschicht-Layout-Strukturen 514 überlappt den Satz Aktivregion-Layout-Strukturen 202. In einigen Ausführungsformen befindet sich mindestens eine der Layout-Strukturen des Satzes Isolierschicht-Layout-Strukturen 514 über mindestens einer der Layout-Strukturen des Satzes Metal-over-Diffusion-Layout-Strukturen 510. Die Isolierschicht-Layout-Struktur 514a des Satzes Isolierschicht-Layout-Strukturen 514 befindet sich über der Metal-over-Diffusion-Layout-Struktur 510b des Satzes Metal-over-Diffusion-Layout-Struktur 510.
  • In einigen Ausführungsformen überlappt mindestens eine der Layout-Strukturen des Satzes Isolierschicht-Layout-Strukturen 514 mindestens eine der Layout-Strukturen des Satzes Metal-over-Diffusion-Layout-Strukturen 510.
  • In einigen Ausführungsformen befindet sich mindestens eine der Layout-Strukturen des Satzes Isolierschicht-Layout-Strukturen 514 unter mindestens einer der Layout-Strukturen des Satzes Metal-over-Diffusion-Layout-Strukturen 520. Die Isolierschicht-Layout-Struktur 514a des Satzes Isolierschicht-Layout-Strukturen 514 befindet sich unter der Metal-over-Diffusion-Layout-Struktur 520a des Satzes Metal-over-Diffusion-Layout-Strukturen 520. Die Isolierschicht-Layout-Struktur 514a liegt zwischen der Metal-over-Diffusion-Layout-Struktur 520a und der Metal-over-Diffusion-Layout-Struktur 510b.
  • In einigen Ausführungsformen befindet sich der Satz Metal-over-Diffusion-Layout-Strukturen 510 zwischen dem zweiten Abschnitt der zweiten Layout-Ebene und der dritten Layout-Ebene. In einigen Ausführungsformen befindet sich der Satz Isolierschicht-Layout-Strukturen 514 zwischen dem MD2-Abschnitt und dem MD1-Abschnitt. In einigen Ausführungsformen befindet sich der Satz Isolierschicht-Layout-Strukturen 514 zwischen einem Gate (POLY2)-Abschnitt (zum Beispiel 12A-12B), und einem Gate des POLY1-Abschnitts.
  • Der Satz Isolierschicht-Layout-Strukturen 514 kann dafür benutzt werden, den Satz Isolierschichten 614 (6) des integrierten Schaltkreises 600 herzustellen. In einigen Ausführungsformen kann die Isolierschicht-Layout-Struktur 514a des Satzes Isolierschicht-Layout-Strukturen 514 dafür benutzt werden, eine entsprechende Isolierschicht 614a des Satzes Isolierschichten 614 (6) des integrierten Schaltkreises 600 herzustellen.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Anzahlen von Strukturen in dem Satz Isolierschicht-Layout-Strukturen 514 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung. Zum Beispiel enthält in einigen Ausführungsformen der Satz Isolierschicht-Layout-Strukturen 514 eine Anzahl von Layout-Strukturen, die größer ist als 1, und die Layout-Strukturen des Satzes Isolierschicht-Layout-Strukturen 514 sind von anderen Layout-Strukturen des Satzes Isolierschicht-Layout-Strukturen 514 in mindestens der ersten Richtung X oder der zweiten Richtung Y getrennt.
  • Im Vergleich zu dem Layout-Design 200 von 2 ersetzt der Satz Leitfähige-Strukturelemente-Layout-Strukturen 540 den Satz Leitfähige-Strukturelemente-Layout-Strukturen 240. Der Satz Leitfähige-Strukturelemente-Layout-Strukturen 540 ähnelt dem Satz Leitfahige-Strukturelemente-Layout-Strukturen 240, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird. Elemente des Satzes Leitfähige-Strukturelemente-Layout-Strukturen 540 ähneln den entsprechenden Elementen des Satzes Leitfähige-Strukturelemente-Layout-Strukturen 240, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Der Satz Leitfähige-Strukturelemente-Layout-Strukturen 540 enthält mindestens Leitfähige-Strukturelemente-Layout-Strukturen 240a, 240b, 240c oder 540d. Die Leitfähige-Strukturelemente-Layout-Struktur 540d ersetzt die entsprechende Leitfähige-Strukturelemente-Layout-Struktur 240d von 2, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Der Satz Leitfähige-Strukturelemente-Layout-Strukturen 540 kann dafür benutzt werden, einen entsprechenden Satz leitfähiger Strukturelemente 640 (6) des integrierten Schaltkreises 600 herzustellen. In einigen Ausführungsformen können die Leitfähige-Strukturelemente-Layout-Strukturen 240a, 240b, 240c, 540d des Satzes Leitfähige-Strukturelemente-Layout-Strukturen 540 dafür benutzt werden, entsprechende leitfähige Strukturelemente 340a (nicht gezeigt), 340b (nicht gezeigt), 340c (nicht gezeigt), 640d des Satzes leitfähiger Strukturelemente 640 (6) des integrierten Schaltkreises 600 herzustellen.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Anzahlen von Strukturen in dem Satz Leitfähige-Strukturelemente-Layout-Strukturen 540 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Im Vergleich zu dem Layout-Design 200 von 2 ersetzt der Satz Durchkontaktierungs-Layout-Strukturen 550 den Satz Durchkontaktierungs-Layout-Strukturen 250. Der Satz Durchkontaktierungs-Layout-Strukturen 550 oder 560 ähnelt dem Satz Durchkontaktierungs-Layout-Strukturen 250, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird. Elemente des Satzes Durchkontaktierungs-Layout-Strukturen 550 oder 560 ähneln den entsprechenden Elementen des Satzes Durchkontaktierungs-Layout-Strukturen 250, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Der Satz Durchkontaktierungs-Layout-Strukturen 550 enthält mindestens Durchkontaktierungs-Layout-Strukturen 250b, 250c, 550a, 550b oder 550c. Der Satz Durchkontaktierungs-Layout-Strukturen 560 enthält mindestens die Durchkontaktierungs-Layout-Struktur 560a. Der Satz Durchkontaktierungs-Layout-Strukturen 560 ist an einem Tallover-Via-Gate (VGT) eines oder mehrerer der Layout-Designs 200, 500, 800 oder 1100 (2, 5, 8 oder 11) oder der integrierten Schaltkreise 300, 600, 900, 1200-1200' (3, 6, 9 oder 12A-12D) positioniert In einigen Ausführungsformen liegt die VGTEbene zwischen der Mo-Ebene und der POLY1-Ebene. In einigen Ausführungsformen liegt die VGT-Ebene zwischen der vierten Layout-Ebene und dem ersten Abschnitt der zweiten Layout-Ebene (POLY1).
  • Die Durchkontaktierungs-Layout-Struktur 550a liegt zwischen der Leitfähige-Strukturelemente-Layout-Struktur 540d und der Metal-over-Diffusion-Layout-Struktur 520a. Die Durchkontaktierungs-Layout-Struktur 550b liegt zwischen der Leitfähige-Strukturelemente-Layout-Struktur 540d und der Metal-over-Diffusion-Layout-Struktur 520c. Die Durchkontaktierungs-Layout-Struktur 550c liegt zwischen der die Stromschienen-Layout-Struktur 242a und der Metal-over-Diffusion-Layout-Struktur 520b. Die Durchkontaktierungs-Layout-Struktur 560a liegt zwischen der Leitfähige-Strukturelemente-Layout-Struktur 240b und der Gate-Layout-Struktur 504c.
  • Der Satz Durchkontaktierungs-Layout-Strukturen 550, 560 kann dafür benutzt werden, einen entsprechenden Satz Durchkontaktierungen 650, 660 (6) des integrierten Schaltkreises 600 herzustellen. In einigen Ausführungsformen können die Durchkontaktierungs-Layout-Strukturen 250b, 250c, 550a, 550b, 550c des Satzes Durchkontaktierungs-Layout-Strukturen 550 dafür benutzt werden, entsprechende Durchkontaktierungen 350b, 350c, 650a, 650b, 650c des Satzes Durchkontaktierungen 650 (6) des integrierten Schaltkreises 600 herzustellen. In einigen Ausführungsformen kann die Durchkontaktierungs-Layout-Struktur 560a des Satzes Durchkontaktierungs-Layout-Strukturen 560 dafür benutzt werden, entsprechende Durchkontaktierungen 660a des Satzes Durchkontaktierungen 660 (6) des integrierten Schaltkreises 600 herzustellen. In einigen Ausführungsformen enthält der Satz Durchkontaktierungs-Layout-Strukturen 560 andere Elemente (zur Vereinfachung der Veranschaulichung nicht gezeigt).
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Anzahlen von Strukturen in dem Satz Durchkontaktierungs-Layout-Strukturen 550 oder 560 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • INTEGRIERTER SCHALTKREIS
  • 6A ist eine perspektivische Ansicht eines Schaubildes eines integrierten Schaltkreises 600 gemäß einigen Ausführungsformen. 6B ist eine Querschnittsansicht eines vergrößerten Abschnitts 680 des integrierten Schaltkreises 600, der durch die Ebene A-A' überschnitten wird, gemäß einigenAusführungsformen. 6C ist eine Querschnittsansicht eines vergrößerten Abschnitts 690 des integrierten Schaltkreises 600, der durch die Ebene B-B' überschnitten wird, gemäß einigenAusführungsformen.
  • Der integrierte Schaltkreis 600 ist eine Variation des integrierten Schaltkreises 300 ( 3). Zum Beispiel veranschaulicht in einigen Ausführungsformen der integrierte Schaltkreis 600 ein Beispiel, wo Kontakte 610a, 610b und 620a entsprechenden Kontakte 310a, 310c und 320a ersetzen, und eine Isolierschicht 614a ist zwischen Kontakt 620a und Kontakt 610b positioniert, wodurch die Kontakte 620a, 610a elektrisch voneinander isoliert werden.
  • Der integrierte Schaltkreis 600 wird durch das Layout-Design 500 hergestellt. Der integrierte Schaltkreis 600 ist eine Ausführungsform eines Abschnitts des integrierten Schaltkreises 400.
  • Die strukturellen Beziehungen, einschließlich Ausrichtung, Längen und Breiten, sowie Konfigurationen des integrierten Schaltkreises 600 ähneln den strukturellen Beziehungen und Konfigurationen des Layout-Designs 500 von 5, und auf eine ähnliche detaillierte Beschreibung wird in 6 im Interesse der besseren Übersichtlichkeit verzichtet.
  • Der integrierte Schaltkreis 600 enthält ein Substrat 601, den Satz aktiver Regionen 602, den Satz Gates 604, den Satz Kontakte 610, einen Satz Isolierschichten 614, den Satz Kontakte 620, den Satz leitfähiger Strukturelemente 640, den Satz Stromschienen 342 und den Satz Durchkontaktierungen 650 und 660, und eine isolierende Region 690. Jedoch zeigt der integrierte Schaltkreis 300 zur Vereinfachung der Veranschaulichung mindestens eines der Elemente in dem Satz Gates 604, dem Satz Kontakte 610, dem Satz Kontakte 620, dem Satz leitfähiger Strukturelemente 640, dem Satz Stromschienen 342, dem Satz Durchkontaktierungen 650 oder dem Satz Durchkontaktierungen 660 nicht.
  • Im Vergleich zu dem integrierten Schaltkreis 300 von 3 ersetzt der Satz aktiver Regionen 602 den Satz aktiver Regionen 302, der Satz Gates 604 ersetzt den Satz Gates 304, der Satz Kontakte 610 ersetzt den Satz Kontakte 310, der Satz Kontakte 620 ersetzt den Satz Kontakte 320, der Satz leitfähiger Strukturelemente 640 ersetzt den Satz leitfähiger Strukturelemente 340, und der Satz Durchkontaktierungen 650 oder 660 ersetzt den Satz Durchkontaktierungen 350.
  • Der Satz aktiver Regionen 602 ähnelt dem Satz aktiver Regionen 302, der Satz Gates 604 ähnelt dem Satz Gates 204, der Satz Kontakte 610 ähnelt dem Satz Kontakte 310, der Satz Kontakte 620 ähnelt dem Satz Kontakte 320, der Satz leitfähiger Strukturelemente 640 ähnelt dem Satz leitfähiger Strukturelemente 340, und der Satz Durchkontaktierungen 650 oder 660 ähnelt dem Satz Durchkontaktierungen 350, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Der Satz Gates 604 enthält Gates 304a (nicht gezeigt), 304b, 604c und 604d (nicht gezeigt). Gate 604c erstreckt sich in der zweiten Richtung Y. Gate 304b des Satzes Gates 604 entspricht dem Gate-Anschluss des PMOS-Transistors P4-1 und dem Gate-Anschluss des NMOS-Transistors N4-1 von 4. Gate 604c des Satzes Gates 604 entspricht dem Gate-Anschluss des PMOS-Transistors P4-2 und dem Gate-Anschluss des NMOS-Transistors N4-2 von 4.
  • Der Satz aktiver Regionen 602 enthält die aktiven Regionen 302a1, 302a2, 302b1 und 302b2 und die aktiven Regionen 602a3 und 602b3 innerhalb des Substrats 601. In einigen Ausführungsformen entspricht die aktive Region 302a1 dem Drain des NMOS-Transistors N4-1 oder der Source des NMOS-Transistors N4-2. In einigen Ausführungsformen entspricht die aktive Region 302b1 dem Drain des PMOS-Transistors P4-1 oder dem Drain des PMOS-Transistors P4-2.
  • In einigen Ausführungsformen entspricht die aktive Region 302a2 der Source des NMOS-Transistors N4-1, die aktive Region 302b2 entspricht der Source des PMOS-Transistors P4-1, die aktive Region 602a3 entspricht dem Drain des NMOS-Transistors N4-2, und die aktive Region 602b3 entspricht der Source des PMOS-Transistors P4-2. Andere Konfigurationen oder Anordnungen in dem Satz aktiver Regionen 602 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Der Satz Kontakte 610 enthält die Kontakte 310b, 310d, 610a, 610b, 610c und 610d. Die Kontakte 610a, 610b, 610c, 610d des Satzes Kontakte 610 erstrecken sich in der zweiten Richtung Y und überlappen entsprechende aktive Regionen 302b1, 302a1, 602b3, 602a3 des Satzes aktiver Regionen 602. Die Kontakte 610a, 610b, 610c, 610d des Satzes Kontakte 610 sind elektrisch mit entsprechenden aktiven Regionen 302b1, 302a1, 602b3, 602a3 des Satzes aktiver Regionen 602 gekoppelt. Andere Konfigurationen oder Anordnungen des Satzes Kontakte 610 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Der Satz Isolierschichten 614 enthält die Isolierschicht 614a. Der Satz Isolierschichten 614 erstrecken sich in der zweiten Richtung Y. Die Isolierschicht 614a befindet sich über dem Kontakt 610b. Die Isolierschicht 614a ist zwischen Kontakt 610b und Kontakt 620a des Satzes Kontakte 620 positioniert, wodurch die Kontakte 610b und 620a elektrisch voneinander isoliert werden.
  • In einigen Ausführungsformen befindet sich der Satz Isolierschichten 614 über anderen Kontakten des Satzes Kontakte 610, die von dem Kontakt 610b verschieden sind, und der Satz Isolierschichten 614 isoliert die entsprechenden einen oder mehreren anderen Kontakte elektrisch von anderen darüberliegenden Schichten (zum Beispiel Kontakten in der MD2-Schicht).
  • In einigen Ausführungsformen befindet sich der Satz Isolierschichten 614 über einem oder mehreren Gates des Satzes Gates 604 (ähnlich den 12A-12B), und der Satz Isolierschichten 614 isoliert die entsprechenden einen oder mehreren Gates elektrisch von anderen darüberliegenden Schichten (zum Beispiel Kontakten in der MD2-Schicht).
  • In einigen Ausführungsformen enthält mindestens eine Isolierschicht in dem Satz Isolierschichten 614 eine oder mehrere Schichten eines dielektrischen Materials. In einigen Ausführungsformen enthält das dielektrische Material SiOCN, SiO2, SiOC oder dergleichen oder Kombinationen davon.
  • In einigen Ausführungsformen hat mindestens eine Isolierschicht in dem Satz Isolierschichten 614, 914, 1214 oder 1216 (9 und 12A-12B) eine Dicke TIL in der dritten Richtung Z, die im Bereich von etwa 0,05 TMD1 bis etwa 0,15 TMD1 liegt, wobei die Dicke TMD1 einer Dicke in der dritten Richtung Z eines oder mehrerer Kontakte 610 oder Kontakte 310, 910, 1210 oder 1212 (3, 9 und 12A-12B) in der MD1-Metallisierungsschicht oder eines oder mehrerer Gates in dem Satz Gates 304, 604, 904, 1204 (3, 6, 9 und 12A-12B) in der POLY1-Schicht entspricht. In einigen Fällen kann es passieren, wenn die Dicke TIL geringer als 0,05 TMD1 ist, dass die Isolierschicht 614a die entsprechenden Kontakte nicht effektiv elektrisch voneinander isoliert, was zu elektrischen Kurzschlüssen führt. In einigen Fällen, wenn die Dicke TIL größer als 0,15 TMD1 ist, kann die Fläche der Isolierschicht 614a die Fläche des integrierten Schaltkreises 600 vergrößern oder die Fläche der Kontakte 610b oder 620a verringern, was die Produktionsausbeute mindert.
  • Andere Konfigurationen oder Anordnungen in dem Satz Isolierschichten 614 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Die isolierende Region 690 ähnelt der Isolierschicht 614, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird. Die isolierende Region 690 ist dafür konfiguriert, ein oder mehrere Elemente in dem integrierten Schaltkreis 600 voneinander zu isolieren. Die isolierenden Regionen 390, 690, 990, 1290 sind in entsprechenden integrierten Schaltkreisen 300, 600, 900, 1200A (1200B) gezeigt, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird. Andere Konfigurationen oder Anordnungen in den isolierenden Regionen 390, 690, 990 und 1290 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Der Satz Kontakte 620 enthält die Kontakte 320b, 320c, 620a, 620b und 620c. Die Kontakte 620a, 620b, 620c des Satzes Kontakte 620 erstrecken sich in der zweiten Richtung Y.
  • Kontakt 620a überlappt den, und ist elektrisch gekoppelt mit dem, Kontakt 610a. Kontakt 620a überlappt Isolierschicht 614a und Kontakt 610b. Jedoch ist Kontakt 620a nicht elektrisch mit dem Kontakt 610b gekoppelt, da Isolierschicht 614a zwischen Kontakt 610b und Kontakt 620a positioniert ist.
  • In einigen Ausführungsformen werden Kontakt 620a oder andere Kontakte, die Kontakt 620a ähneln, als „MD-Flyer“-Abschnitte bezeichnet, da diese Kontakte mindestens ein Paar Kontakte in der MD1-Ebene überlappen oder sich darüber erstrecken.
  • In einigen Ausführungsformen stellt Kontakt 620a - durch Positionieren des Kontakts 620a auf der Isolierschicht 614a und Überlappen des Kontakts 610a - zusätzliche Routungsressourcen bereit, die sich in der zweiten Richtung Y erstrecken und unter anderen Metallisierungsebenen (zum Beispiel M0, M1 usw.) für einen integrierten Schaltkreis 600 positioniert sind. Durch Bereitstellen von Routungsressourcen unter anderen Metallisierungsebenen (zum Beispiel M0, M1 usw.) kann die Verwendung der anderen Metallisierungsebenen (zum Beispiel M0, M1 usw.) verringert werden, oder die anderen Metallisierungsebenen (zum Beispiel M0, M1 usw.) können als zusätzliche Routungsressourcen verwendet werden, was dazu führt, dass der integrierte Schaltkreis 600 eine kleinere Fläche und eine kleinere Standardzelle hat als andere Lösungsansätze.
  • Kontakt 620b überlappt Kontakt 610c, wodurch eine elektrische Verbindung zwischen Kontakt 620b und Kontakt 610c bereitgestellt wird. Kontakt 620c befindet sich über, und ist elektrisch gekoppelt mit, Kontakt 610d. Andere Konfigurationen oder Anordnungen des Satzes Kontakte 620 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Der Satz leitfähiger Strukturelemente 640 enthält leitfähige Strukturelemente 340a (nicht gezeigt), 340b (nicht gezeigt), 340c (nicht gezeigt) und 640d. Das leitfähige Strukturelement 640a ist elektrisch mit dem Kontakt 620a über die Durchkontaktierung 650a gekoppelt und ist elektrisch mit dem Kontakt 620c über die Durchkontaktierung 650b gekoppelt. Andere Konfigurationen oder Anordnungen des Satzes leitfähiger Strukturelemente 640 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Der Satz Durchkontaktierungen 650 enthält Durchkontaktierungen 350b, 350c, 650a, 650b und 650c. Der Satz Durchkontaktierungen 660 enthält die Durchkontaktierung 660a. Durchkontaktierung 650a liegt zwischen dem leitfähigen Strukturelement 640d und dem Kontakt 620a und stellt dadurch eine elektrische Verbindung zwischen dem leitfähigen Strukturelement 640d und dem Kontakt 620a her.
  • Durchkontaktierung 650b liegt zwischen dem leitfähigen Strukturelement 640d und dem Kontakt 620c und stellt dadurch eine elektrische Verbindung zwischen dem leitfähigen Strukturelement 640d und dem Kontakt 620c her.
  • Durchkontaktierung 650c liegt zwischen der Stromschiene 342a und dem Kontakt 620b und stellt dadurch eine elektrische Verbindung zwischen der Stromschiene 342a und dem Kontakt 620b her. In einigen Ausführungsformen hat mindestens eine Durchkontaktierung des Satzes Durchkontaktierungen 650 die gleiche Höhe in einer dritten Richtung Z wie mindestens eine andere Durchkontaktierung in dem Satz Durchkontaktierungen 650.
  • Durchkontaktierung 660a liegt zwischen dem leitfähigen Strukturelement 640b und dem Gate 604c. Durchkontaktierung 660a ist direkt mit dem Gate 604c gekoppelt. Durchkontaktierung 660a stellt eine elektrische Verbindung zwischen dem leitfähigen Strukturelement 640b und dem Gate 604c bereit. In einigen Ausführungsformen hat mindestens eine Durchkontaktierung des Satzes Durchkontaktierungen 660 die gleiche Höhe in der dritten Richtung Z wie mindestens eine Durchkontaktierung in dem Satz Durchkontaktierungen 660. In einigen Ausführungsformen ist die Durchkontaktierung 660a direkt mit dem Gate 604c ohne einen darüberliegenden Kontakt (zum Beispiel POLY2 in der Ebene) gekoppelt, so dass eine Höhe (nicht mit Bezugszeichen versehen) mindestens einer Durchkontaktierung des Satzes Durchkontaktierungen 660 größer ist als eine Höhe (nicht mit Bezugszeichen versehen) mindestens einer Durchkontaktierung in dem Satz Durchkontaktierungen 650 in der dritten Richtung Z.
  • In einigen Ausführungsformen ist die aktive Region 602b3 (zum Beispiel die Source des PMOS-Transistors P4-2) elektrisch mit der Spannungsversorgung VDD gekoppelt. Zum Beispiel ist in einigen Ausführungsformen die aktive Region 602b3 elektrisch mit dem Kontakt 610c gekoppelt, Kontakt 610c ist elektrisch mit dem Kontakt 620b gekoppelt, Kontakt 620b ist elektrisch mit der Stromschiene 342a über die Durchkontaktierung 350b gekoppelt, und Stromschiene 342a ist mit der Spannungsversorgung VDD gekoppelt.
  • Wie in den 6A-6C gezeigt, ist die Durchkontaktierung 650b (die sich in der VD-Ebene befindet) direkt mit dem Kontakt 620c, der in der MD2-Schicht positioniert ist, gekoppelt, und die Durchkontaktierung 660a (die sich in der VGT-Ebene befindet), ist direkt mit dem Gate 604c (das in der POLY1-Ebene positioniert ist) gekoppelt. In einigen Ausführungsformen ist jede der Durchkontaktierungen des Satzes Durchkontaktierungen 660, die sich in der VGT-Ebene befinden, direkt mit einem entsprechenden Gate des Satz Gates 604 in der POLYi-Schicht ohne Verwendung eines Gates in der POLY2-Schicht der 12A-12B gekoppelt. In einigen Ausführungsformen können die Layout-Designs 300 und 900 der 3, und 9 so modifiziert werden, dass sie Durchkontaktierungen ähnlich denen enthalten, die für die Durchkontaktierung 660a des Satzes Durchkontaktierungen 660 beschrieb wurden, was innerhalb des Schutzumfangs der vorliegenden Offenbarung liegt. Andere Konfigurationen oder Anordnungen des Satzes Durchkontaktierungen 650 oder 660 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • In einigen Ausführungsformen können einer oder mehrere des Satzes Kontakte 610, des Satzes Isolierschichten 614, des Satzes Kontakte 620 oder des Satzes leitfähiger Strukturelemente 640 in einer ähnlichen Weise konfiguriert werden wie das leitfähige Strukturelement 640d, die Durchkontaktierung 650a, die Kontakte 620a und die Isolierschicht 614a, um eine oder mehrere der aktiven Regionen des Satzes aktiver Regionen 602 elektrisch mit einem oder mehreren Gates der Satz Gates 604 in dem integrierten Schaltkreis 600 zu koppeln.
  • In einigen Ausführungsformen ist mindestens der Kontakt 620a dafür konfiguriert, eine elektrische Verbindung zwischen einem ersten Abschnitt (zum Beispiel Drain) eines Transistors (zum Beispiel NMOS N4-2) und einem zweiten Abschnitt (zum Beispiel Drain) eines Paares Transistoren (zum Beispiel PMOS P4-1 und PMOS 4-2) bereitzustellen. Zum Beispiel entspricht in einigen Ausführungsformen die aktive Region 602a3 dem Drain des NMOS-Transistors N4-2, und die aktive Region 302b1 entspricht dem Drain des PMOS-Transistors P4-1 und dem Drain des PMOS-Transistors P4-2, und die aktive Region 602a3 und die aktive Region 302b1 sind durch mindestens den Kontakt 620a des Satzes Kontakte 620 elektrisch miteinander gekoppelt.
  • In einigen Ausführungsformen ist die aktive Region 602a3 elektrisch mit dem Kontakt 610d gekoppelt, Kontakt 610d ist elektrisch mit dem Kontakt 620c gekoppelt, Kontakt 620c ist elektrisch mit der Durchkontaktierung 650b gekoppelt, Durchkontaktierung 650b ist elektrisch mit dem leitfähigen Strukturelement 640d gekoppelt, das leitfähige Strukturelement 640d ist elektrisch mit der Durchkontaktierung 650a gekoppelt, Durchkontaktierung 650a ist elektrisch mit dem Kontakt 620a gekoppelt, Kontakt 620a ist elektrisch mit dem Kontakt 610a gekoppelt, und Kontakt 610a ist elektrisch mit der aktiven Region 302b1 gekoppelt.
  • In einigen Ausführungsformen kann - durch Positionieren der Isolierschicht 614a auf dem Kontakt 610b - der Kontakt 620a in der MD2-Schicht auf der Isolierschicht 614a positioniert werden und erstreckt sich in der zweiten Richtung Y, um den Kontakt 610a zu überlappen, wodurch eine elektrische Verbindung zwischen den aktiven Regionen 602a3 und 302b1 des Satzes aktiver Regionen 602 bereitgestellt wird und Routungsressourcen unter anderen Metallisierungsebenen (zum Beispiel M0, M1 usw.) für einen integrierten Schaltkreis 600 bereitgestellt werden. Durch Bereitstellen von Routungsressourcen unter anderen Metallisierungsebenen (zum Beispiel M0, M1 usw.) kann die Verwendung der anderen Metallisierungsebenen (zum Beispiel M0, M1 usw.) verringert werden, oder die anderen Metallisierungsebenen (zum Beispiel M0, M1 usw.) können als zusätzliche Routungsressourcen verwendet werden, was dazu führt, dass der integrierte Schaltkreis 600 eine kleinere Fläche und eine kleinere Standardzelle hat als andere Lösungsansätze.
  • INTEGRIERTER SCHALTKREIS
  • 7 ist ein Schaltbild eines integrierten Schaltkreises 700 gemäß einigen Ausführungsformen. In einigen Ausführungsformen ist der integrierte Schaltkreis 700 ein 2-2-AND-OR-INVERT (AOI)-Schaltkreis. Ein 2-2-AOI-Schaltkreis wird zur Veranschaulichung verwendet, aber andere Arten von Schaltkreisen, einschließlich anderer Arten von AOI-Schaltkreisen, liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Der integrierte Schaltkreis 700 enthält PMOS-Transistoren P7-1, P7-2, P7-3 und P7-4, die mit NMOS-Transistoren N7-1, N7-2, N7-3 und N7-4 gekoppelt sind.
  • Ein Gate-Anschluss des PMOS-Transistors P7-1 und des NMOS-Transistors N7-1 sind miteinander gekoppelt und sind als ein Eingangsknoten IN1 konfiguriert. Ein Gate-Anschluss des PMOS-Transistors P7-2 und des NMOS-Transistors N7-2 sind miteinander gekoppelt und sind als ein Eingangsknoten IN2 konfiguriert. Ein Gate-Anschluss des PMOS-Transistors P7-3 und des NMOS-Transistors N7-3 sind miteinander gekoppelt und sind als ein Eingangsknoten IN3 konfiguriert. Ein Gate-Anschluss des PMOS-Transistors P7-4 und des NMOS-Transistors N7-4 sind miteinander gekoppelt und sind als ein Eingangsknoten IN4 konfiguriert.
  • Ein Source-Anschluss des PMOS-Transistors P7-3 und ein Source-Anschluss des PMOS-Transistors P7-4 sind mit der Spannungsversorgung VDD gekoppelt. Ein Source-Anschluss des NMOS-Transistors N7-1 und ein Source-Anschluss des NMOS-Transistors N7-4 sind jeweils mit der Referenzspannungsversorgung VSS gekoppelt.
  • Ein Source-Anschluss des NMOS-Transistors N7-2 und ein Drain-Anschluss des NMOS-Transistors N7-1 sind miteinander gekoppelt. Ein Source-Anschluss des NMOS-Transistors N7-3 und ein Drain-Anschluss des NMOS-Transistors N7-4 sind miteinander gekoppelt.
  • Ein Source-Anschluss des PMOS-Transistors P7-1, ein Source-Anschluss des PMOS-Transistors P7-2, ein Drain-Anschluss des PMOS-Transistors P7-3 und ein Drain-Anschluss des PMOS-Transistors P7-4 sind miteinander gekoppelt.
  • Ein Drain-Anschluss des PMOS-Transistors P7-1, ein Drain-Anschluss des PMOS-Transistors P7-2, ein Drain-Anschluss des NMOS-Transistors N7-2 und ein Drain-Anschluss des NMOS-Transistors N7-3 sind miteinander gekoppelt und sind als ein Ausgangsknoten OUT2 konfiguriert.
  • LAYOUT-DESIGN EINES INTEGRIERTEN SCHALTKREISES
  • 8 ist ein Schaubild eines Layout-Designs 800 eines integrierten Schaltkreises gemäß einigen Ausführungsformen.
  • Das Layout-Design 800 ist eine Variation des Layout-Designs 500 (5).
  • Das Layout-Design 800 kann dafür benutzt werden, einen integrierten Schaltkreis ähnlich dem integrierten Schaltkreis 700 von 7 oder dem integrierten Schaltkreis 900 von 9 herzustellen.
  • Das Layout-Design 800 enthält den Satz Aktivregion-Layout-Strukturen 202, den Satz Schienen-Layout-Strukturen 242, den Satz Gate-Layout-Strukturen 804, den Satz Metal-over-Diffusion-Layout-Strukturen 810, den Satz Isolierschicht-Layout-Strukturen 514, den Satz Metal-over-Diffusion-Layout-Strukturen 820, den Satz Leitfähige-Strukturelemente-Layout-Strukturen 840, und einen Satz Durchkontaktierungs-Layout-Strukturen 850.
  • In einigen Ausführungsformen enthält das Layout-Design 800 eine Region 801, die Abschnitten des Layout-Designs 500 von 5 ähnelt, weshalb auf eine ähnliche detaillierte Beschreibung im Interesse der Kürze verzichtet wird und auf eine Benennung einiger ähnlicher Elemente in Region 801 mit Bezugszeichen ebenfalls zur Vereinfachung der Veranschaulichung verzichtet wird.
  • Im Vergleich zu dem Layout-Design 500 von 5 ersetzt der Satz Gate-Layout-Strukturen 804 den Satz Gate-Layout-Strukturen 504, der Satz Metal-over-Diffusion-Layout-Strukturen 810 ersetzt den Satz Metal-over-Diffusion-Layout-Strukturen 510, der Satz Metal-over-Diffusion-Layout-Strukturen 820 ersetzt den Satz Metal-over-Diffusion-Layout-Strukturen 520, der Satz Leitfähige-Strukturelemente-Layout-Strukturen 840 ersetzt den Satz Leitfähige-Strukturelemente-Layout-Strukturen 540, und der Satz Durchkontaktierungs-Layout-Strukturen 850 ersetzt den Satz Durchkontaktierungs-Layout-Strukturen 550.
  • Der Satz Gate-Layout-Strukturen 804 ähnelt dem Satz Gate-Layout-Strukturen 504, der Satz Metal-over-Diffusion-Layout-Strukturen 810 ähnelt dem Satz Metal-over-Diffusion-Layout-Strukturen 510, der Satz Metal-over-Diffusion-Layout-Strukturen 820 ähnelt dem Satz Metal-over-Diffusion-Layout-Strukturen 520, der Satz Leitfahige-Strukturelemente-Layout-Strukturen 840 ähnelt dem Satz Leitfähige-Strukturelemente-Layout-Strukturen 540, und der Satz Durchkontaktierungs-Layout-Strukturen 850 ähnelt dem Satz Durchkontaktierungs-Layout-Strukturen 550, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Der Satz Gate-Layout-Strukturen 804 enthält den Satz Gate-Layout-Strukturen 504 und Gate-Layout-Strukturen 804e und 804f. Der Satz Gate-Layout-Strukturen 804 des Layout-Designs 800 und der integrierte Schaltkreis 900 haben einen CPP von 5. Der Satz Gate-Layout-Strukturen 804 kann dafür benutzt werden, einen entsprechenden Satz Gates 904 (9) des integrierten Schaltkreises 900 herzustellen. In einigen Ausführungsformen können die Gate-Layout-Strukturen 504d, 804e des Satzes Gate-Layout-Strukturen 804 dafür benutzt werden, entsprechende Gates 904d, 904e des Satzes Gates 904 (9) des integrierten Schaltkreises 900 herzustellen.
  • Im Vergleich zu dem Layout-Design 500 von 5 ist die Gate-Layout-Struktur 504d von 8 nicht entlang des Randes des Layout-Designs 800 positioniert. Die Gate-Layout-Strukturen 804e, 804f ähneln der Gate-Layout-Struktur 504b oder 504c, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird. Die Gate-Layout-Struktur 804f ist entlang des Randes des Layout-Designs 500 positioniert. In einigen Ausführungsformen ähneln mindestens aus Gründen, zu denen die Positionierung entlang des Randes des Layout-Designs (zum Beispiel des Layout-Designs 800) gehört, die Strukturelemente der Gate-Layout-Struktur 804f der Gate-Layout-Struktur 204c (2) oder 504d (5), weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • In einigen Ausführungsformen kann die Gate-Layout-Struktur 504b dafür benutzt werden, den Gate-Anschluss des PMOS-Transistors P7-1 und den Gate-Anschluss des NMOS-Transistors N7-1 von 7 herzustellen, die Gate-Layout-Struktur 504c kann dafür benutzt werden, den Gate-Anschluss des PMOS-Transistors P7-2 und den Gate-Anschluss des NMOS-Transistors N7-2 von 7 herzustellen, die Gate-Layout-Struktur 504d kann dafür benutzt werden, den Gate-Anschluss des PMOS-Transistors P7-3 und den Gate-Anschluss des NMOS-Transistors N7-3 von 7 herzustellen, und die Gate-Layout-Struktur 804e kann dafür benutzt werden, den Gate-Anschluss des PMOS-Transistors P7-4 und den Gate-Anschluss des NMOS-Transistors N7-4 von 7 herzustellen. Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Anzahlen von Strukturen in dem Satz Gate-Layout-Strukturen 804 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Der Satz Metal-over-Diffusion-Layout-Strukturen 810 enthält den Satz Metal-over-Diffusion-Layout-Strukturen 510 und die Metal-over-Diffusion-Layout-Strukturen 810a, 810b, 810c und 810d. Der Satz Metal-over-Diffusion-Layout-Strukturen 810 kann dafür benutzt werden, einen entsprechenden Satz Kontakte 910 (9) des integrierten Schaltkreises 900 herzustellen. In einigen Ausführungsformen können die Metal-over-Diffusion-Layout-Strukturen 810a, 810b, 810c, 810d des Satzes Metal-over-Diffusion-Layout-Strukturen 810 dafür benutzt werden, entsprechende Kontakte 910a, 910b, 910c, 910d des Satzes Kontakte 910 (9) des integrierten Schaltkreises 900 herzustellen.
  • In einigen Ausführungsformen sind die Metal-over-Diffusion-Layout-Strukturen 810a, 810b, 810c, 810d Spiegelbilder von entsprechenden Metal-over-Diffusion-Layout-Strukturen 510a, 510b, 210b, 210d mit Bezug auf einen mittigen Abschnitt des Layout-Designs 800 in der zweiten Richtung Y, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • In einigen Ausführungsformen hat jede der Layout-Strukturen des Satzes Metal-over-Diffusion-Layout-Strukturen 810 eine regelmäßige Layout-Struktur. In einigen Ausführungsformen hat jede der Layout-Strukturen des Satzes Metal-over-Diffusion-Layout-Strukturen 810 die gleiche Fläche wie die anderen.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Anzahlen von Strukturen in dem Satz Metal-over-Diffusion-Layout-Strukturen 810 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Der Satz Metal-over-Diffusion-Layout-Strukturen 820 enthält mindestens die Metal-over-Diffusion-Layout-Strukturen 220c, 520a, 520c, 820a, 820b, 820c, 820d, 820e oder 820f.
  • Der Satz Metal-over-Diffusion-Layout-Strukturen 820 kann dafür benutzt werden, einen entsprechenden Satz Kontakte 920 (9) des integrierten Schaltkreises 900 herzustellen.
  • In einigen Ausführungsformen können die Metal-over-Diffusion-Layout-Strukturen 220c, 520a, 520c, 820a, 820b, 820c, 820d, 820e oder 820f des Satzes Metal-over-Diffusion-Layout-Strukturen 820 dafür benutzt werden, entsprechende Kontakte 320c, 620a, 620c, 920a, 920b, 920c, 920d, 920e, 920f des Satzes Kontakte 920 (9) des integrierten Schaltkreises 900 herzustellen.
  • In einigen Ausführungsformen ähneln eine oder mehrere der Metal-over-Diffusion-Layout-Strukturen 820b, 820c der Metal-over-Diffusion-Layout-Struktur 520c von 5, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird. In einigen Ausführungsformen können die Metal-over-Diffusion-Layout-Strukturen 820a, 820d entsprechenden Metal-over-Diffusion-Layout-Strukturen 520b, 220c von 5 ähneln, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • In einigen Ausführungsformen können die Metal-over-Diffusion-Layout-Strukturen 820a, 820c die Aktivregion-Layout-Struktur 202a überlappen und befinden sich über entsprechenden Metal-over-Diffusion-Layout-Strukturen 810a, 810c. In einigen Ausführungsformen können die Metal-over-Diffusion-Layout-Strukturen 820b, 820d die Aktivregion-Layout-Struktur 202b überlappen und befinden sich über entsprechenden Metal-over-Diffusion-Layout-Strukturen 810b, 810d.
  • In einigen Ausführungsformen können die Metal-over-Diffusion-Layout-Strukturen 820a, 820d die entsprechenden Metal-over-Diffusion-Layout-Strukturen 810a, 810d überlappen.
  • In einigen Ausführungsformen sind Seiten der Metal-over-Diffusion-Layout-Strukturen 820b, 820c auf entsprechende Seiten der entsprechenden Metal-over-Diffusion-Layout-Strukturen 810b, 810c ausgerichtet.
  • Die Metal-over-Diffusion-Layout-Strukturen 820e, 820f ersetzen die entsprechenden Metal-over-Diffusion-Layout-Strukturen 520b, 220b von 5, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird. In einigen Ausführungsformen sind Seiten der Metal-over-Diffusion-Layout-Strukturen 820e, 820f auf entsprechende Seiten von entsprechenden Metal-over-Diffusion-Layout-Strukturen 510c, 210b ausgerichtet.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Anzahlen von Strukturen in dem Satz Metal-over-Diffusion-Layout-Strukturen 820 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • In einigen Ausführungsformen kann mindestens die Metal-over-Diffusion-Layout-Struktur 210b oder ein Abschnitt der Metal-over-Diffusion-Layout-Strukturen 820f dafür benutzt werden, den Source-Anschluss des PMOS-Transistors P7-1 von 7 herzustellen. In einigen Ausführungsformen kann mindestens die Metal-over-Diffusion-Layout-Struktur 510a oder ein Abschnitt der Metal-over-Diffusion-Layout-Strukturen 520a dafür benutzt werden, den Drain-Anschluss des PMOS-Transistors P7-1 oder den Drain-Anschluss des PMOS-Transistors P7-2 von 7 herzustellen. In einigen Ausführungsformen kann mindestens die Metal-over-Diffusion-Layout-Struktur 510c oder ein Abschnitt der Metal-over-Diffusion-Layout-Struktur 820e dafür benutzt werden, den Source-Anschluss des PMOS-Transistors P7-2 oder den Drain-Anschluss des PMOS-Transistors P7-3 von 7 herzustellen. In einigen Ausführungsformen kann mindestens die Metal-over-Diffusion-Layout-Struktur 810a oder ein Abschnitt der Metal-over-Diffusion-Layout-Struktur 820a dafür benutzt werden, den Source-Anschluss des PMOS-Transistors P7-3 oder den Source-Anschluss des PMOS-Transistors P7-4 von 7 herzustellen. In einigen Ausführungsformen kann mindestens die Metal-over-Diffusion-Layout-Struktur 810c oder ein Abschnitt der Metal-over-Diffusion-Layout-Struktur 820c dafür benutzt werden, den Drain-Anschluss des PMOS-Transistors P7-4 von 7 herzustellen.
  • In einigen Ausführungsformen kann mindestens die Metal-over-Diffusion-Layout-Struktur 210d oder ein Abschnitt der Metal-over-Diffusion-Layout-Struktur 220c dafür benutzt werden, den Source-Anschluss des NMOS-Transistors N7-1 von 7 herzustellen. In einigen Ausführungsformen kann mindestens die Metal-over-Diffusion-Layout-Struktur 510b oder ein Abschnitt der Metal-over-Diffusion-Layout-Struktur 520a dafür benutzt werden, den Drain-Anschluss des NMOS-Transistors N7-1 oder den Source-Anschluss des NMOS-Transistors N7-2 von 7 herzustellen. In einigen Ausführungsformen kann mindestens die Metal-over-Diffusion-Layout-Struktur 510d oder ein Abschnitt der Metal-over-Diffusion-Layout-Struktur 520c dafür benutzt werden, den Drain-Anschluss des NMOS-Transistors N7-2 oder den Drain-Anschluss des NMOS-Transistors N7-3 von 7 herzustellen. In einigen Ausführungsformen kann mindestens die Metal-over-Diffusion-Layout-Struktur 810b oder ein Abschnitt der Metal-over-Diffusion-Layout-Struktur 820b dafür benutzt werden, den Source-Anschluss des NMOS-Transistors N7-3 oder den Drain-Anschluss des NMOS-Transistors N7-4 von 7 herzustellen. In einigen Ausführungsformen kann mindestens die Metal-over-Diffusion-Layout-Struktur 810d oder ein Abschnitt der Metal-over-Diffusion-Layout-Struktur 820d dafür benutzt werden, den Source-Anschluss des NMOS-Transistors N7-4 von 7 herzustellen.
  • Der Satz Leitfähige-Strukturelemente-Layout-Strukturen 840 enthält mindestens Leitfähige-Strukturelemente-Layout-Strukturen 840a, 240b, 240c oder 5400. Die Leitfähige-Strukturelemente-Layout-Struktur 840a ersetzt die entsprechende Leitfähige-Strukturelemente-Layout-Struktur 240a der 2 und 5, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Der Satz Leitfähige-Strukturelemente-Layout-Strukturen 840 kann dafür benutzt werden, einen entsprechenden Satz leitfähiger Strukturelemente 940 (9) des integrierten Schaltkreises 900 herzustellen. In einigen Ausführungsformen können die Leitfähige-Strukturelemente-Layout-Strukturen 840a, 240b, 240c, 540d des Satzes Leitfähige-Strukturelemente-Layout-Strukturen 840 dafür benutzt werden, entsprechende leitfähige Strukturelemente 940a, 340b (nicht gezeigt), 340c (nicht gezeigt), 640d des Satzes leitfähiger Strukturelemente 940 (9) des integrierten Schaltkreises 900 herzustellen.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Anzahlen von Strukturen in dem Satz Leitfähige-Strukturelemente-Layout-Strukturen 840 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Der Satz Durchkontaktierungs-Layout-Strukturen 850 enthält mindestens Durchkontaktierungs-Layout-Strukturen 250c, 550a, 550b, 850a, 850b, 850c, 850d oder 850e.
  • Der Satz Durchkontaktierungs-Layout-Strukturen 850 kann dafür benutzt werden, einen entsprechenden Satz Durchkontaktierungen 950 (9) des integrierten Schaltkreises 900 herzustellen. In einigen Ausführungsformen können die Durchkontaktierungs-Layout-Strukturen 250c, 550a, 550b, 850a, 850b, 850c, 850d oder 850e des Satzes Durchkontaktierungs-Layout-Strukturen 850 dafür benutzt werden, entsprechende Durchkontaktierungen 350c, 650a, 650b, 950a, 950b, 950c, 950d, 950e des Satzes Durchkontaktierungen 950 (9) des integrierten Schaltkreises 900 herzustellen.
  • Die Durchkontaktierungs-Layout-Strukturen 850a, 850b ersetzen die entsprechenden Durchkontaktierungs-Layout-Strukturen 250b, 550c von 5, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird. In einigen Ausführungsformen können die Durchkontaktierungs-Layout-Strukturen 850c, 850e entsprechenden Durchkontaktierungs-Layout-Strukturen 250b, 250c der 2 und 5 ähneln, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird. In einigen Ausführungsformen ähnelt die Durchkontaktierungs-Layout-Struktur 850d der entsprechenden Durchkontaktierungs-Layout-Struktur 550b von 5, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Die Durchkontaktierungs-Layout-Struktur 850a liegt zwischen der Leitfähige-Strukturelemente-Layout-Struktur 840a und der Metal-over-Diffusion-Layout-Struktur 820f. Die Durchkontaktierungs-Layout-Struktur 850b liegt zwischen der Leitfähige-Strukturelemente-Layout-Struktur 840a und der Metal-over-Diffusion-Layout-Struktur 820e. Die Durchkontaktierungs-Layout-Struktur 850d liegt zwischen der Leitfähige-Strukturelemente-Layout-Struktur 840a und der Metal-over-Diffusion-Layout-Struktur 820c.
  • Die Durchkontaktierungs-Layout-Struktur 850c liegt zwischen der die Stromschienen-Layout-Struktur 242a und der Metal-over-Diffusion-Layout-Struktur 820a. Die Durchkontaktierungs-Layout-Struktur 850e liegt zwischen der die Stromschienen-Layout-Struktur 242b und der Metal-over-Diffusion-Layout-Struktur 820d.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Anzahlen von Strukturen in dem Satz Durchkontaktierungs-Layout-Strukturen 850 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • INTEGRIERTER SCHALTKREIS
  • 9 ist eine perspektivische Ansicht eines Schaubildes eines integrierten Schaltkreises 900 gemäß einigen Ausführungsformen.
  • Der integrierte Schaltkreis 900 ist eine Variation des integrierten Schaltkreises 600 (6). Zum Beispiel enthält in einigen Ausführungsformen der integrierte Schaltkreis 900 eine Region 901, die mit Abschnitten des integrierten Schaltkreises 600 kombiniert ist. Zum Beispiel ersetzt in einigen Ausführungsformen Kontakt 920e Kontakt 620b von 6, Kontakt 920f ersetzt Kontakt 320b von 6, Durchkontaktierung 950a ersetzt Durchkontaktierung 650b von 6, und Durchkontaktierung 950b ersetzt Durchkontaktierung 650c von 6, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Der integrierte Schaltkreis 900 wird durch das Layout-Design 800 hergestellt. Der integrierte Schaltkreis 900 ist eine Ausführungsform eines Abschnitts des integrierten Schaltkreises 700.
  • Die strukturellen Beziehungen, einschließlich Ausrichtung, Längen und Breiten, sowie Konfigurationen des integrierten Schaltkreises 900 ähneln den strukturellen Beziehungen und Konfigurationen des Layout-Designs 800 von 8, und auf eine ähnliche detaillierte Beschreibung wird in 9 im Interesse der besseren Übersichtlichkeit verzichtet.
  • Der integrierte Schaltkreis 900 enthält ein Substrat (nicht gezeigt), den Satz aktiver Regionen 902, den Satz Gates 904, den Satz Kontakte 910, den Satz Isolierschichten 614, den Satz Kontakte 920, den Satz leitfähiger Strukturelemente 940, den Satz Stromschienen 342 und den Satz Durchkontaktierungen 950. Jedoch zeigt der integrierte Schaltkreis 900 zur Vereinfachung der Veranschaulichung mindestens eines der Elemente in dem Satz Gates 904, dem Satz Kontakte 910, dem Satz Kontakte 920, dem Satz leitfähiger Strukturelemente 940, dem Satz Stromschienen 342 oder dem Satz Durchkontaktierungen 950 nicht.
  • Im Vergleich zu dem integrierten Schaltkreis 600 von 6 ersetzt der Satz aktiver Regionen 902 den Satz aktiver Regionen 602, der Satz Gates 904 ersetzt den Satz Gates 604, der Satz Kontakte 910 ersetzt den Satz Kontakte 610, der Satz Kontakte 920 ersetzt den Satz Kontakte 620, der Satz leitfähiger Strukturelemente 940 ersetzt den Satz leitfähiger Strukturelemente 640, und der Satz Durchkontaktierungen 950 ersetzt der Satz Durchkontaktierungen 650.
  • Der Satz aktiver Regionen 902 ähnelt dem Satz aktiver Regionen 602, der Satz Gates 904 ähnelt dem Satz Gates 604, der Satz Kontakte 910 ähnelt dem Satz Kontakte 610, der Satz Kontakte 920 ähnelt dem Satz Kontakte 620, der Satz leitfähiger Strukturelemente 940 ähnelt dem Satz leitfähiger Strukturelemente 640, und der Satz Durchkontaktierungen 950 ähnelt dem Satz Durchkontaktierungen 650, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Der Satz Gates 904 enthält Gates 304a (nicht gezeigt), 304b, 604c, 904d, 904e und 904f (nicht gezeigt). Gates 904d, 904e ähneln Gate 304b, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird. Gate 304b des Satzes Gates 904 entspricht dem Gate-Anschluss des PMOS-Transistors P7-1 und dem Gate-Anschluss des NMOS-Transistors N7-1 von 7. Gate 604c des Satzes Gates 904 entspricht dem Gate-Anschluss des PMOS-Transistors P7-2 und dem Gate-Anschluss des NMOS-Transistors N7-2, von 7. Gate 904d des Satzes Gates 904 entspricht dem Gate-Anschluss des PMOS-Transistors P7-3 und dem Gate-Anschluss des NMOS-Transistors N7-3 von 7. Gate 904d des Satzes Gates 904 entspricht dem Gate-Anschluss des PMOS-Transistors P7-4 und dem Gate-Anschluss des NMOS-Transistors N7-4 von 7.
  • Der Satz aktiver Regionen 902 enthält die aktiven Regionen 302a1, 302a2, 302b1, 302b2, 602a3, 602b3, 902a4 (nicht mit Bezugszeichen versehen), 902b4 (nicht mit Bezugszeichen versehen), 902a5 und 902b5 innerhalb des Substrats (nicht gezeigt).
  • In einigen Ausführungsformen entspricht die aktive Region 302a1 dem Drain des NMOS-Transistors N7-1 oder der Source des NMOS-Transistors N7-2. In einigen Ausführungsformen entspricht die aktive Region 302b1 dem Drain des PMOS-Transistors P7-1 oder dem Drain des PMOS-Transistors P7-2. In einigen Ausführungsformen entspricht die aktive Region 302a2 der Source des NMOS-Transistors N7-1, und die aktive Region 302b2 entspricht der Source des PMOS-Transistors P7-1. In einigen Ausführungsformen entspricht die aktive Region 602a3 dem Drain des NMOS-Transistors N7-2 oder dem Drain des NMOS-Transistors N7-3, und die aktive Region 602b3 entspricht der Source des PMOS-Transistors P7-2 oder dem Drain des PMOS-Transistors P7-3. In einigen Ausführungsformen entspricht die aktive Region 902a4 (nicht mit Bezugszeichen versehen) der Source des NMOS-Transistors N7-3 oder dem Drain des NMOS-Transistors N7-4, und die aktive Region 902b4 (nicht mit Bezugszeichen versehen) entspricht der Source des PMOS-Transistors P7-3 oder der Source des PMOS-Transistors P7-4. In einigen Ausführungsformen entspricht die aktive Region 902a5 der Source des NMOS-Transistors N7-4, und die aktive Region 902b5 entspricht dem Drain des PMOS-Transistors P7-4. Andere Konfigurationen oder Anordnungen in dem Satz aktiver Regionen 902 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Der Satz Kontakte 910 enthält den Satz Kontakte 610 und Kontakte 910a, 910b, 910c, 910d. Die Kontakte 910a, 910b, 910c, 910d des Satzes Kontakte 910 erstrecken sich in der zweiten Richtung Y und überlappen entsprechende aktive Regionen 902b4 (nicht mit Bezugszeichen versehen), 902a4 (nicht mit Bezugszeichen versehen), 902b5, 902a5 des Satzes aktiver Regionen 902. Die Kontakte 910a, 910b, 910c, 910d des Satzes Kontakte 910 sind elektrisch mit entsprechenden aktiven Regionen 902b4 (nicht mit Bezugszeichen versehen), 902a4 (nicht mit Bezugszeichen versehen), 902b5, 902a5 des Satzes aktiver Regionen 902 gekoppelt. Andere Konfigurationen oder Anordnungen des Satzes Kontakte 910 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Der Satz Kontakte 920 enthält die Kontakte 320c, 620a, 620c, 920a, 920b, 920c, 920d, 920e und 920f. Kontakt 920e ersetzt Kontakt 620b von 6, und Kontakt 920f ersetzt Kontakt 320b von 6, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird. In einigen Ausführungsformen ähneln mindestens die Kontakte 920a, 920b, 920c oder 920d dem Kontakt 620c, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Kontakte 920a, 920b, 920c und 920d des Satzes Kontakte 920 erstrecken sich in der zweiten Richtung Y. Kontakt 920a überlappt, und ist elektrisch gekoppelt mit, Kontakt 910a. Kontakt 920b befindet sich über, und ist elektrisch gekoppelt mit, Kontakt 910b. Kontakt 920c befindet sich über, und ist elektrisch gekoppelt mit, Kontakt 910c. Kontakt 920d überlappt, und ist elektrisch gekoppelt mit, Kontakt 910d. Andere Konfigurationen oder Anordnungen des Satzes Kontakte 920 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Der Satz leitfähiger Strukturelemente 940 enthält leitfähige Strukturelemente 940a, 340b (nicht gezeigt), 340c (nicht gezeigt) und 640d. Das leitfähige Strukturelement 940a ersetzt das leitfähige Strukturelement 640a (nicht gezeigt) von 6, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird. Das leitfähige Strukturelement 940a ist elektrisch mit dem Kontakt 920f durch eine Durchkontaktierung 950a gekoppelt, ist elektrisch mit dem Kontakt 920e durch eine Durchkontaktierung 950b gekoppelt, und ist elektrisch mit dem Kontakt 920c durch eine Durchkontaktierung 950d gekoppelt. In einigen Ausführungsformen koppelt das leitfähige Strukturelement 940a die aktiven Regionen 302b2, 602b3 und 902b5 elektrisch miteinander. Andere Konfigurationen oder Anordnungen des Satzes leitfähiger Strukturelemente 940 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Der Satz Durchkontaktierungen 950 enthält Durchkontaktierungen 350c, 650a, 650b, 950a, 950b, 950c, 950d und 950e.
  • Durchkontaktierung 950a ersetzt Durchkontaktierung 650b von 6, und Durchkontaktierung 950b ersetzt Durchkontaktierung 650c von 6, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird. Durchkontaktierung 950a liegt zwischen dem leitfähigen Strukturelement 940a und Kontakt 920f. Durchkontaktierung 950b liegt zwischen dem leitfähigen Strukturelement 940a und Kontakt 920e. Durchkontaktierung 950d liegt zwischen dem leitfähigen Strukturelement 940a und Kontakt 920c.
  • Durchkontaktierung 950c hat eine ähnliche Konfiguration wie Durchkontaktierung 650c von 6, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird. Durchkontaktierung 950e hat eine ähnliche Konfiguration wie Durchkontaktierung 350c der 3 und 6, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird. Durchkontaktierung 950c liegt zwischen der Stromschiene 342a und Kontakt 920a und stellt dadurch eine elektrische Verbindung zwischen der Stromschiene 342a und Kontakt 920a her. Durchkontaktierung 950e liegt zwischen der Stromschiene 342b und Kontakt 920d und stellt dadurch eine elektrische Verbindung zwischen der Stromschiene 342b und Kontakt 920d her.
  • In einigen Ausführungsformen ist die aktive Region (nicht mit Bezugszeichen versehen) 902b4 (zum Beispiel die Source des PMOS-Transistors P7-3 oder P7-4) elektrisch mit der Spannungsversorgung VDD gekoppelt. Zum Beispiel ist in einigen Ausführungsformen die aktive Region 902b4 (nicht mit Bezugszeichen versehen) elektrisch mit dem Kontakt 910a gekoppelt, Kontakt 910a ist elektrisch mit dem Kontakt 920a gekoppelt, Kontakt 920a ist elektrisch mit der Stromschiene 342a über die Durchkontaktierung 950c gekoppelt, und Stromschiene 342a ist mit der Spannungsversorgung VDD gekoppelt.
  • In einigen Ausführungsformen ist die aktive Region 902a5 (zum Beispiel die Source des NMOS-Transistors N7-4) elektrisch mit der Referenzspannungsversorgung VSS gekoppelt. Zum Beispiel ist in einigen Ausführungsformen die aktive Region 902a5 elektrisch mit dem Kontakt 910d gekoppelt, Kontakt 910d ist elektrisch mit dem Kontakt 920d gekoppelt, Kontakt 920d ist elektrisch mit der Stromschiene 342b über die Durchkontaktierung 950e gekoppelt, und Stromschiene 342b ist mit dem Referenzspannungsversorgung VSS gekoppelt.
  • In einigen Ausführungsformen sind die aktive Region 302b2 (zum Beispiel die Source des PMOS-Transistors P7-1), die aktive Region 602b3 (zum Beispiel die Source des PMOS-Transistors P7-2 oder der Drain des PMOS-Transistors P7-3) und die aktive Region 902b5 (zum Beispiel der Drain des PMOS-Transistors P7-4) durch mindestens das leitfähige Strukturelement 940a elektrisch miteinander gekoppelt. Zum Beispiel ist in einigen Ausführungsformen die aktive Region 302b2 elektrisch mit dem Kontakt 310b gekoppelt, Kontakt 310b ist elektrisch mit dem Kontakt 920f gekoppelt, Kontakt 920f ist elektrisch mit dem leitfähigen Strukturelement 940a gekoppelt. In einigen Ausführungsformen ist das leitfähige Strukturelement 940a ebenfalls elektrisch mit dem Kontakt 920e über die Durchkontaktierung 950b gekoppelt, Kontakt 920e ist elektrisch mit dem Kontakt 610c gekoppelt, und Kontakt 610c ist elektrisch mit der aktiven Region 602b3 gekoppelt. In einigen Ausführungsformen ist das leitfähige Strukturelement 940a ebenfalls elektrisch mit dem Kontakt 920C über die Durchkontaktierung 950d gekoppelt, Kontakt 920c ist elektrisch mit dem Kontakt 910c gekoppelt, und Kontakt 910c ist elektrisch mit der aktiven Region 902b5 gekoppelt.
  • In einigen Ausführungsformen hat mindestens eine Durchkontaktierung des Satzes Durchkontaktierungen 950 die gleiche Höhe in der dritten Richtung Z wie mindestens eine andere Durchkontaktierung in dem Satz Durchkontaktierungen 950. Andere Konfigurationen oder Anordnungen des Satzes Durchkontaktierungen 950 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Andere Konfigurationen oder Variationen des integrierten Schaltkreises 900 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung. In einigen Ausführungsformen können einer oder mehrere des Satzes Kontakte 910, des Satzes Isolierschichten 614, des Satzes Kontakte 920 oder des Satzes leitfähiger Strukturelemente 940 in einer ähnlichen Weise konfiguriert werden wie das leitfähige Strukturelement 640d, die Durchkontaktierung 650a, die Kontakte 620a und die Isolierschicht 614a, um eine oder mehrere der aktiven Regionen des Satzes aktiver Regionen 902 elektrisch mit einem oder mehreren Gates des Satzes Gates 904 in dem integrierten Schaltkreis 900 zu koppeln.
  • In einigen Ausführungsformen kann - durch Positionieren der Isolierschicht 614a auf dem Kontakt 610b - der Kontakt 620a in der MD2-Schicht auf der Isolierschicht 614a positioniert werden und erstreckt sich in der zweiten Richtung Y, um den Kontakt 610a zu überlappen, wodurch eine elektrische Verbindung zwischen den aktiven Regionen 602a3 und 302b1 des Satzes aktiver Regionen 902 bereitgestellt wird und Routungsressourcen unter anderen Metallisierungsebenen (zum Beispiel M0, M1 usw.) für einen integrierten Schaltkreis 900 bereitgestellt werden. Durch Bereitstellen von Routungsressourcen unter anderen Metallisierungsebenen (zum Beispiel M0, M1 usw.) kann die Verwendung der anderen Metallisierungsebenen (zum Beispiel M0, M1 usw.) verringert werden, oder die anderen Metallisierungsebenen (zum Beispiel M0, M1 usw.) können als zusätzliche Routungsressourcen verwendet werden, was dazu führt, dass der integrierte Schaltkreis 900 eine kleinere Fläche und eine kleinere Standardzelle hat als andere Lösungsansätze.
  • INTEGRIERTER SCHALTKREIS
  • 10A ist ein Schaltbild eines integrierten Schaltkreises 1000A gemäß einigen Ausführungsformen.
  • In einigen Ausführungsformen ist der integrierte Schaltkreis 1000A ein Flipflop-Schaltkreis. Der integrierte Schaltkreis 1000A ist dafür konfiguriert, mindestens ein erstes Signal D oder ein zweites Signal SI zu empfangen, und ist dafür konfiguriert, ein Ausgangssignal Q auszugeben. In einigen Ausführungsformen ist das erste Signal D ein Dateneingangssignal In einigen Ausführungsformen ist das zweite Signal SI ein Abtasteingangssignal. In einigen Ausführungsformen ist das Ausgangssignal Q ein gespeicherter Zustand von mindestens dem ersten Signal D oder dem zweiten Signal SI. Ein Flipflop-Schaltkreis wird zwar zur Veranschaulichung verwendet, doch andere Arten von Schaltkreisen liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Der integrierte Schaltkreis 1000A enthält einen Multiplexer 1002, einen Zwischenspeicher 1004, einen Zwischenspeicher 1006, einen Ausgangsschaltkreis 1008, einen Inverter I1, einen Inverter I2 und einen Inverter 1014.
  • Multiplexer 1002 enthält einen ersten Eingangsanschluss, der dafür konfiguriert ist, das erste Signal D zu empfangen, einen zweiten Eingangsanschluss, der dafür konfiguriert ist, das zweite Signal SI zu empfangen, und einen dritten Eingangsanschluss, der dafür konfiguriert ist, ein Auswahlsignal SE oder ein invertiertes Auswahlsignal SEB zu empfangen. Ein Ausgangsanschluss des Multiplexers 1002 ist mit einem Eingangsanschluss des Zwischenspeichers 1004 am Knoten mx1 gekoppelt. Multiplexer 1002 ist dafür konfiguriert, ein multiplexiertes Signal S1 an den Zwischenspeicher 1004 auszugeben. In einigen Ausführungsformen entspricht das multiplexierte Signal S1 dem ersten Signal D oder dem zweiten Signal SI in Reaktion auf das Auswahlsignal SE oder das invertierte Auswahlsignal SEB. In einigen Ausführungsformen ist der dritte Eingangsanschluss des Multiplexers 1004 mit dem Inverter 1014 gekoppelt, um mindestens das Auswahlsignal SE oder das invertierte Auswahlsignal SEB zu empfangen.
  • Zwischenspeicher 1004 ist mit dem Multiplexer 1002 und dem Zwischenspeicher 1006 gekoppelt. Der Eingangsanschluss des Zwischenspeichers 1004 ist dafür konfiguriert, das multiplexierte Signal S1 von dem Multiplexer 1002 zu empfangen. Ein Ausgangsanschluss des Zwischenspeichers 1004 ist mit einem Eingangsanschluss des Zwischenspeichers 1006 an einem Knoten mx2 gekoppelt. Der Zwischenspeicher 1004 ist dafür konfiguriert, durch den Ausgangsanschluss ein Signal S2 an den Zwischenspeicher 1006 auszugeben. In einigen Ausführungsformen ist das Signal S2 eine zwischengespeicherte Version von Signal S1. In einigen Ausführungsformen ist der Zwischenspeicher 1004 mit dem Inverter I1 gekoppelt und ist dafür konfiguriert, das Signal CLKB zu empfangen. In einigen Ausführungsformen ist der Zwischenspeicher 1004 mit dem Inverter 12 gekoppelt und ist dafür konfiguriert, das Signal CLKBB zu empfangen.
  • Zwischenspeicher 1006 ist mit dem Zwischenspeicher 1004 und dem Ausgangsschaltkreis 1008 gekoppelt. Der Eingangsanschluss des Zwischenspeichers 1006 ist dafür konfiguriert, das Signal S2 von dem Zwischenspeicher 1004 zu empfangen. Ein Ausgangsanschluss des Zwischenspeichers 1006 ist mit einem Eingangsanschluss des Ausgangsschaltkreises 1008 an einem Knoten mx4 gekoppelt. Der Zwischenspeicher 1006 ist dafür konfiguriert, durch den Ausgangsanschluss ein Signal S3 an den Ausgangsschaltkreis 1008 auszugeben. In einigen Ausführungsformen ist das Signal S3 eine zwischengespeicherte Version von Signal S1 oder S2. In einigen Ausführungsformen ist der Zwischenspeicher 1006 mit dem Inverter I1 gekoppelt und ist dafür konfiguriert, das Signal CLKB zu empfangen. In einigen Ausführungsformen ist der Zwischenspeicher 1006 mit dem Inverter I2 gekoppelt und ist dafür konfiguriert, das Signal CLKBB zu empfangen.
  • Ausgangsschaltkreis 1008 ist mit dem Zwischenspeicher 1006 gekoppelt. Der Eingangsanschluss des Ausgangsschaltkreises 1008 ist dafür konfiguriert, das Signal S3 von dem Zwischenspeicher 1006 zu empfangen. Ein Ausgangsanschluss des Ausgangsschaltkreises 1008 ist dafür konfiguriert, das Ausgangssignal Q auszugeben. In einigen Ausführungsformen ist das Signal S3 eine zwischengespeicherte Version von Signal S1 oder S2.
  • Zwischenspeicher 1004 enthält ein Durchlass-Gate TG2, NMOS-Transistoren N10-1 und N10-2 und PMOS-Transistoren P10-1 und P10-2.
  • Das Durchlass-Gate TG2 ist zwischen Knoten mx1 und Knoten mx2 gekoppelt. Das Durchlass-Gate TG2 ist dafür konfiguriert, Signal S1, Signal CLKB und Signal CLKBB zu empfangen. Das Durchlass-Gate TG2 ist dafür konfiguriert, das Signal S2 an den Inverter I3, den PMOS-Transistor P10-2 und den NMOS-Transistor N10-2 auszugeben. Das Durchlass-Gate TG2 enthält einen NMOS-Transistor (nicht mit Bezugszeichen versehen) und einen PMOS-Transistor (nicht mit Bezugszeichen versehen), die miteinander gekoppelt sind.
  • Ein Gate-Anschluss des PMOS-Transistors P10-1 und ein Gate-Anschluss des NMOS-Transistors N10-1 sind miteinander gekoppelt und sind des Weiteren mindestens mit dem Knoten mx3 gekoppelt.
  • Ein Source-Anschluss des PMOS-Transistors P10-1 ist mit der Spannungsversorgung VDD gekoppelt. Ein Drain-Anschluss des PMOS-Transistors P10-1 ist mit einem Source-Anschluss des PMOS-Transistors P10-2 gekoppelt.
  • Ein Gate-Anschluss des PMOS-Transistors P10-2 ist dafür konfiguriert, das Signal CLKB zu empfangen. In einigen Ausführungsformen ist der Gate-Anschluss des PMOS-Transistors P10-2 mit mindestens einem Ausgangsanschluss des Inverters I1 gekoppelt. Sowohl ein Drain-Anschluss des PMOS-Transistors P10-2 als auch ein Drain-Anschluss des NMOS-Transistors N10-2 sind miteinander gekoppelt und sind des Weiteren mindestens mit dem Knoten mx2 gekoppelt.
  • Ein Gate-Anschluss des NMOS-Transistors N10-2 ist dafür konfiguriert, das Signal CLKBB zu empfangen. In einigen Ausführungsformen ist der Gate-Anschluss des NMOS-Transistors N10-2 mit mindestens einem Ausgangsanschluss des Inverters I2 gekoppelt.
  • Ein Source-Anschluss des NMOS-Transistors N10-2 ist mit einem Drain-Anschluss des NMOS-Transistors N10-1 gekoppelt. Ein Source-Anschluss des Transistors N10-1 ist mit der Referenzspannungsversorgung VSS gekoppelt.
  • Zwischenspeicher 1006 enthält einen Inverter I3, ein Durchlass-Gate TG1, NMOS-Transistoren N10-3 und N10-4 und PMOS-Transistoren P10-3 und P10-4.
  • Ein Eingangsanschluss des Inverters I3 ist mindestens mit dem Knoten mx2 gekoppelt und ist dafür konfiguriert, das Signal S2 zu empfangen. Ein Ausgangsanschluss des Inverters I3 ist mindestens mit dem Knoten mx3 gekoppelt und ist dafür konfiguriert, ein invertiertes Signal (nicht mit Bezugszeichen versehenen) an mindestens das Gate des PMOS-Transistors P10-1 und das Gate des NMOS-Transistors N10-1 auszugeben.
  • Das Durchlass-Gate TG1 ist zwischen Knoten mx3 und Knoten mx4 gekoppelt. Das Durchlass-Gate TG1 ist dafür konfiguriert, ein invertiertes Signal (nicht mit Bezugszeichen versehen), das Signal CLKB und das Signal CLKBB zu empfangen. Das Durchlass-Gate TG1 ist dafür konfiguriert, das Signal S3 an den Inverter I4, den PMOS-Transistor P10-3 und den NMOS-Transistor N10-3 auszugeben. Das Durchlass-Gate TG1 enthält einen NMOS-Transistor (nicht mit Bezugszeichen versehen) und einen PMOS-Transistor (nicht mit Bezugszeichen versehen), die miteinander gekoppelt sind.
  • Ein Gate-Anschluss des PMOS-Transistors P10-4 und ein Gate-Anschluss des NMOS-Transistors N4 sind miteinander gekoppelt und sind des Weiteren mindestens mit dem Knoten mx5 gekoppelt.
  • Ein Source-Anschluss des PMOS-Transistors P10-4 ist mit der Spannungsversorgung VDD gekoppelt. Ein Drain-Anschluss des PMOS-Transistors P10-4 ist mit einem Source-Anschluss des PMOS-Transistors P10-3 gekoppelt.
  • Ein Gate-Anschluss des PMOS-Transistors P10-3 ist dafür konfiguriert, das Signal CLKBB zu empfangen. In einigen Ausführungsformen ist der Gate-Anschluss des PMOS-Transistors P10-3 mit mindestens einem Ausgangsanschluss des Inverters I2 gekoppelt. Sowohl ein Drain-Anschluss des PMOS-Transistors P10-3 als auch ein Drain-Anschluss des NMOS-Transistors N10-3 sind miteinander gekoppelt und sind des Weiteren mindestens mit dem Knoten mx4 gekoppelt.
  • Ein Gate-Anschluss des NMOS-Transistors N10-3 ist dafür konfiguriert, das Signal CLKB zu empfangen. In einigen Ausführungsformen ist der Gate-Anschluss des NMOS-Transistors N10-3 mit mindestens einem Ausgangsanschluss des Inverters I1 gekoppelt.
  • Ein Source-Anschluss des NMOS-Transistors N10-3 ist mit einem Drain-Anschluss des NMOS-Transistors N10-4 gekoppelt. Ein Source-Anschluss des Transistors N10-4 ist mit der Referenzspannungsversorgung VSS gekoppelt.
  • Der Ausgangsschaltkreis 1008 enthält einen Inverter I4, der mit einem Inverter I5 gekoppelt ist.
  • Ein Eingangsanschluss des Inverters I4 ist mindestens mit dem Knoten mx4 gekoppelt und ist dafür konfiguriert ist, das Signal S3 zu empfangen. Ein Ausgangsanschluss des Inverters I4 ist mit mindestens einem Eingangsanschluss des Inverters I5, dem Gate des PMOS-Transistors P10-4, dem Gate des NMOS-Transistors N10-4 oder dem Knoten mx5 gekoppelt und dafür konfiguriert, ein invertiertes Signal (nicht mit Bezugszeichen versehenen) an diese auszugeben.
  • Ein Eingangsanschluss des Inverters I5 ist mindestens mit dem Knoten mx5 gekoppelt und ist dafür konfiguriert, das invertierte Signal von dem Inverter I4 zu empfangen. Ein Ausgangsanschluss des Inverters I5 ist dafür konfiguriert, das Ausgangssignal Q auszugeben.
  • Ein Eingangsanschluss des Inverters I1 ist dafür konfiguriert, ein Signal CP zu empfangen. Ein Ausgangsanschluss des Inverters I1 ist dafür konfiguriert, das Signal CLKB an mindestens einen Eingangsanschluss des Inverters I2 auszugeben. In einigen Ausführungsformen ist der Ausgangsanschluss des Inverters I1 mindestens mit dem Gate-Anschluss des PMOS-Transistors P10-2, dem Gate-Anschluss des NMOS-Transistors N10-3, dem Gate-Anschluss des PMOS-Transistors des Durchlass-Gates TG1 oder dem Gate-Anschluss des NMOS-Transistors des Durchlass-Gates TG2 gekoppelt.
  • Ein Eingangsanschluss des Inverters I2 ist dafür konfiguriert, das Signal CLKB zu empfangen, und ein Ausgangsanschluss des Inverters 12 ist dafür konfiguriert, das Signal CLKBB auszugeben. In einigen Ausführungsformen ist der Ausgangsanschluss des Inverters I2 mindestens mit dem Gate-Anschluss des PMOS-Transistors P10-3, dem Gate-Anschluss des NMOS-Transistors N10-2, dem Gate-Anschluss des PMOS-Transistors des Durchlass-Gates TG2 oder dem Gate-Anschluss des NMOS-Transistors des Durchlass-Gates TG1 gekoppelt und gibt das Signal CLKBB an diese aus.
  • Ein Eingangsanschluss des Inverters 1014 ist dafür konfiguriert, das Auswahlsignal SE zu empfangen. In einigen Ausführungsformen ist der Eingangsanschluss des Inverters 1014 mit dem dritten Eingangsanschluss des Multiplexers 1002 gekoppelt. Ein Ausgangsanschluss des Inverters 1014 ist dafür konfiguriert, das invertierte Auswahlsignal SEB auszugeben. In einigen Ausführungsformen ist der Ausgangsanschluss des Inverters 1014 mit dem dritten Eingangsanschluss des Multiplexers 1002 gekoppelt.
  • 10B ist ein Schaltbild eines integrierten Schaltkreises 1000B gemäß einigen Ausführungsformen.
  • In einigen Ausführungsformen ist der integrierte Schaltkreis 1000B eine Ausführungsform eines Abschnitts des integrierten Schaltkreises 1000A von 10A.
  • Zum Beispiel enthält der integrierte Schaltkreis 1000B Abschnitte des Zwischenspeichers 1004, des Zwischenspeichers 1006, des Inverters I1 und des Inverters I2 von 10A.
  • Der integrierte Schaltkreis 1000B enthält Durchlass-Gates TG1 und TG2, NMOS-Transistoren N10-1, N10-2 und N10-3, PMOS-Transistoren P10-1, P10-2 und P10-3 und Inverter I1, 12 und I3 von 10A.
  • Das Durchlass-Gate TG1 enthält einen PMOS-Transistor P10-6 und einen NMOS-Transistor P10-6. Das Durchlass-Gate TG2 enthält einen PMOS-Transistor P10-5 und einen NMOS-Transistor P10-5. Inverter I1 enthält einen PMOS-Transistor P10-4 und einen NMOS-Transistor P10-4. Inverter I2 enthält einen PMOS-Transistor P10-8 und einen NMOS-Transistor P10-8. Inverter I3 enthält einen PMOS-Transistor P10-7 und einen NMOS-Transistor P10-7.
  • LAYOUT-DESIGN EINES INTEGRIERTEN SCHALTKREISES
  • 11A-11F sind Schaubilder eines Layout-Designs 1100A eines integrierten Schaltkreises gemäß einigen Ausführungsformen. 11G ist ein Schaubild eines Layout-Designs 1100G eines integrierten Schaltkreises gemäß einigen Ausführungsformen. Das Layout-Design 1100A oder 1100G ist ein Layout-Schaubild des integrierten Schaltkreises 1000B von 10B oder ein Abschnitt des integrierten Schaltkreises 1000A von 10A.
  • Das Layout-Design 1100A oder 1100G kann dafür benutzt werden, einen integrierten Schaltkreis, der mindestens dem integrierten Schaltkreis 1000B von 10B ähnelt, oder einen Abschnitt des integrierten Schaltkreises 1000A von 10A herzustellen. In einigen Ausführungsformen kann das Layout-Design 1100A dafür benutzt werden, einen integrierten Schaltkreis ähnlich dem integrierten Schaltkreis 1200 der 12A-12B herzustellen. In einigen Ausführungsformen kann das Layout-Design 1100G dafür benutzt werden, einen integrierten Schaltkreis ähnlich dem integrierten Schaltkreis 1200' der 12C-12D herzustellen.
  • 11A ist ein Schaubild eines Layout-Designs 1100A. Zur Vereinfachung der Veranschaulichung sind einige der mit Bezugszeichen versehenen Elemente der 11B-11F in 11A nicht mit Bezugszeichen versehen. In einigen Ausführungsformen enthalten die 11A-11F zusätzliche Elemente, die in den 11A-11F nicht gezeigt sind. 11G ist ein Schaubild eines Layout-Designs 1100G. Das Layout-Design 1100G ist eine Variation des Layout-Designs 1100A und enthält einige der Elemente des Layout-Designs 1100A nicht. Zur Vereinfachung der Veranschaulichung sind einige der mit Bezugszeichen versehenen Elemente der 11B-11F in 11G nicht mit Bezugszeichen versehen. In einigen Ausführungsformen enthält 11G zusätzliche Elemente, die in 11G nicht gezeigt sind.
  • 11B-11F sind Schaubilder eines entsprechenden Abschnitts 1100B-1100F des Layout-Designs 1100A von 11A, die zur Vereinfachung der Veranschaulichung vereinfacht wurden. Abschnitt 1100B enthält ein oder mehrere Strukturelemente des Layout-Designs 1100A von 11A der aktiven (OD)-Ebene, der POLY1-Ebene und der MD1-Ebene des Layout-Designs 1100A. Abschnitt 1100C enthält ein oder mehrere Strukturelemente des Layout-Designs 1100A von 11A zwischen der POLY1-Ebene, der MD1-Ebene, der POLY2-Ebene und der MD2-Ebene des Layout-Designs 1100A. Abschnitt 1100D enthält ein oder mehrere Strukturelemente des Layout-Designs 1100A von 11A zwischen der POLY1-Ebene, der POLY2-Ebene, der MD2-Ebene, der VG-Ebene und der VD-Ebene des Layout-Designs 1100A. Abschnitt 1100E enthält ein oder mehrere Strukturelemente des Layout-Designs 1100A von 11A zwischen der POLY1-Ebene, der POLY2-Ebene, der MD2-Ebene, der VG-Ebene, der VD-Ebene und der Mo-Ebene des Layout-Designs 1100A. Abschnitt 1100F enthält ein oder mehrere Strukturelemente des Layout-Designs 1100A von 11A der POLY1-Ebene, der POLY2-Ebene, der MD2-Ebene, der VG-Ebene, der VD-Ebene und der Mo-Ebene des Layout-Designs 1100A.
  • In einigen Ausführungsformen enthält das Layout-Design 1100A eine Region 1101a, die den Abschnitten des Layout-Designs 800 von 8 ähnelt, weshalb auf eine ähnliche detaillierte Beschreibung im Interesse der besseren Übersichtlichkeit verzichtet wird und auf eine Benennung einiger ähnlicher Elemente in Region 1101a mit Bezugszeichen zur Vereinfachung der Veranschaulichung ebenfalls verzichtet wird.
  • Das Layout-Design 1100A hat eine Höhe H2 in der zweiten Richtung Y. In einigen Ausführungsformen ist die Höhe H2 von der Höhe H1 von mindestens dem Layout-Design 200, 500 oder 800 (2, 5 oder 8) verschieden. In einigen Ausführungsformen ist die Höhe H2 gleich dem Zweifachen der Höhe H1. In einigen Ausführungsformen wird die Höhe H2 des Layout-Designs 1100 als eine doppelhöhige Standardzelle bezeichnet.
  • Das Layout-Design 1100A enthält einen Satz Aktivregion-Layout-Strukturen 1102, einen Satz Gate-Layout-Strukturen 1104, einen Satz Metal-over-Diffusion-Layout-Strukturen 1110 und 1112, einen Satz Isolierschicht-Layout-Strukturen 1114 und 1116, einen Satz Metal-over-Diffusion-Layout-Strukturen 1120, einen Satz Gate-Layout-Strukturen 1122, einen Satz Schienen-Layout-Strukturen 1142, einen Satz Leitfähige-Strukturelemente-Layout-Strukturen 1140 und 1144, und einen Satz Durchkontaktierungs-Layout-Strukturen 1150 und 1160.
  • Das Layout-Design 1100A ist eine Variation des Layout-Designs 800 (8). Zum Beispiel enthält in einigen Ausführungsformen das Layout-Design 1100A Elemente, die Elementen in dem Layout-Design 800 ähneln, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Im Vergleich zu dem Layout-Design 800 von 8 ersetzt der Satz Aktivregion-Layout-Strukturen 1102 den Satz Aktivregion-Layout-Strukturen 902, der Satz Gate-Layout-Strukturen 1104 ersetzt den Satz Gate-Layout-Strukturen 804, der Satz Metal-over-Diffusion-Layout-Strukturen 1110 ersetzt den Satz Metal-over-Diffusion-Layout-Strukturen 810, der Satz Isolierschicht-Layout-Strukturen 1114 ersetzt den Satz Isolierschicht-Layout-Strukturen 514, der Satz Metal-over-Diffusion-Layout-Strukturen 1120 ersetzt den Satz Metal-over-Diffusion-Layout-Strukturen 820, der Satz Leitfähige-Strukturelemente-Layout-Strukturen 1140 ersetzt den Satz Leitfähige-Strukturelemente-Layout-Strukturen 840, und der Satz Durchkontaktierungs-Layout-Strukturen 1150 ersetzt den Satz Durchkontaktierungs-Layout-Strukturen 850.
  • Der Satz Aktivregion-Layout-Strukturen 1102 ähnelt dem Satz Aktivregion-Layout-Strukturen 902, der Satz Gate-Layout-Strukturen 1104 oder 1122 ähnelt dem Satz Gate-Layout-Strukturen 804, der Satz Metal-over-Diffusion-Layout-Strukturen 1110 ähnelt dem Satz Metal-over-Diffusion-Layout-Strukturen 810, der Satz Isolierschicht-Layout-Strukturen 1114 oder 1116 ähnelt dem Satz Isolierschicht-Layout-Strukturen 514, der Satz Metal-over-Diffusion-Layout-Strukturen 1120 ähnelt dem Satz Metal-over-Diffusion-Layout-Strukturen 820, der Satz Leitfähige-Strukturelemente-Layout-Strukturen 1140 ähnelt dem Satz Leitfähige-Strukturelemente-Layout-Strukturen 840, und der Satz Durchkontaktierungs-Layout-Strukturen 1150 oder 1160 ähnelt dem Satz Durchkontaktierungs-Layout-Strukturen 850, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Im Vergleich zu dem Layout-Design 800 von 8 enthält das Layout-Design 1100A des Weiteren den Satz Metal-over-Diffusion-Layout-Strukturen 1112, den Satz Isolierschicht-Layout-Strukturen 1116, den Satz Gate-Layout-Strukturen 1122, den Satz Leitfähige-Strukturelemente-Layout-Strukturen 1144 und den Satz Durchkontaktierungs-Layout-Strukturen 1160.
  • In einigen Ausführungsformen ähneln Elemente in Region 1101b entsprechenden Elementen in Region 1101a, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird. Zum Beispiel ähnelt in einigen Ausführungsformen der Satz Metal-over-Diffusion-Layout-Strukturen 1112 in Region 1101b dem Satz Metal-over-Diffusion-Layout-Strukturen 810 in Region 1101a, und der Satz Leitfähige-Strukturelemente-Layout-Strukturen 1144 in Region 1101b ähnelt dem Satz Leitfähige-Strukturelemente-Layout-Strukturen 1140 in Region 1101a, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Der Satz Aktivregion-Layout-Strukturen 1102 enthält Aktivregion-Layout-Strukturen 202a, 202b, 1102a und 1102b. Der Satz Aktivregion-Layout-Strukturen 1102 kann dafür benutzt werden, einen entsprechenden Satz aktiver Regionen 1202 (9) des integrierten Schaltkreises 900 herzustellen.
  • Die Aktivregion-Layout-Strukturen 1102a, 1102b des Satzes Aktivregion-Layout-Strukturen 1102 ähneln entsprechenden Aktivregion-Layout-Strukturen 202a, 202b des Satzes Aktivregion-Layout-Strukturen 1102, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • In einigen Ausführungsformen kann die Aktivregion-Layout-Struktur 1102a, 1102b des Satzes Aktivregion-Layout-Strukturen 1102 dafür benutzt werden, entsprechende aktive Regionen 1202a, 1202b des Satzes aktiver Regionen 1202 (12A-12D) des integrierten Schaltkreises 1200-1200' herzustellen.
  • In einigen Ausführungsformen kann die Aktivregion-Layout-Struktur 202a des Satzes Aktivregion-Layout-Strukturen 1102 dafür benutzt werden, Source- und Drain-Regionen der PMOS-Transistoren von Region 1001a des integrierten Schaltkreises 1000B (10B) herzustellen, die Aktivregion-Layout-Struktur 202b des Satzes Aktivregion-Layout-Strukturen 1102 kann dafür benutzt werden, Source- und Drain-Regionen der NMOS-Transistoren von Region 1001a des integrierten Schaltkreises 1000B (10B) herzustellen, die Aktivregion-Layout-Struktur 1102b des Satzes Aktivregion-Layout-Strukturen 1102 kann dafür benutzt werden, Source- und Drain-Regionen der NMOS-Transistoren von Region 1001b des integrierten Schaltkreises 1000B (10B) herzustellen, und die Aktivregion-Layout-Struktur 1102a des Satzes Aktivregion-Layout-Strukturen 1102 kann dafür benutzt werden, Source- und Drain-Regionen der PMOS-Transistoren von Region 1001b des integrierten Schaltkreises 1000B (10B) herzustellen.
  • Der Satz Gate-Layout-Strukturen 1104 enthält die Gate-Layout-Strukturen 1104a, 1104b, 1104c, 1104d und 1104e. Der Satz Gate-Layout-Strukturen 1104 des Layout-Designs 1100A und der integrierte Schaltkreis 1200-1200' haben einen CPP von 20. Zum Beispiel hat Region 1001a einen CPP von 10, und Region 1001b hat einen CPP von 10, was dazu führt, dass das Layout-Design 1100A oder 1100G einen Gesamt-CPP von 20 hat. Der Satz Gate-Layout-Strukturen 1104 kann dafür benutzt werden, einen entsprechenden Satz Gates 1204 (12A-12D) des integrierten Schaltkreises 1200-1200' herzustellen. In einigen Ausführungsformen können die Gate-Layout-Strukturen 1104a, 1104b, 1104c, 1104d, 1104e des Satzes Gate-Layout-Strukturen 1104 dafür benutzt werden, entsprechende Gates 1204a, 1204b, 1204c (nicht mit Bezugszeichen versehen), 1204d, 1204e (nicht gezeigt) des Satzes Gates 1204 (12A-12D) des integrierten Schaltkreises 1200-1200' herzustellen. Die Gate-Layout-Strukturen 1104a, 1104b, 1104c, 1104d, 1104e ähneln den Gate-Layout-Strukturen 504b, 504c, 504d, 804e, 804f, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • In einigen Ausführungsformen enthält die Gate-Layout-Struktur 1104a die Gate-Layout-Strukturen 1104a1, 1104a2 und 1104a3. In einigen Ausführungsformen enthält die Gate-Layout-Struktur 1104b die Gate-Layout-Strukturen 1104b1, 1104b2 und 1104b3. In einigen Ausführungsformen enthält die Gate-Layout-Struktur 1104c die Gate-Layout-Strukturen 1104c1 und 1104c2. In einigen Ausführungsformen enthält die Gate-Layout-Struktur 1104d die Gate-Layout-Strukturen 1104d1 und 1104d2.
  • In einigen Ausführungsformen können die Gate-Layout-Strukturen 1104a1, 1104a2, 1104a3, 1104b1, 1104b2, 1104b3. 1104c1, 1104c2, 1104d1 und 1104d2 des Satzes Gate-Layout-Strukturen 1104 dafür benutzt werden, entsprechende Gates 1204a1, 1204a2, 1204a3, 1204b1, 1204b2, 1204b3, 1204c1, 1204c2, 1204d1 und 1204d2 des Satzes Gates 1204 des integrierten Schaltkreises 1200A-1200D herzustellen.
  • In einigen Ausführungsformen zeigt zwar das Layout-Design 1100A jede der Gate-Layout-Strukturen 1104a, 1104b, 1104c, 1104d und 1104e so, dass sie diskrete Abschnitte haben, doch ist jede der Gate-Layout-Strukturen 1104a, 1104b, 1104c, 1104d und 1104e in der zweiten Richtung Y kontinuierlich, und der diskontinuierliche Abschnitt repräsentiert geschnittene Poly-Layout-Strukturen (im Interesse der besseren Übersichtlichkeit nicht gezeigt oder beschrieben), die entsprechende Gate-Abschnitte des Satzes Gates 1204 identifizieren, die während der Herstellung des Satzes Gates 1204 des integrierten Schaltkreises 1200-1200' entfernt werden (12A-12D).
  • In einigen Ausführungsformen kann die Gate-Layout-Struktur 1104a dafür benutzt werden, die Gate-Anschlüsse des PMOS-Transistors P10-5, des NMOS-Transistors N10-5, des NMOS-Transistors N10-3 und des PMOS-Transistors P10-3 von 10B herzustellen, die Gate-Layout-Struktur 1104b kann dafür benutzt werden, den Gate-Anschluss des PMOS-Transistors P10-6, des NMOS-Transistors P10-6, des NMOS-Transistors N10-2 und des PMOS-Transistors P10-2 von 10B herzustellen, die Gate-Layout-Struktur 1104c kann dafür benutzt werden, die Gate-Anschlüsse des PMOS-Transistors P10-7, des NMOS-Transistors N10-7, des NMOS-Transistors N10-1 und des PMOS-Transistors P10-1 von 10B herzustellen, und die Gate-Layout-Struktur 1104d kann dafür benutzt werden, die Gate-Anschlüsse des PMOS-Transistors P10-4, des NMOS-Transistors N10-4, des PMOS-Transistors P10-8 und des NMOS-Transistors N10-8 von 10B herzustellen. Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Anzahlen von Strukturen in dem Satz Gate-Layout-Strukturen 1104 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Der Satz Metal-over-Diffusion-Layout-Strukturen 1110 oder 1112 kann dafür benutzt werden, einen entsprechenden Satz Kontakte 1210 oder 1212 (12A-12D) des integrierten Schaltkreises 1200-1200' herzustellen.
  • Der Satz Metal-over-Diffusion-Layout-Strukturen 1110 enthält Metal-over-Diffusion-Layout-Strukturen 1110a, ..., 1110i In einigen Ausführungsformen können die Metal-over-Diffusion-Layout-Strukturen 1110a, ..., 1110i des Satzes Metal-over-Diffusion-Layout-Strukturen 1110 dafür benutzt werden, entsprechende Kontakte 1210a, ..., 1210i des Satzes Kontakte 1210 (12A-12D) des integrierten Schaltkreises 1200-1200' herzustellen.
  • Der Satz Metal-over-Diffusion-Layout-Strukturen 1112 enthält Metal-over-Diffusion-Layout-Strukturen 1112a, ..., 1112h.
  • In einigen Ausführungsformen können die Metal-over-Diffusion-Layout-Strukturen 1112a, ..., 1112h des Satzes Metal-over-Diffusion-Layout-Strukturen 1112 dafür benutzt werden, entsprechende Kontakte 1212a, ..., 1212h des Satzes Kontakte 1212 (12A-12D) des integrierten Schaltkreises 1200-1200' herzustellen.
  • In einigen Ausführungsformen kann mindestens eine Metal-over-Diffusion-Layout-Struktur 1110a, ..., 1110i des Satzes Metal-over-Diffusion-Layout-Strukturen 1110 oder mindestens eine Metal-over-Diffusion-Layout-Struktur 1112a, ..., 1112h des Satzes Metal-over-Diffusion-Layout-Strukturen 1112 mindestens einer entsprechenden Metal-over-Diffusion-Layout-Struktur des Satzes Metal-over-Diffusion-Layout-Strukturen 810 von 8 ähneln, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Im Vergleich zu 8 erstreckt sich die Metal-over-Diffusion-Layout-Struktur 1110a über die Aktivregion-Layout-Strukturen 202a und 202b hinweg. In ähnlicher Weise erstreckt sich jede der Metal-over-Diffusion-Layout-Strukturen 1112b und 1112e über die Aktivregion-Layout-Strukturen 1102a und 1102b hinweg.
  • In einigen Ausführungsformen haben die Metal-over-Diffusion-Layout-Strukturen 1110b, ..., 1110i des Satzes Metal-over-Diffusion-Layout-Strukturen 1110 eine regelmäßige Layout-Struktur. In einigen Ausführungsformen haben die Metal-over-Diffusion-Layout-Strukturen 1112b, 1112c, 1112d, 1112f, 1112g und 1112h des Satzes Metal-over-Diffusion-Layout-Strukturen 1112 eine regelmäßige Layout-Struktur.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Anzahlen von Strukturen in dem Satz Metal-over-Diffusion-Layout-Strukturen 1110 und 1112 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Der Satz Isolierschicht-Layout-Strukturen 1114 enthält mindestens eine Isolierschicht-Layout-Struktur 1114a, 1114b, 1114c oder 1114d. Der Satz Isolierschicht-Layout-Strukturen 1114 kann dafür benutzt werden, einen entsprechenden Satz Isolierschichten 1214 (12A-12D) des integrierten Schaltkreises 1200-1200' herzustellen. In einigen Ausführungsformen können die Isolierschicht-Layout-Strukturen 1114a, 1114b, 1114c, 1114d des Satzes Isolierschicht-Layout-Strukturen 1114 dafür benutzt werden, entsprechende Isolierschichten 1214a, 1214b, 1214c, 1214d des Satzes Isolierschichten 1214 (12A-12D) des integrierten Schaltkreises 1200-1200' herzustellen.
  • In einigen Ausführungsformen ist mindestens eine Isolierschicht-Layout-Struktur 1114a, 1114b, 1114c oder 1114d eine Variation der Isolierschicht-Layout-Struktur 514a der Satz Isolierschicht-Layout-Strukturen 514 von 5 oder 8, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • In einigen Ausführungsformen überlappt die Isolierschicht-Layout-Struktur 1114a einen Abschnitt der Metal-over-Diffusion-Layout-Struktur 1112e und der Aktivregion-Layout-Struktur 1102a. In einigen Ausführungsformen ist die Isolierschicht-Layout-Struktur 1114a unter einem Abschnitt der Metal-over-Diffusion-Layout-Struktur 1120b positioniert. In einigen Ausführungsformen ist die Isolierschicht-Layout-Struktur 1114a dort positioniert, wo ein Abschnitt der Metal-over-Diffusion-Layout-Struktur 1120b einen Abschnitt der Metal-over-Diffusion-Layout-Struktur 1112e überlappt.
  • In einigen Ausführungsformen überlappt die Isolierschicht-Layout-Struktur 1114b die Metal-over-Diffusion-Layout-Strukturen 1110d und 1110h und die Aktivregion-Layout-Strukturen 202a und 202b. In einigen Ausführungsformen ist die Isolierschicht-Layout-Struktur 1114b unter einem Abschnitt der Metal-over-Diffusion-Layout-Struktur 1120f positioniert. In einigen Ausführungsformen ist die Isolierschicht-Layout-Struktur 1114b dort positioniert, wo ein Abschnitt der Metal-over-Diffusion-Layout-Struktur 1120f die Metal-over-Diffusion-Layout-Strukturen 1110d und 1110h überlappt.
  • In einigen Ausführungsformen überlappt die Isolierschicht-Layout-Struktur 1114c einen Abschnitt der Metal-over-Diffusion-Layout-Struktur 1112b und der Aktivregion-Layout-Struktur 1102a. In einigen Ausführungsformen ist die Isolierschicht-Layout-Struktur 1114c unter einem Abschnitt der Metal-over-Diffusion-Layout-Struktur 1120h positioniert In einigen Ausführungsformen ist die Isolierschicht-Layout-Struktur 1114c dort positioniert, wo ein Abschnitt der Metal-over-Diffusion-Layout-Struktur 1120h einen Abschnitt der Metal-over-Diffusion-Layout-Struktur 1112b überlappt.
  • In einigen Ausführungsformen überlappt die Isolierschicht-Layout-Struktur 1114d die Metal-over-Diffusion-Layout-Strukturen 1112a, 1112f und 1110a und die Aktivregion-Layout-Strukturen 202a, 1202a und 1202b. In einigen Ausführungsformen ist die Isolierschicht-Layout-Struktur 1114d unter einem Abschnitt der Metal-over-Diffusion-Layout-Struktur 1120i positioniert. In einigen Ausführungsformen ist die Isolierschicht-Layout-Struktur 1114d dort positioniert, wo ein Abschnitt der Metal-over-Diffusion-Layout-Struktur 1120i die Metal-over-Diffusion-Layout-Strukturen 1112a, 1112f und 1110a überlappt.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Anzahlen von Strukturen in dem Satz Isolierschicht-Layout-Strukturen 1114 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Der Satz Isolierschicht-Layout-Strukturen 1116 enthält mindestens eine Isolierschicht-Layout-Struktur 1116a oder 1116b. Der Satz Isolierschicht-Layout-Strukturen 1116 erstreckt sich in der zweiten Richtung Y. Die Layout-Strukturen des Satzes Isolierschicht-Layout-Strukturen 1116 sind von einer benachbarten Layout-Struktur des Satzes Isolierschicht-Layout-Strukturen 1116 in mindestens der ersten Richtung X oder der zweiten Richtung Y getrennt.
  • Mindestens eine der Layout-Strukturen des Satzes Isolierschicht-Layout-Strukturen 1116 überlappt den Satz Gate-Layout-Strukturen 1104. In einigen Ausführungsformen überlappt mindestens eine der Layout-Strukturen des Satzes Isolierschicht-Layout-Strukturen 1116 den Satz Gate-Layout-Strukturen 1104, und der Satz Aktivregion-Layout-Strukturen 1102. In einigen Ausführungsformen befindet sich mindestens eine der Layout-Strukturen des Satzes Isolierschicht-Layout-Strukturen 1116 über mindestens einer der Layout-Strukturen des Satzes Gate-Layout-Strukturen 1104. In einigen Ausführungsformen befindet sich mindestens eine der Layout-Strukturen des Satzes Isolierschicht-Layout-Strukturen 1116 unter mindestens einer der Layout-Strukturen des Satzes Gate-Layout-Strukturen 1122.
  • In einigen Ausführungsformen befindet sich der Satz Isolierschicht-Layout-Strukturen 1116 zwischen dem ersten Abschnitt der zweiten Layout-Ebene und der dritten Layout-Ebene. In einigen Ausführungsformen entspricht die dritte Layout-Ebene einer POLY2-Ebene eines oder mehrerer der Layout-Designs 200, 500, 800, 1100A oder 1100G (2, 5, 8 oder 11A-11G) oder der integrierten Schaltkreise 300, 600, 900, 1200-1200' (3, 6, 9 oder 12A-12D). In einigen Ausführungsformen befindet sich der Satz Isolierschicht-Layout-Strukturen 1116 zwischen der POLY2-Ebene und der POLY1-Ebene. In einigen Ausführungsformen befindet sich die POLY2-Ebene über der POLY1-Ebene oder der MD1-Ebene. In einigen Ausführungsformen befindet sich der Satz Isolierschicht-Layout-Strukturen 1116 zwischen einem POLY2-Abschnitt und dem POLY1-Abschnitt.
  • Der Satz Isolierschicht-Layout-Strukturen 1116 kann dafür benutzt werden, einen entsprechenden Satz Isolierschichten 1216 (12A-12D) des integrierten Schaltkreises 1200-1200' herzustellen. In einigen Ausführungsformen können die Isolierschicht-Layout-Strukturen 1116a, 1116b des Satzes Isolierschicht-Layout-Strukturen 1116 dafür benutzt werden, entsprechende Isolierschichten 1216a, 1216b des Satzes Isolierschichten 1216 (12A-12D) des integrierten Schaltkreises 1200-1200' herzustellen.
  • In einigen Ausführungsformen ist zwar der Satz Isolierschicht-Layout-Strukturen 1116 über dem Satz Gate-Layout-Strukturen 1104 positioniert, und der Satz Isolierschicht-Layout-Strukturen 1114 ist über dem Satz Metal-over-Diffusion-Layout-Strukturen 1110 positioniert, doch ähneln eine oder mehrere der Isolierschicht-Layout-Strukturen des Satzes Isolierschicht-Layout-Strukturen 1116 einer oder mehreren der Isolierschicht-Layout-Strukturen des Satzes Isolierschicht-Layout-Strukturen 514 oder 1114 der 5, 8 oder 11, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • In einigen Ausführungsformen überlappt die Isolierschicht-Layout-Struktur 1116a einen Abschnitt der Gate-Layout-Struktur 1104b (zum Beispiel der Gate-Layout-Struktur 1104b2). In einigen Ausführungsformen ist die Isolierschicht-Layout-Struktur 1116a unter einem Abschnitt der Gate-Layout-Struktur 1122g positioniert. In einigen Ausführungsformen ist die Isolierschicht-Layout-Struktur 1116a dort positioniert, wo ein Abschnitt der Gate-Layout-Struktur 1122g die Gate-Layout-Struktur 1104b2 überlappt.
  • In einigen Ausführungsformen überlappt die Isolierschicht-Layout-Struktur 1116b einen Abschnitt der Gate-Layout-Struktur 1104a (zum Beispiel der Gate-Layout-Struktur 1104a2). In einigen Ausführungsformen ist die Isolierschicht-Layout-Struktur 1116b unter einem Abschnitt der Gate-Layout-Struktur 1122h positioniert. In einigen Ausführungsformen ist die Isolierschicht-Layout-Struktur 1116b dort positioniert, wo ein Abschnitt der Gate-Layout-Struktur 1122h die Gate-Layout-Struktur 1104a2 überlappt.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Anzahlen von Strukturen in dem Satz Isolierschicht-Layout-Strukturen 1116 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Der Satz Metal-over-Diffusion-Layout-Strukturen 1120 enthält mindestens die Metal-over-Diffusion-Layout-Strukturen 1120a, 1120b, ..., 1120i oder 1120j.
  • Der Satz Metal-over-Diffusion-Layout-Strukturen 1120 kann dafür benutzt werden, einen entsprechenden Satz Kontakte 1220 (12A-12D) des integrierten Schaltkreises 1200-1200' herzustellen.
  • In einigen Ausführungsformen befindet sich der Satz Metal-over-Diffusion-Layout-Strukturen 1120 unter dem Satz Leitfähige-Strukturelemente-Layout-Strukturen 1140 oder 1144. In einigen Ausführungsformen befindet sich der Satz Metal-over-Diffusion-Layout-Strukturen 1120 über dem Satz Metal-over-Diffusion-Layout-Strukturen 1110 oder dem Satz Isolierschicht-Layout-Strukturen 1114.
  • In einigen Ausführungsformen können die Metal-over-Diffusion-Layout-Strukturen 1120a, 1120b, ..., 1120j des Satzes Metal-over-Diffusion-Layout-Strukturen 1120 dafür benutzt werden, entsprechende Kontakte 1220a, 1220b, ..., 1220j des Satzes Kontakte 1220 ( 12A-12D) des integrierten Schaltkreises 1200-1200' herzustellen. Die Kontakte 1220b, 1220i des Satzes Kontakte 1220 sind in den 12A-12B gezeigt, und die übrigen Kontakte in dem Satz Kontakte 1220 sind zur Vereinfachung der Veranschaulichung nicht gezeigt. In einigen Ausführungsformen werden die Metal-over-Diffusion-Layout-Strukturen 1120b, 1120f und 1120i des Satzes Metal-over-Diffusion-Layout-Strukturen oder anderer Layout-Strukturen, die den Metal-over-Diffusion-Layout-Strukturen 1120b, 1120f und 1120i ähneln, als „MD-Flyer-Layout-Strukturen“ bezeichnet, da diese Layout-Strukturen mindestens ein Paar Metal-over-Diffusion-Layout-Strukturen in der MD1-Ebene überlappen oder sich darüber erstrecken. Zum Beispiel überlappt Metal-over-Diffusion-Layout-Struktur 1120b die Metal-over-Diffusion-Layout-Strukturen 1110e, 1110i und 1112e. In ähnlicher Weise überlappt Metal-over-Diffusion-Layout-Struktur 1120f des Satzes Metal-over-Diffusion-Layout-Strukturen 1120 die Metal-over-Diffusion-Layout-Strukturen 1110c, 1110g, 1112c und 1112g und die Isolierschicht-Layout-Struktur 1114b. In ähnlicher Weise überlappt Metal-over-Diffusion-Layout-Struktur 1120i des Satzes Metal-over-Diffusion-Layout-Strukturen 1120 die Metal-over-Diffusion-Layout-Strukturen 1110a, 1112a und 1112f. In einigen Ausführungsformen ist der Satz Metal-over-Diffusion-Layout-Strukturen 1120 dafür konfiguriert, zusätzliche Routungsressourcen zwischen Region 1101a und Region 1101b bereitzustellen. In einigen Ausführungsformen überlappt der Satz Metal-over-Diffusion-Layout-Strukturen 1120 den mittigen Abschnitt (nicht mit Bezugszeichen versehen) des Layout-Designs 1100A. In einigen Ausführungsformen können die Metal-over-Diffusion-Layout-Strukturen 1120b und 1120i den mittigen Abschnitt (nicht mit Bezugszeichen versehen) des Layout-Designs 1100A überlappen. In einigen Ausführungsformen erstrecken sich die Metal-over-Diffusion-Layout-Strukturen 1120b und 1120i zwischen Region 1101a und Region 1101b.
  • In einigen Ausführungsformen ähneln eine oder mehrere der Metal-over-Diffusion-Layout-Strukturen des Satzes Metal-over-Diffusion-Layout-Strukturen 1120 den Metal-over-Diffusion-Layout-Strukturen 220c, 520a, 820a, 820d der 5 oder 8, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • In einigen Ausführungsformen überlappt die Metal-over-Diffusion-Layout-Struktur 1120b die Aktivregion-Layout-Strukturen 202a, 202b und 1102a und überlappt die Metal-over-Diffusion-Layout-Strukturen 11100, 1110i und 1112e. In einigen Ausführungsformen überlappt ein Abschnitt der Metal-over-Diffusion-Layout-Struktur 1120b die Isolierschicht-Layout-Struktur 1114a.
  • In einigen Ausführungsformen überlappt die Metal-over-Diffusion-Layout-Struktur 1120i die Aktivregion-Layout-Strukturen 202b, 1102b und 11028 und überlappt die Metal-over-Diffusion-Layout-Strukturen 1110a, 1112a und 1112f. In einigen Ausführungsformen überlappt ein Abschnitt der Metal-over-Diffusion-Layout-Struktur 1120i die Isolierschicht-Layout-Struktur 1114d.
  • In einigen Ausführungsformen überlappt die Metal-over-Diffusion-Layout-Struktur 1120f die Aktivregion-Layout-Strukturen 202a, 202b, 1102b und 1102a und überlappt die Metal-over-Diffusion-Layout-Strukturen 1110c, 1110g, 1112c und 1112g. In einigen Ausführungsformen überlappt ein Abschnitt der Metal-over-Diffusion-Layout-Struktur 1120i die Isolierschicht-Layout-Struktur 1114b.
  • In einigen Ausführungsformen überlappt die Metal-over-Diffusion-Layout-Strukturen 1120h die Aktivregion-Layout-Strukturen 202a, 202b und 1102a und überlappt die Metal-over-Diffusion-Layout-Strukturen 1110b, 1110f und 1112b. In einigen Ausführungsformen überlappt ein Abschnitt der Metal-over-Diffusion-Layout-Struktur 1120i die Isolierschicht-Layout-Struktur 1114c.
  • In einigen Ausführungsformen kann mindestens die Metal-over-Diffusion-Layout-Struktur 1110i oder ein Abschnitt der Metal-over-Diffusion-Layout-Struktur 1120b dafür benutzt werden, den Drain-Anschluss des PMOS-Transistors P10-4 von 10B herzustellen. In einigen Ausführungsformen kann mindestens die Metal-over-Diffusion-Layout-Struktur 1110e oder ein Abschnitt der Metal-over-Diffusion-Layout-Struktur 1120b kann dafür benutzt werden, den Drain-Anschluss des NMOS-Transistors N10-4 von 10B herzustellen.
  • In einigen Ausführungsformen kann mindestens die Metal-over-Diffusion-Layout-Struktur 1110h dafür benutzt werden, den Source-Anschluss des PMOS-Transistors P10-4 oder den Source-Anschluss des PMOS-Transistors P10-1 von 10B herzustellen. In einigen Ausführungsformen kann mindestens die Metal-over-Diffusion-Layout-Struktur 1110d dafür benutzt werden, den Source-Anschluss des NMOS-Transistors N10-4 oder den Source-Anschluss des NMOS-Transistors N10-1 von 10B herzustellen.
  • In einigen Ausführungsformen kann mindestens die Metal-over-Diffusion-Layout-Struktur 1110g dafür benutzt werden, den Source-Anschluss des PMOS-Transistors P10-2 oder den Drain-Anschluss des PMOS-Transistors P10-1 von 10B herzustellen. In einigen Ausführungsformen kann mindestens die Metal-over-Diffusion-Layout-Struktur 1110c dafür benutzt werden, den Source-Anschluss des NMOS-Transistors N10-2 oder den Drain-Anschluss des NMOS-Transistors N10-1 von 10B herzustellen.
  • In einigen Ausführungsformen kann mindestens die Metal-over-Diffusion-Layout-Struktur 1110f dafür benutzt werden, den Drain-Anschluss des PMOS-Transistors P10-2 oder den Drain-Anschluss des PMOS-Transistors P10-5 von 10B herzustellen. In einigen Ausführungsformen kann mindestens die Metal-over-Diffusion-Layout-Struktur 1110b dafür benutzt werden, den Drain-Anschluss des NMOS-Transistors N10-2 oder den Drain-Anschluss des NMOS-Transistors N10-5 von 10B herzustellen.
  • In einigen Ausführungsformen kann mindestens die Metal-over-Diffusion-Layout-Struktur 1110a dafür benutzt werden, den Source-Anschluss des PMOS-Transistors P10-5 und den Source-Anschluss des NMOS-Transistors N10-5 von 10B herzustellen.
  • In einigen Ausführungsformen kann mindestens die Metal-over-Diffusion-Layout-Struktur 1112f dafür benutzt werden, den Source-Anschluss des PMOS-Transistors P10-3 von 10B herzustellen. In einigen Ausführungsformen kann mindestens die Metal-over-Diffusion-Layout-Struktur 1112a dafür benutzt werden, den Source-Anschluss des NMOS-Transistors N10-3 von 10B herzustellen.
  • In einigen Ausführungsformen kann mindestens die Metal-over-Diffusion-Layout-Struktur 1112b dafür benutzt werden, mindestens den Drain-Anschluss des PMOS-Transistors P10-6, den Drain-Anschluss des PMOS-Transistors P10-3, den Drain-Anschluss des NMOS-Transistors N10-6 oder den Drain-Anschluss des NMOS-Transistors N10-3 von 10B herzustellen.
  • In einigen Ausführungsformen kann mindestens die Metal-over-Diffusion-Layout-Struktur 1112g dafür benutzt werden, den Source-Anschluss des PMOS-Transistors P10-6 oder den Source-Anschluss des PMOS-Transistors P10-7 von 10B herzustellen. In einigen Ausführungsformen kann mindestens die Metal-over-Diffusion-Layout-Struktur 1112c dafür benutzt werden, den Source-Anschluss des NMOS-Transistors N10-6 oder den Source-Anschluss des NMOS-Transistors N10-7 von 10B herzustellen.
  • In einigen Ausführungsformen kann mindestens die Metal-over-Diffusion-Layout-Struktur 1112h dafür benutzt werden, den Drain-Anschluss des PMOS-Transistors P10-8 oder den Drain-Anschluss des PMOS-Transistors P10-7 von 10B herzustellen. In einigen Ausführungsformen kann mindestens die Metal-over-Diffusion-Layout-Struktur 1112d dafür benutzt werden, den Drain-Anschluss des NMOS-Transistors N10-8 oder den Drain-Anschluss des NMOS-Transistors N10-7 von 10B herzustellen.
  • In einigen Ausführungsformen kann mindestens die Metal-over-Diffusion-Layout-Struktur 1112e dafür benutzt werden, den Source-Anschluss des PMOS-Transistors P10-8 und den Source-Anschluss des NMOS-Transistors N10-8 von 10B herzustellen.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Anzahlen von Strukturen in dem Satz Metal-over-Diffusion-Layout-Strukturen 1120 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Der Satz Gate-Layout-Strukturen 1122 erstreckt sich in der zweiten Richtung Y. In einigen Ausführungsformen überlappt mindestens eine des Satzes Gate-Layout-Strukturen 1122 einen Abschnitt des Satzes Gate-Layout-Strukturen 1104, des Satzes Aktivregion-Layout-Strukturen 1102 oder des Satzes Isolierschicht-Layout-Strukturen 1116. Die Layout-Strukturen des Satzes Gate-Layout-Strukturen 1122 sind von einer benachbarten Layout-Struktur des Satzes Gate-Layout-Strukturen 1122 in mindestens der ersten Richtung X oder der zweiten Richtung Y getrennt.
  • In einigen Ausführungsformen befindet sich der Satz Gate-Layout-Strukturen 1122 auf mindestens dem Satz Gate-Layout-Strukturen 1104 oder dem Satz Isolierschicht-Layout-Strukturen 1116. Der Satz Gate-Layout-Strukturen 1122 befindet sich auf der dritten Layout-Ebene. In einigen Ausführungsformen entspricht die dritte Layout-Ebene einer zweiten Poly-(POLY2)-Ebene eines oder mehrerer der Layout-Designs 200, 500, 800, 1100A oder 1100G (2, 5, 8 oder 11A-11G) oder der integrierten Schaltkreise 300, 600, 900, 1200-1200' (3, 6, 9 oder 12A-12D). In einigen Ausführungsformen befindet sich die POLY2-Ebene über der POLY1-Ebene oder der MD1-Ebene. In einigen Ausführungsformen liegt die dritte Layout-Ebene über dem ersten Abschnitt (POLY1) der zweiten Layout-Ebene oder dem zweiten Abschnitt (MDi) der zweiten Layout-Ebene. In einigen Ausführungsformen liegt die POLY2-Ebene auf derselben Ebene wie die MD2-Ebene.
  • In einigen Ausführungsformen befindet sich der Satz Gate-Layout-Strukturen 1122 unter dem Satz Leitfähige-Strukturelemente-Layout-Strukturen 1140 oder 1144. In einigen Ausführungsformen befindet sich der Satz Gate-Layout-Strukturen 1122 über dem Satz Gate-Layout-Strukturen 1104 oder dem Satz Isolierschicht-Layout-Strukturen 1116.
  • Der Satz Gate-Layout-Strukturen 1122 enthält mindestens die Gate-Layout-Struktur 1122a, 1122b, ..., 1122i oder 1122j.
  • Der Satz Gate-Layout-Strukturen 1122 kann dafür benutzt werden, einen entsprechenden Satz Gates 1222 (12A-12D) des integrierten Schaltkreises 1200-1200' herzustellen.
  • In einigen Ausführungsformen können die Gate-Layout-Strukturen 1122a, 1122b, ..., 1122j des Satzes Gate-Layout-Strukturen 1122 dafür benutzt werden, entsprechende Gates 1222a, 1222b, ..., 1222j des Satzes Gates 1222 (12A-12D) des integrierten Schaltkreises 1200-1200' herzustellen.
  • In einigen Ausführungsformen werden die Gate-Layout-Strukturen 1122g und 1122h des Satzes Gate-Layout-Strukturen oder andere Layout-Strukturen, die den Gate-Layout-Strukturen 1122g und 1122h ähneln, als „Poly-Flyer-Layout-Strukturen“ bezeichnet, da diese Layout-Strukturen mindestens einen Abschnitt einer Gate-Layout-Struktur des Satzes Gate-Layout-Strukturen 1104 und einen Abschnitt einer Isolierschicht-Layout-Struktur des Satzes Isolierschicht-Layout-Strukturen 1116 überlappen oder sich darüber erstrecken. Zum Beispiel überlappt die Gate-Layout-Struktur 1122g die Isolierschicht-Layout-Struktur 1116a und Abschnitte der Gate-Layout-Struktur 1104b (zum Beispiel die Gate-Layout-Struktur 1104b1 und Abschnitte der Gate-Layout-Struktur 1104b2). In ähnlicher Weise überlappt die Gate-Layout-Struktur 1122h die Isolierschicht-Layout-Struktur 1116b und Abschnitte der Gate-Layout-Struktur 1104a (zum Beispiel die Gate-Layout-Struktur 1104a2 und Abschnitte der Gate-Layout-Struktur 1104a3).
  • In einigen Ausführungsformen ist zwar der Satz Gate-Layout-Strukturen 1122 über dem Satz Gate-Layout-Strukturen 1104 positioniert, und der Satz Metal-over-Diffusion-Layout-Strukturen 1120 ist über dem Satz Metal-over-Diffusion-Layout-Strukturen 1110 positioniert, doch ähneln eine oder mehrere der Gate-Layout-Strukturen des Satzes Gate-Layout-Strukturen 1122 einer oder mehreren der Metal-over-Diffusion-Layout-Strukturen 220, 520, 820, 1120 der 2, 5, 8 oder 11A-11G, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • In einigen Ausführungsformen überlappt die Gate-Layout-Struktur 1122g die Aktivregion-Layout-Strukturen 202a und 202b und wird durch den Satz Leitfähige-Strukturelemente-Layout-Strukturen 1140 überlappt. In einigen Ausführungsformen überlappt die Gate-Layout-Struktur 1122h die Aktivregion-Layout-Strukturen 1102a und 1102b und wird durch den Satz Leitfähige-Strukturelemente-Layout-Strukturen 1144 überlappt.
  • In einigen Ausführungsformen stellt der Satz Gate-Layout-Strukturen 1122 durch Positionieren des Satzes Gate-Layout-Strukturen 1122 dergestalt, dass der Satz Gate-Layout-Strukturen 1104 und der Satz Isolierschicht-Layout-Strukturen 1116 überlappt werden, zusätzliche Routungsressourcen in der zweiten Richtung Y bereit und befindet sich unter oberen Metallisierungsschichten (zum Beispiel Mo, M1 usw.) in dem Layout-Design 1100. Durch Bereitstellen von Routungsressourcen unter oberen Metallisierungsebenen (zum Beispiel Mo, M1 usw.) kann die Verwendung der oberen Metallisierungsebenen (zum Beispiel Mo, M1 usw.) verringert werden, oder die oberen Metallisierungsebenen (zum Beispiel Mo, M1 usw.) können als zusätzliche Routungsressourcen verwendet werden, was dazu führt, dass das Layout-Design 1100A oder 1100G eine kleinere Fläche und eine kleinere Standardzelle hat als andere Lösungsansätze.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Anzahlen von Strukturen in dem Satz Gate-Layout-Strukturen 1122 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Der Satz Stromschienen-Layout-Strukturen 1142 kann dafür benutzt werden, einen entsprechenden Satz Stromschienen 1242 (12A-12D) des integrierten Schaltkreises 1200-1200' herzustellen. Der Satz Stromschienen 1242 enthält mindestens eine die Stromschienen-Layout-Struktur 1142a, 1142b oder 1142c.
  • Im Vergleich zu dem Layout-Design von 8 ersetzen die die Stromschienen-Layout-Strukturen 1142a, 1142b die entsprechenden die Stromschienen-Layout-Strukturen 242a, 242b des Satzes die Stromschienen-Layout-Strukturen 242 von 8, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird. In ähnlicher Weise ähnelt die Stromschienen-Layout-Struktur 1142c der Stromschienen-Layout-Struktur 242b des Satzes Stromschienen-Layout-Strukturen 242 von 8, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Die Stromschienen-Layout-Struktur 1142a erstreckt sich in der ersten Richtung X über einen mittleren Abschnitt des Layout-Designs 1100A oder 1100G hinweg. Die Stromschienen-Layout-Strukturen 1142b und 1142c erstrecken sich in der ersten Richtung X entlang gegenüberliegender Ränder des Layout-Designs 1100A oder 1100G.
  • In einigen Ausführungsformen können die Stromschienen-Layout-Strukturen 1142a, 1142b, 1142c des Satzes Stromschienen-Layout-Strukturen 1142 dafür benutzt werden, entsprechende Stromschienen 1242a (nicht gezeigt), 1242b (nicht gezeigt), 1242c (nicht gezeigt) des Satzes Stromschienen 1242 (12A-12D) des integrierten Schaltkreises 1200-1200' herzustellen.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Anzahlen von Strukturen in dem Satz Schienen-Layout-Strukturen 1142 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Der Satz Leitfähige-Strukturelemente-Layout-Strukturen 1140 oder 1144 kann dafür benutzt werden, einen entsprechenden Satz leitfähiger Strukturelemente 1240 oder 1244 (12A-12D) des integrierten Schaltkreises 1200-1200' herzustellen.
  • Der Satz Leitfähige-Strukturelemente-Layout-Strukturen 1140 enthält mindestens Leitfähige-Strukturelemente-Layout-Strukturen 1140a, 1140b, 1140c oder 1140d. Im Vergleich zu dem Layout-Design von 8 ersetzen die Leitfähige-Strukturelemente-Layout-Strukturen 1140a, 1140b, 1140c, 1140d entsprechende Leitfähige-Strukturelemente-Layout-Strukturen 840a, 840b 840c, 540d des Satzes Leitfähige-Strukturelemente-Layout-Strukturen 840 von 8, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • In einigen Ausführungsformen können die Leitfähige-Strukturelemente-Layout-Strukturen 1140a, 1140b, 1140c, 1140d des Satzes Leitfähige-Strukturelemente-Layout-Strukturen 1140 dafür benutzt werden, entsprechende leitfähige Strukturelemente 1240a, 1240b (nicht gezeigt), 1240c (nicht gezeigt), 1240d des Satzes leitfähiger Strukturelemente 1240 (12A-12D) des integrierten Schaltkreises 1200-1200' herzustellen.
  • Der Satz Leitfähige-Strukturelemente-Layout-Strukturen 1144 enthält mindestens Leitfähige-Strukturelemente-Layout-Strukturen 1144a, 1144b, 1144c oder 1144d. Im Vergleich zu dem Layout-Design von 8 ersetzen die Leitfähige-Strukturelemente-Layout-Strukturen 1144a, 1144b, 1144c, 1144d entsprechende Leitfähige-Strukturelemente-Layout-Strukturen 840a, 840b 840c, 540d des Satzes Leitfähige-Strukturelemente-Layout-Strukturen 840 von 8, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • In einigen Ausführungsformen können die Leitfähige-Strukturelemente-Layout-Strukturen 1144a, 1144b, 1144c, 1144d des Satzes Leitfähige-Strukturelemente-Layout-Strukturen 1144 dafür benutzt werden, entsprechende leitfähige Strukturelemente 1244a, 1244b (nicht gezeigt), 1244c, 1244d (nicht gezeigt) des Satzes leitfähiger Strukturelemente 1244 (12A-12D) des integrierten Schaltkreises 1200-1200' herzustellen.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Anzahlen von Strukturen in dem Satz Leitfähige-Strukturelemente-Layout-Strukturen 1140 oder 1144 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Der Satz Durchkontaktierungs-Layout-Strukturen 1150 enthält mindestens Durchkontaktierungs-Layout-Strukturen 1150a, ..., 1150l. In einigen Ausführungsformen ist der Satz Durchkontaktierungs-Layout-Strukturen 1150 in der VD-Ebene positioniert. In einigen Ausführungsformen ist mindestens eine Durchkontaktierungs-Layout-Struktur des Satzes Durchkontaktierungs-Layout-Strukturen 1150 in der VDT-Ebene positioniert. Der Satz Durchkontaktierungs-Layout-Strukturen 1150 kann dafür benutzt werden, einen entsprechenden Satz Durchkontaktierungen 1250 (12A-12D) des integrierten Schaltkreises 1200-1200' herzustellen. In einigen Ausführungsformen befindet sich der Satz Durchkontaktierungs-Layout-Strukturen 1150 zwischen dem Satz Leitfähige-Strukturelemente-Layout-Strukturen 1140 oder 1144 und entweder dem Satz Metal-over-Diffusion-Layout-Strukturen 1110 oder dem Satz Metal-over-Diffusion-Layout-Strukturen 1120.
  • In einigen Ausführungsformen können die Durchkontaktierungs-Layout-Strukturen 1150a, ..., 1150l der Satz Durchkontaktierungs-Layout-Strukturen 1150 dafür benutzt werden, entsprechende Durchkontaktierungen 1250a, ..., 1250l des Satzes Durchkontaktierungen 1250 (12A-12D) des integrierten Schaltkreises 1200-1200' herzustellen. In den 12A-12D sind Durchkontaktierungen 1250a, 1250b, 1250c, 1250j, 1250k des Satzes Durchkontaktierungen 1250 gezeigt, und die übrigen Durchkontaktierungen in dem Satz Durchkontaktierungen 1250 sind zur Vereinfachung der Veranschaulichung nicht gezeigt.
  • Im Vergleich zu dem Layout-Design 800 von 8 ersetzen die Durchkontaktierungs-Layout-Strukturen 1150e, 1150f die entsprechenden Durchkontaktierungs-Layout-Strukturen 850c, 850e von 8, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird. Im Vergleich zu dem Layout-Design 800 von 8 ähnelt die Durchkontaktierungs-Layout-Struktur 1150d der Durchkontaktierungs-Layout-Struktur 850e von 8, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Im Vergleich zu dem Layout-Design 800 von 8 ähneln die Durchkontaktierungs-Layout-Strukturen 1150a, 1150b, 1150c, 1150g, ..., 1150l mindestens der Durchkontaktierungs-Layout-Struktur 850a von 8, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Die Durchkontaktierungs-Layout-Struktur 1150a liegt zwischen der Leitfähige-Strukturelemente-Layout-Struktur 1144a und der Metal-over-Diffusion-Layout-Struktur 1120a. Die Durchkontaktierungs-Layout-Struktur 1150b liegt zwischen der Leitfähige-Strukturelemente-Layout-Struktur 1144c und der Metal-over-Diffusion-Layout-Struktur 1120b. Die Durchkontaktierungs-Layout-Struktur 1150c liegt zwischen der Leitfähige-Strukturelemente-Layout-Struktur 1140d und der Metal-over-Diffusion-Layout-Struktur 1120b. Die Durchkontaktierungs-Layout-Struktur 1150j liegt zwischen der Leitfähige-Strukturelemente-Layout-Struktur 1144a und der Metal-over-Diffusion-Layout-Struktur 1120i. Die Durchkontaktierungs-Layout-Struktur 1150k liegt zwischen der Leitfähige-Strukturelemente-Layout-Struktur 1140a und der Metal-over-Diffusion-Layout-Struktur 1120i. Das Positionieren einer jeden der übrigen Durchkontaktierungs-Layout-Strukturen in dem Satz Durchkontaktierungs-Layout-Strukturen 1150 ist im Interesse der Kürze nicht beschrieben.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Anzahlen von Strukturen in dem Satz Durchkontaktierungs-Layout-Strukturen 1150 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Der Satz Durchkontaktierungs-Layout-Strukturen 1160 enthält mindestens Durchkontaktierungs-Layout-Strukturen 1160a, ..., 1160j. Der Satz Durchkontaktierungs-Layout-Strukturen 1160 kann dafür benutzt werden, einen entsprechenden Satz Durchkontaktierungen 1260 (12A-12D) des integrierten Schaltkreises 1200-1200' herzustellen. In einigen Ausführungsformen befindet sich der Satz Durchkontaktierungs-Layout-Strukturen 1160 zwischen dem Satz Leitfähige-Strukturelemente-Layout-Strukturen 1140 oder 1144 und entweder dem Satz Gate-Layout-Strukturen 1104 oder dem Satz Gate-Layout-Strukturen 1122.
  • Der Satz Durchkontaktierungs-Layout-Strukturen 1160 ist auf einer Via-over-Gate (VG)-Ebene oder ein Tall-over-Via-Gate (VGT)-Ebene eines oder mehrerer der Layout-Designs 200, 500, 800, 1100A oder 1100G (2, 5, 8 oder 11A-11G) oder der integrierten Schaltkreise 300, 600, 900, 1200-1200' (3, 6, 9 oder 12A-12D) positioniert. In einigen Ausführungsformen liegt die VG-Ebene zwischen der Mo-Ebene und der POLY2-Ebene. In einigen Ausführungsformen liegt die VGT-Ebene zwischen der Mo-Ebene und der POLY1-Ebene. In einigen Ausführungsformen befindet sich die POLY2-Ebene über der POLY1-Ebene oder der MD1-Ebene. In einigen Ausführungsformen liegt die VGT-Ebene zwischen der vierten Layout-Ebene und dem ersten Abschnitt der zweiten Layout-Ebene (POLY1). In einigen Ausführungsformen liegt die VG-Ebene zwischen der vierten Layout-Ebene und der dritten Layout-Ebene (POLY2). Andere Layout-Ebenen liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • In einigen Ausführungsformen können die Durchkontaktierungs-Layout-Strukturen 1160a, ..., 1160j des Satzes Durchkontaktierungs-Layout-Strukturen 1160 dafür benutzt werden, entsprechende Durchkontaktierungen 1260a, ..., 1260j des Satzes Durchkontaktierungen 1260 (12A-12D) des integrierten Schaltkreises 1200-1200' herzustellen. Durchkontaktierungen 1260a, 1260e, 1260f, 1260g, 1260h, 1260i, 1260j der Satz Durchkontaktierungen 1260 sind gezeigt in den 12A-12D und die übrigen Durchkontaktierungen in dem Satz Durchkontaktierungen 1260 sind zur Vereinfachung der Veranschaulichung nicht gezeigt.
  • Die Durchkontaktierungs-Layout-Struktur 1160a liegt zwischen der Leitfähige-Strukturelemente-Layout-Struktur 1144c und der Gate-Layout-Struktur 1122d. Die Durchkontaktierungs-Layout-Struktur 1160e liegt zwischen der Leitfähige-Strukturelemente-Layout-Struktur 1144a und der Gate-Layout-Struktur 1122e. Die Durchkontaktierungs-Layout-Struktur 1160f liegt zwischen der Leitfähige-Strukturelemente-Layout-Struktur 1140a und der Gate-Layout-Struktur 1122f. Die Durchkontaktierungs-Layout-Struktur 1160g liegt zwischen der Leitfähige-Strukturelemente-Layout-Struktur 1144a und der Gate-Layout-Struktur 1122g. Die Durchkontaktierungs-Layout-Struktur 1160h liegt zwischen der Leitfähige-Strukturelemente-Layout-Struktur 1140a und der Gate-Layout-Struktur 1122h. Die Durchkontaktierungs-Layout-Struktur 1160i liegt zwischen der Leitfähige-Strukturelemente-Layout-Struktur 1144a und der Gate-Layout-Struktur 1122i. Die Durchkontaktierungs-Layout-Struktur 1160j liegt zwischen der Leitfähige-Strukturelemente-Layout-Struktur 1140d und der Gate-Layout-Struktur 1122j. Das Positionieren von jeder der übrigen Durchkontaktierungs-Layout-Strukturen in dem Satz Durchkontaktierungs-Layout-Strukturen 1160 ist im Interesse der Kürze nicht beschrieben.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Anzahlen von Strukturen in dem Satz Durchkontaktierungs-Layout-Strukturen 1160 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • 11G ist ein Schaubild eines Layout-Designs 1100G eines integrierten Schaltkreises gemäß einigen Ausführungsformen.
  • Das Layout-Design 1100G von 11G ist eine Variation des Layout-Designs 1100A (11A-11F). Im Vergleich zu dem Layout-Design 1100A der 11A-11F enthält das Layout-Design 1100G von 11G mindestens Durchkontaktierungs-Layout-Strukturen, die auf der VGT-, VDT-, VG- oder VD-Ebene positioniert sind.
  • Im Vergleich zu dem Layout-Design 1100A der 11A-11F ersetzt der Satz Metal-over-Diffusion-Layout-Strukturen 1120' des Layout-Designs 1100G den Satz Metal-over-Diffusion-Layout-Strukturen 1120, der Satz Gate-Layout-Strukturen 1122' des Layout-Designs 1100G ersetzt den Satz Gate-Layout-Strukturen 1122, der Satz Durchkontaktierungs-Layout-Strukturen 1150' des Layout-Designs 1100G ersetzt den Satz Durchkontaktierungs-Layout-Strukturen 1150, und der Satz Durchkontaktierungs-Layout-Strukturen 1160' ersetzt den Satz Durchkontaktierungs-Layout-Strukturen 1160 des Layout-Designs 1100G, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Im Vergleich zu dem Satz Metal-over-Diffusion-Layout-Strukturen 1120 der 11A-11F enthält der Satz Metal-over-Diffusion-Layout-Strukturen 1120' keine Metal-over-Diffusion-Layout-Strukturen 1120a, 1120c, 1120d, 1120e, 1120g und 1120j. In einigen Ausführungsformen können die Metal-over-Diffusion-Layout-Strukturen 1120b, 1120f, 1120h, 1120i des Satzes Metal-over-Diffusion-Layout-1120 dafür benutzt werden, entsprechende Kontakte 1220b, 1220f, 1220h, 1220i des Satzes Kontakte 1220' (12A-12D) des integrierten Schaltkreises 1200-1200' herzustellen. Andere Konfigurationen oder Anordnungen des Satzes Metal-over-Diffusion-Layout-Strukturen 1120' liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Im Vergleich zu dem Satz Gate-Layout-Strukturen 1122 der 11A-11F enthält der Satz Gate-Layout-Strukturen 1122' keine Gate-Layout-Strukturen 1122a, 1122b, 1122c, 1122d, 1122e, 1122f, 1122i und 1122j. In einigen Ausführungsformen können die Gate-Layout-Strukturen 1122g, 1122h des Satzes Gate-Layout-Strukturen 1122' dafür benutzt werden, entsprechende Gates 1222g, 1222h des Satzes Gates 1222' (12A-12D) des integrierten Schaltkreises 1200-1200' herzustellen. Andere Konfigurationen oder Anordnungen des Satzes Gate-Layout-Strukturen 1122' liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Der Satz Durchkontaktierungs-Layout-Strukturen 1150' enthält mindestens Durchkontaktierungs-Layout-Strukturen 1150a', ..., 1150k oder 1150l. Im Vergleich zu dem Layout-Design 1100A ersetzt die Durchkontaktierungs-Layout-Struktur 1150a' die Durchkontaktierungs-Layout-Struktur 1150a der 11A-11F, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird. In einigen Ausführungsformen können die Durchkontaktierungs-Layout-Strukturen 1150a', ..., 1150l des Satzes Durchkontaktierungs-Layout-Strukturen 1150' dafür benutzt werden, entsprechende Durchkontaktierungen 1250a', ..., 1250l des Satzes Durchkontaktierungen 1250' (12A-12D) des integrierten Schaltkreises 1200-1200' herzustellen.
  • Die Durchkontaktierungs-Layout-Struktur 1150a' liegt zwischen der Leitfähige-Strukturelemente-Layout-Struktur 1144a und der Metal-over-Diffusion-Layout-Struktur 1112e. In einigen Ausführungsformen ist die Durchkontaktierungs-Layout-Struktur 1150a' auf der VDT-Ebene positioniert. Andere Konfigurationen oder Anordnungen des Satzes Durchkontaktierungs-Layout-Strukturen 1150' liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Der Satz Durchkontaktierungs-Layout-Strukturen 1160' enthält mindestens Durchkontaktierungs-Layout-Strukturen 1160a', 1160b, ..., 1160e', 1160f, 1160g, 1160h, 1160i' oder 1160j'. Im Vergleich zu dem Layout-Design 1100A ersetzen die Durchkontaktierungs-Layout-Strukturen 1160a', 1160e', 1160f, 1160i', 1160j' entsprechende Durchkontaktierungs-Layout-Strukturen 1160a, 1160e, 1160f, 1160i, 1160j der 11A-11F, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird. In einigen Ausführungsformen können die Durchkontaktierungs-Layout-Strukturen 1160a', 1160b, ..., 1160e', 1160f', 1160g, 1160h, 1160i' oder 1160j' des Satzes Durchkontaktierungs-Layout-Strukturen 1160' dafür benutzt werden, entsprechende Durchkontaktierungen 1260a', 1260b, ..., 1260e', 1260f', 1260g, 1260h, 1260i' oder 1260j' des Satzes Durchkontaktierungen 1260' (12A-12D) des integrierten Schaltkreises 1200-1200' herzustellen.
  • Die Durchkontaktierungs-Layout-Struktur 1160a' liegt zwischen der Leitfähige-Strukturelemente-Layout-Struktur 1244c und der Gate-Layout-Struktur 1104d2. Die Durchkontaktierungs-Layout-Struktur 1160e' liegt zwischen der Leitfähige-Strukturelemente-Layout-Struktur 1144a und der Gate-Layout-Struktur 1104b3. Die Durchkontaktierungs-Layout-Struktur 1160f' liegt zwischen der Leitfähige-Strukturelemente-Layout-Struktur 1144c und der Gate-Layout-Struktur 1104b2. Die Durchkontaktierungs-Layout-Struktur 1160i' liegt zwischen der Leitfähige-Strukturelemente-Layout-Struktur 1140a und der Gate-Layout-Struktur 1104a2. Die Durchkontaktierungs-Layout-Struktur 1160j' liegt zwischen der Leitfähige-Strukturelemente-Layout-Struktur 1140d und der Gate-Layout-Struktur 1104a1.
  • In einigen Ausführungsformen ist mindestens eine Durchkontaktierungs-Layout-Struktur 1160a', 1160e', 1160f, 1160i' oder 1160j' auf der VGT-Ebene positioniert. Andere Konfigurationen oder Anordnungen des Satzes Durchkontaktierungs-Layout-Strukturen 1160' liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • In einigen Ausführungsformen werden durch die Verwendung einer oder mehrerer des Satzes Metal-over-Diffusion-Layout-Strukturen 220, 520, 820, 1120 und 1120', des Satzes isolierender Layout-Strukturen 514, 1114 oder 1116 oder des Satzes Gate-Layout-Strukturen 1122 oder 1122' zusätzliche Routungsressourcen in der zweiten Richtung Y bereitgestellt und unter anderen Metallisierungsebenen (zum Beispiel Mo, M1 usw.) für jedes der Layout-Designs 200, 500, 800, 1100A und 1100G positioniert. Durch Bereitstellen von Routungsressourcen unter anderen Metallisierungsebenen (zum Beispiel M0, M1 usw.) kann die Verwendung der anderen Metallisierungsebenen (zum Beispiel Mo, M1 usw.) verringert werden, oder die anderen Metallisierungsebenen (zum Beispiel Mo, M1 usw.) können als zusätzliche Routungsressourcen verwendet werden, was dazu führt, dass die Layout-Designs 200, 500, 800, 1100A und 1100G eine kleinere Fläche haben, was eine Standardzelle mit einer kleineren Fläche erbringt als andere Lösungsansätze.
  • INTEGRIERTER SCHALTKREIS
  • 12A-12B sind eine perspektivische Ansicht eines Schaubildes eines integrierten Schaltkreises 1200 gemäß einigen Ausführungsformen. 12C-12D sind eine perspektivische Ansicht eines Schaubildes eines integrierten Schaltkreises 1200' gemäß einigen Ausführungsformen.
  • In einigen Ausführungsformen zeigt der integrierte Schaltkreis 1200 der 12A-12B eine Ausführungsform, wo jede der Durchkontaktierungen VG oder VD die gleiche Höhe in der dritten Richtung Z hat.
  • Der integrierte Schaltkreis 1200' der 12C-12D ist eine Variation des integrierten Schaltkreises 1200 (12A-12B). Im Vergleich zu dem integrierten Schaltkreis 1200 der 12A-12B enthält der integrierte Schaltkreis 1200' der 12C-12D Durchkontaktierungen VGT oder VDT mit anderen Höhen in der dritten Richtung Z als die Durchkontaktierungen VG oder VD.
  • 12A-12B sind Schaubilder eines entsprechenden Abschnitts 1200A-1200B des integrierten Schaltkreises 1200, die zur Vereinfachung der Veranschaulichung vereinfacht wurden. Abschnitt 1200A enthält ein oder mehrere Strukturelemente des integrierten Schaltkreises 1200 der aktiven (OD)-Ebene, der MD1-Ebene, der POLY1-Ebene, der POLY2-Ebene, der MD2-Ebene, der VG-Ebene, der VD-Ebene und der Mo-Ebene.
  • Abschnitt 1200B enthält ein oder mehrere Strukturelemente des integrierten Schaltkreises 1200 der aktiven (OD)-Ebene, der MD1-Ebene, der POLY1-Ebene, der POLY2-Ebene, der MD2-Ebene, der VG-Ebene und der VD-Ebene. Oder anders ausgedrückt: Zur Vereinfachung der Veranschaulichung zeigt Abschnitt 1200B die Mo-Ebene nicht.
  • Der integrierte Schaltkreis 1200 ist eine Variation des integrierten Schaltkreises 900 (9). Zum Beispiel veranschaulicht der integrierte Schaltkreis 1200 in einigen Ausführungsformen ein Beispiel, wo eine Isolierschicht 1216a, 1216b zwischen einem entsprechenden Gate 1204b2, 1204a2 und einem entsprechenden Gate 1222g, 1222h positioniert ist, wodurch das entsprechende Gate 1204b2, 1204a2 elektrisch von dem entsprechenden Gate 1222g, 1222h isoliert wird.
  • In einigen Ausführungsformen veranschaulicht der integrierte Schaltkreis 1200 auch ein Beispiel, wo eine Isolierschicht 1214a zwischen Kontakt 1212e und Kontakt 1220b positioniert ist, wodurch der Kontakt 1212e elektrisch von dem Kontakt 1220b isoliert wird. In einigen Ausführungsformen veranschaulicht der integrierte Schaltkreis 1200 auch ein Beispiel, wo eine Isolierschicht 1214b zwischen Kontakten 1210c und 1210g und Kontakt 1220f positioniert ist, wodurch die Kontakte 1210c und 1210g elektrisch von dem Kontakt 1220f isoliert werden. In einigen Ausführungsformen veranschaulicht der integrierte Schaltkreis 1200 auch ein Beispiel, wo eine Isolierschicht 1214c zwischen Kontakt 1212b und Kontakt 1220h positioniert ist, wodurch Kontakt 1212b elektrisch von Kontakt 1220h isoliert wird. In einigen Ausführungsformen veranschaulicht der integrierte Schaltkreis 1200 auch ein Beispiel, wo eine Isolierschicht 1214d zwischen den Kontakten 1210a, 1212a, 1212f und dem Kontakt 1220i positioniert ist, wodurch die Kontakte 1210a, 1212a, 1212f elektrisch von dem Kontakt 1220i isoliert werden.
  • Der integrierte Schaltkreis 1200 wird durch das Layout-Design 1100A hergestellt. Der integrierte Schaltkreis 1200 ist eine Ausführungsform eines Abschnitts des integrierten Schaltkreises 1000A oder des integrierten Schaltkreises 1000B. Der integrierte Schaltkreis 1200' wird durch das Layout-Design 1100G hergestellt. Der integrierte Schaltkreis 1200' ist eine Ausführungsform eines Abschnitts des integrierten Schaltkreises 1000A oder des integrierten Schaltkreises 1000B.
  • Die strukturellen Beziehungen, einschließlich Ausrichtung, Längen und Breiten, sowie Konfigurationen des integrierten Schaltkreises 1200 oder 1200' ähneln den strukturellen Beziehungen und Konfigurationen des Layout-Designs 1100A oder 1100G der 11A-11G, und auf eine ähnliche detaillierte Beschreibung wird in den 12A-12D im Interesse der besseren Übersichtlichkeit verzichtet.
  • Der integrierte Schaltkreis 1200 enthält ein Substrat (nicht gezeigt), den Satz aktiver Regionen 1202, den Satz Gates 1204, den Satz Kontakte 1210 und 1212, den Satz Isolierschichten 1214 und 1216, den Satz Kontakte 1220, den Satz Gates 1222, den Satz Stromschienen (nicht gezeigt) des Satzes leitfähiger Strukturelemente 1240 und 1244, und den Satz Durchkontaktierungen 1250 und 1260. Jedoch zeigt der integrierte Schaltkreis 1200 mindestens eines der Elemente in dem Satz Gates 1204, dem Satz Isolierschichten 1214 und 1216, dem Satz Kontakte 1220, dem Satz Gates 1222, dem Satz Stromschienen, dem Satz leitfähiger Strukturelemente 1240 und 1244 und dem Satz Durchkontaktierungen 1250 und 1260 zur Vereinfachung der Veranschaulichung nicht. In ähnlicher Weise ist mindestens ein Element des Satzes aktiver Regionen 1202 oder des Satzes Kontakte 1210 und 1212 der integrierte Schaltkreis 1200 zur Vereinfachung der Veranschaulichung nicht mit Bezugszeichen versehen.
  • Im Vergleich zu dem integrierten Schaltkreis 900 von 9 ersetzt der Satz aktiver Regionen 1202 den Satz aktiver Regionen 902, der Satz Gates 1204 ersetzt den Satz Gates 904, der Satz Kontakte 1210 oder 1212 ersetzt den Satz Kontakte 1210, der Satz Isolierschichten 1214 ersetzt den Satz Isolierschichten 614, der Satz Kontakte 1220 ersetzt den Satz Kontakte 920, der Satz leitfähiger Strukturelemente 1240 oder 1244 ersetzt den Satz leitfähiger Strukturelemente 940, und der Satz Durchkontaktierungen 1250 ersetzt den Satz Durchkontaktierungen 950.
  • Der Satz aktiver Regionen 1202 ähnelt dem Satz aktiver Regionen 902, der Satz Gates 1204 ähnelt dem Satz Gates 904, der Satz Kontakte 1210 oder 1212 ähnelt dem Satz Kontakte 1210, der Satz Isolierschichten 1214 ähnelt dem Satz Isolierschichten 614, der Satz Kontakte 1220 ähnelt dem Satz Kontakte 920, der Satz leitfähiger Strukturelemente 1240 oder 1244 ähnelt dem Satz leitfähiger Strukturelemente 940, und der Satz Durchkontaktierungen 1250 ähnelt dem Satz Durchkontaktierungen 950, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • In einigen Ausführungsformen ist zwar der Satz Isolierschichten 1216 über dem Satz Gates 1204 positioniert, und der Satz Isolierschichten 1214 ist über dem Satz Kontakte 1210 positioniert, doch ähneln eine oder mehrere der Isolierschichten des Satzes Isolierschichten 1216 einer oder mehreren der Isolierschichten des Satzes Isolierschichten 614 oder 1214 der 6, 9 oder 12, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Der Satz Gates 1204 enthält Gates 1204a, 1204b, 1204c, 1204d und 1204e (nicht gezeigt). In einigen Ausführungsformen enthält Gate 1204a Gates 1204a1, 1204a2 und 1204a3. In einigen Ausführungsformen enthält Gate 1204b Gates 1204b1, 1204b2 und 1204b3. In einigen Ausführungsformen enthält Gate 1204c Gates 1204c1und 1204c2. In einigen Ausführungsformen enthält Gate 1204d Gates 1204d1 und 1204d2. Gates 1204a, 1204b, 1204c, 1204d ähneln entsprechenden Gates 304b, 604c, 904d 904e von 9, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • In einigen Ausführungsformen ist Gate 1204a die Gate-Anschlüsse des PMOS-Transistors P10-5, des NMOS-Transistors N10-5, des NMOS-Transistors N10-3 und des PMOS-Transistors P10-3 von 10B, Gate 1204b ist die Gate-Anschlüsse des PMOS-Transistors P10-6, des NMOS-Transistors P10-6, des NMOS-Transistors N10-2 und des PMOS-Transistors P10-2 von 10B, Gate 1204c ist die Gate-Anschlüsse des PMOS-Transistors P10-7, des NMOS-Transistors N10-7, des NMOS-Transistors N10-1 und des PMOS-Transistors P10-1 von 10B, und Gate 1204d ist die Gate-Anschlüsse des PMOS-Transistors P10-4, des NMOS-Transistors N10-4, des PMOS-Transistors P10-8 und des NMOS-Transistors N10-8 von 10B. Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Anzahlen von Strukturen in dem Satz Gates 1204 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Der Satz aktiver Regionen 1202 enthält die aktiven Regionen 1202a1, 1202b1, 1202a1', 1202b1', 1202a2, 1202b2, 1202a2', 1202b2', 1202a3, 1202b3, 1202a3', 1202b3', 1202a4, 1202b4, 1202a4', 1202b4', 1202a5, 1202b5, 1202a5', 1202b5'. Die aktiven Regionen 1202a5, 1202b5, 1202a5', 1202b5' sind in den 12A-12B mit Bezugszeichen versehen, und die übrigen aktiven Regionen in dem Satz aktiver Regionen 1202 sind zur Vereinfachung der Veranschaulichung nicht mit Bezugszeichen versehen.
  • In einigen Ausführungsformen ist die aktive Region 1202a5 der Drain des PMOS-Transistors P10-4 von 10B, und die aktive Region 1202b5 ist der Drain-Anschluss des NMOS-Transistors N10-4 von 10B.
  • In einigen Ausführungsformen ist die aktive Region 1202a4 der Source-Anschluss des PMOS-Transistors P10-4 oder der Source-Anschluss des PMOS-Transistors P10-1 von 10B. In einigen Ausführungsformen ist die aktive Region 1202b4 der Source-Anschluss des NMOS-Transistors N10-4 oder der Source-Anschluss des NMOS-Transistors N10-1 von 10B.
  • In einigen Ausführungsformen ist die aktive Region 1202a3 der Source-Anschluss des PMOS-Transistors P10-2 oder der Drain-Anschluss des PMOS-Transistors P10-1 von 10B. In einigen Ausführungsformen ist die aktive Region 1202b3 der Source-Anschluss des NMOS-Transistors N10-2 oder der Drain-Anschluss des NMOS-Transistors N10-1 von 10B.
  • In einigen Ausführungsformen ist die aktive Region 1202a2 der Drain-Anschluss des PMOS-Transistors P10-2 oder der Drain-Anschluss des PMOS-Transistors P10-5 von 10B. In einigen Ausführungsformen ist die aktive Region 1202b2 der Drain-Anschluss des NMOS-Transistors N10-2 oder der Drain-Anschluss des NMOS-Transistors N10-5 von 10B.
  • In einigen Ausführungsformen ist die aktive Region 1202a1 der Source-Anschluss des PMOS-Transistors P10-5, und die aktive Region 1202b1 ist der Source-Anschluss des NMOS-Transistors N10-5 von 10B.
  • In einigen Ausführungsformen ist die aktive Region 1202a1' der Source-Anschluss des PMOS-Transistors P10-3 von 10B. In einigen Ausführungsformen ist die aktive Region 1202b1' der Source-Anschluss des NMOS-Transistors N10-3 von 10B.
  • In einigen Ausführungsformen kann die aktive Region 1202a2' dafür benutzt werden, mindestens den Drain-Anschluss des PMOS-Transistors P10-6 oder den Drain-Anschluss des PMOS-Transistors P10-3 herzustellen. In einigen Ausführungsformen ist die aktive Region 1202b2' der Drain-Anschluss des NMOS-Transistors N10-6 oder der Drain-Anschluss des NMOS-Transistors N10-3 von 10B.
  • In einigen Ausführungsformen ist die aktive Region 1202a3' der Source-Anschluss des PMOS-Transistors P10-6 oder der Source-Anschluss des PMOS-Transistors P10-7 von 10B. In einigen Ausführungsformen ist die aktive Region 1202b3' der Source-Anschluss des NMOS-Transistors N10-6 oder der Source-Anschluss des NMOS-Transistors N10-7 von 10B.
  • In einigen Ausführungsformen ist die aktive Region 1202a4' der Drain-Anschluss des PMOS-Transistors P10-8 oder der Drain-Anschluss des PMOS-Transistors P10-7 von 10B. In einigen Ausführungsformen ist die aktive Region 1202b4' der Drain-Anschluss des NMOS-Transistors N10-8 oder der Drain-Anschluss des NMOS-Transistors N10-7 von 10B.
  • In einigen Ausführungsformen ist die aktive Region 1202a5' der Source-Anschluss des PMOS-Transistors P10-8, und die aktive Region 1202b5' ist der Source-Anschluss des NMOS-Transistors N10-8 von 10B.
  • Andere Konfigurationen oder Anordnungen in dem Satz aktiver Regionen 1202 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Der Satz Kontakte 1210 enthält mindestens Kontakte 1210a, ..., 1210h oder 1210i. Der Satz Kontakte 1212 enthält mindestens Kontakte 1212a, ..., 1212g oder 1212h. In einigen Ausführungsformen kann mindestens ein Kontakt 1210a, ..., 1210i des Satzes Kontakte 1210 oder mindestens ein Kontakt 1212a, ..., 1212h des Satzes Kontakte 1212 mindestens einem entsprechenden Kontakt des Satzes Kontakte 910 von 9 ähneln, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Der Satz Kontakte 1210 oder 1212 überlappt den Satz aktiver Regionen 1202 und ist mit ihm elektrisch gekoppelt. Die Kontakte 1210e, 1210i, 1212f, 1212a überlappen entsprechende aktive Regionen 1202a5, 1202b5, 1202a1', 1202b1' und sind mit ihnen elektrisch gekoppelt. Die Kontakte 1212e überlappen jede der aktiven Regionen 1202a5' und 1202b5' und sind mit ihnen elektrisch gekoppelt. Die Kontakte 1210a überlappen jede der aktiven Regionen 1202a1 und 1202b1 und sind elektrisch mit ihnen gekoppelt.
  • Das Positionieren oder Verbinden der übrigen Kontakte mit Bezug auf die entsprechenden aktiven Regionen des Satzes aktiver Regionen 1202 wird im Interesse der Kürze nicht beschrieben, erfolgt aber an ähnlichen entsprechenden Positionen wie bei dem Satz Metal-over-Diffusion-Layout-Strukturen 1110 oder 1112.
  • Andere Konfigurationen oder Anordnungen des Satzes Kontakte 1210 oder 1212 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Der Satz Isolierschichten 1214 enthält mindestens Isolierschichten 1214a, 1214b, 1214c oder 1214d. Der Satz Isolierschichten 1214 ähnelt dem Satz Isolierschichten 614 (6A-6B und 9) der integrierten Schaltkreise 600 und 900. Die Isolierschichten 1214b und 1214c sind in den 12C-12D zur Vereinfachung der Veranschaulichung nicht gezeigt, befinden sich aber in ähnlichen entsprechenden Positionen wie denen, die in dem integrierten Schaltkreis 1200 der 12A-12B gezeigt.
  • In einigen Ausführungsformen sind mindestens die Isolierschichten 1214a, 1214b, 1214c oder 1214d Variationen der Isolierschicht 514a des Satzes Isolierschichten 514 der 5 oder 8, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • In einigen Ausführungsformen überlappt die Isolierschicht 1214a einen Abschnitt des Kontakts 1212e und der aktiven Region 1202a5'. In einigen Ausführungsformen ist die Isolierschicht 1214a unter einem Abschnitt von Kontakt 1220b positioniert. In einigen Ausführungsformen ist die Isolierschicht 1214a dort positioniert, wo ein Abschnitt des Kontakts 1220b einen Abschnitt des Kontakts 1212e überlappt.
  • In einigen Ausführungsformen überlappt die Isolierschicht 1214b einen Abschnitt des Kontakts 1210c und des Kontakts 1210g und die aktiven Regionen 1202a3 und 1202b3. In einigen Ausführungsformen ist die Isolierschicht 1214b unter einem Abschnitt des Kontakts 1220f positioniert. In einigen Ausführungsformen ist die Isolierschicht 1214b dort positioniert, wo ein Abschnitt des Kontakts 1220f einen Abschnitt des Kontakts 1210c und des Kontakts 1210g überlappt, wodurch die Kontakte 1210c und 1210g elektrisch von dem Kontakt 1220f isoliert werden.
  • In einigen Ausführungsformen überlappt die Isolierschicht 1214c einen Abschnitt des Kontakts 1212b und der aktiven Region 1202a2'. In einigen Ausführungsformen ist die Isolierschicht 1214c unter einem Abschnitt des Kontakts 1220h positioniert. In einigen Ausführungsformen ist die Isolierschicht 1214c dort positioniert, wo ein Abschnitt des Kontakts 1220h einen Abschnitt des Kontakts 1212b überlappt, wodurch der Kontakt 1212b elektrisch von dem Kontakt 1220h isoliert wird.
  • In einigen Ausführungsformen überlappt die Isolierschicht 1214d Kontakte 1212a, 1212f und 1210a und Aktivregion-Layout-Strukturen 1202b1, 1202a1' und 1202b1'. In einigen Ausführungsformen ist die Isolierschicht 1214d unter dem Kontakt 1220i positioniert. In einigen Ausführungsformen ist die Isolierschicht 1214d dort positioniert, wo der Kontakt 1220i die Kontakte 1212a, 1212f und 1210a überlappt.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Anzahlen von Strukturen in dem Satz Isolierschicht-Layout-Strukturen 1214 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Der Satz Isolierschichten 1216 enthält mindestens eine Isolierschicht 1216a oder 1216b. Der Satz Isolierschichten 1216 erstreckt sich in der zweiten Richtung Y. Der Satz Isolierschichten 1216 befindet sich zwischen dem Satz Gates 1204 und dem Satz Gates 1222. Der Satz Isolierschichten 1216 befindet sich über dem Satz Gates 1204, wodurch der Satz Gates 1204 elektrisch von darüberliegenden Schichten (zum Beispiel dem Satz Gates 1222) isoliert wird.
  • In einigen Ausführungsformen befindet sich der Satz Isolierschichten 1216 über anderen Gates des Satzes Gates 1204, die von dem Gate 1204a2 oder 1204b2 verschieden sind, und der Satz Isolierschichten 1216 isoliert die entsprechenden ein oder mehreren anderen Gates elektrisch von anderen darüberliegenden Schichten (zum Beispiel dem Satz Gates 1222).
  • In einigen Ausführungsformen ist zwar der Satz Isolierschichten 1216 über dem Satz Gates 1204 positioniert, und der Satz Isolierschichten 1214 ist über dem Satz Kontakte 1210 oder 1212 positioniert, doch ähneln eine oder mehrere der Isolierschichten des Satzes Isolierschichten 1216 einer oder mehreren der Isolierschichten des Satzes Isolierschichten 614 oder 1214 der 6, 9 oder 12, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird. In einigen Ausführungsformen sind mindestens die Isolierschichten 1216a oder 1216b Variationen der Isolierschicht 514a des Satzes Isolierschichten 514 der 5 oder 8 oder des Satzes Isolierschichten 1214, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • In einigen Ausführungsformen enthält mindestens eine Isolierschicht in dem Satz Isolierschichten 1216 eine oder mehrere Schichten eines dielektrischen Materials. In einigen Ausführungsformen enthält das dielektrische Material SiOCN, SiO2, SiOC oder dergleichen oder Kombinationen davon.
  • In einigen Ausführungsformen hat mindestens eine Isolierschicht in dem Satz Isolierschichten 1216 eine Dicke TIL' in der dritten Richtung Z, die im Bereich von etwa 0,05 TP bis etwa 0,15 TP liegt, wobei die Dicke TP einer Dicke in der dritten Richtung Z eines oder mehrerer Gates in dem Satz Gates 304, 604, 904, 1204 (3, 6, 9 und 12A-12B) in der POLY1-Schicht entspricht. In einigen Fällen, wenn die Dicke TIL' geringer ist als 0,05 TP, kann es sein, dass die Isolierschicht 1216a oder 1216b den Kontakt nicht effektiv elektrisch von dem entsprechenden Gate isoliert, was zu elektrischen Kurzschlüssen führt. In einigen Fällen, wenn die Dicke TIL' größer ist als 0,15 TP, kann die Fläche der Isolierschicht 1216a oder 1216b die Fläche des integrierten Schaltkreises 1200 vergrößern oder die Fläche von Gates in dem Satz Gates 1204 verringern, was die Produktionsausbeute mindert.
  • In einigen Ausführungsformen überlappt die Isolierschicht 1216a einen Abschnitt des Gates 1204b (zum Beispiel die Gate-Layout-Struktur 1204b2). In einigen Ausführungsformen ist die Isolierschicht 1216a unter einem Abschnitt des Gates 1222g positioniert. In einigen Ausführungsformen ist die Isolierschicht 1216a dort positioniert, wo ein Abschnitt des Gates 1222g das Gate 1204b2 überlappt und dadurch das Gate 1222g elektrisch von dem Gate 1204b2 isoliert.
  • In einigen Ausführungsformen überlappt die Isolierschicht 1216b einen Abschnitt des Gates 1204a (zum Beispiel Gate 1204a2). In einigen Ausführungsformen ist die Isolierschicht 1216b unter einem Abschnitt des Gates 1222h positioniert. In einigen Ausführungsformen ist die Isolierschicht 1216b dort positioniert, wo ein Abschnitt des Gates 1222h das Gate 1204a2 überlappt und dadurch das Gate 1222h elektrisch von dem Gate 1204a2 isoliert.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Anzahlen von Strukturen in dem Satz Isolierschicht-Layout-Strukturen 1216 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Der Satz Kontakte 1220 enthält die Kontakte 1220a, ..., 1220i oder 1220j. In einigen Ausführungsformen ähnelt mindestens einer der Kontakte 1220a, ..., 1220j dem Kontakt 620a oder 320c des Satzes Kontakte 920 von 9, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • In einigen Ausführungsformen werden die Kontakte 1220b, 1220f und 1220i des Satzes Kontakte oder andere Kontakte, die den Kontakten 1220b, 1220f und 1220i ähneln, als „MD-Flyer-Abschnitte“ bezeichnet, da diese Kontakte mindestens ein Paar Kontakte in der MD1-Ebene überlappen oder sich darüber erstrecken. Kontakt 1220b überlappt Kontakte 12100, 1210i und 1212e und die Isolierschicht 1214a. Kontakt 1220b ist elektrisch mit den Kontakten 1210e und 1210i gekoppelt. Kontakt 1220b ist elektrisch von dem Kontakt 1212e durch die Isolierschicht 1214a isoliert.
  • Kontakt 1220i überlappt Kontakte 1210a, 1212a und 1212f und die Isolierschicht 1214d. Kontakt 1220i ist elektrisch von den Kontakten 1210a, 1212a und 1212f durch die Isolierschicht 1214d isoliert. Das Positionieren oder Verbinden der übrigen Kontakte des Satzes Kontakte 1220 mit Bezug auf die Kontakte des Satzes Kontakte 1210 oder 1212 ist im Interesse der Kürze nicht beschrieben, erfolgt aber an ähnlichen entsprechenden Positionen wie bei dem Satz Metal-over-Diffusion-Layout-Strukturen 1120 der 11A-11G.
  • Andere Konfigurationen oder Anordnungen des Satzes Kontakte 1220 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Der Satz Gates 1222 enthält Gates 1222a, ..., 1222i oder 1222j. In einigen Ausführungsformen ähnelt mindestens eines der Gates 1222a, ..., 1222j dem Kontakt 620a oder 320c des Satzes Kontakte 920 von 9, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • In einigen Ausführungsformen enthält mindestens ein Gate in dem Satz Gates 1222 oder dem Satz Kontakte 1220 eine oder mehrere Schichten eines leitfähigen Materials. In einigen Ausführungsformen enthält das leitfähige Material Wolfram, Kobalt, Ruthenium, Kupfer oder dergleichen oder Kombinationen davon.
  • Gates 1222a, 1222b, 1222c, 1222d, 1222e, 1222f, 1222i, 1222j befinden sich direkt über entsprechenden Gates 1204d2, 1204d1, 1204c2, 1204c1, 1204b3, 1204b2, 1204a2, 1204a1 und sind elektrisch mit ihnen gekoppelt.
  • Gate 1222h überlappt Gates 1204a2 und 1204a3 und die Isolierschicht 1216b. Gate 1222h ist elektrisch mit dem Gate 1204a3 gekoppelt. Gate 1222h ist elektrisch von den Gates 1204a2 durch die Isolierschicht 1216b isoliert.
  • Gate 1222g überlappt Gates 1204b1 und 1204b2 und die Isolierschicht 1216a. Gate 1222g ist elektrisch mit dem Gate 1204b1 gekoppelt. Gate 1222g ist elektrisch von den Gates 1204b2 durch die Isolierschicht 1216a isoliert.
  • In einigen Ausführungsformen werden das Gate 1222g oder 1222h oder andere Gates, die Gate 1222g oder 1222h ähneln, als „Poly-Flyer“-Abschnitte oder Gate-Abschnitte bezeichnet, da diese Gates mindestens einen Abschnitt eines Gates des Satzes Gates 1204 und einen Abschnitt der Isolierschicht des Satzes Isolierschichten 1216 in der POLY1-Ebene überlappen oder sich darüber erstrecken. Zum Beispiel überlappt Gate 1222g die Isolierschicht 1216a und Abschnitte des Gates 1204b (zum Beispiel Gate 1204b1 und Abschnitte des Gates 1204b2). In ähnlicher Weise überlappt Gate 1222h die Isolierschicht 1216b und Abschnitte des Gates 1204a (zum Beispiel Gate 1204a2 und Abschnitte des Gates 1204a3). Das Positionieren oder Verbinden der übrigen Gates des Satzes Gates 1222 mit Bezug auf die Gates des Satzes Gates 1204 ist im Interesse der Kürze nicht beschrieben, erfolgt aber an ähnlichen entsprechenden Positionen wie bei dem Satz Gate-Layout-Strukturen 1122 der 11A-11G.
  • In einigen Ausführungsformen wird der Satz Gates 1222 durch den gleichen Prozess wie der Satz Metallkontakte 320, 620, 920 und 1220 (3, 6A-6B, 9 und 12A-12B) hergestellt.
  • In einigen Ausführungsformen stellt das Gate 1222g durch Positionieren des Gates 1222g auf der Isolierschicht 1216a und Überlappen der Gates 1204b1 und 1204b2 zusätzliche Routungsressourcen bereit, die sich in der zweiten Richtung Y erstrecken und unter anderen Metallisierungsebenen (zum Beispiel Mo, M1 usw.) für einen integrierten Schaltkreis 1200 positioniert sind. In einigen Ausführungsformen stellt das Gate 1222h durch Positionieren des Gates 1222h auf der Isolierschicht 1216b und Überlappen der Gates 1204a2 und 1204a3 zusätzliche Routungsressourcen bereit, die sich in der zweiten Richtung Y erstrecken und unter anderen Metallisierungsebenen (zum Beispiel Mo, M1 usw.) für einen integrierten Schaltkreis 1200 positioniert sind.
  • Durch Bereitstellen von Routungsressourcen unter anderen Metallisierungsebenen (zum Beispiel M0, M1 usw.) kann die Verwendung der anderen Metallisierungsebenen (zum Beispiel Mo, M1 usw.) verringert werden, oder die anderen Metallisierungsebenen (zum Beispiel Mo, M1 usw.) können als zusätzliche Routungsressourcen verwendet werden, was dazu führt, dass der integrierte Schaltkreis 1200 eine kleinere Fläche und eine kleinere Standardzelle hat als andere Lösungsansätze.
  • Andere Konfigurationen oder Anordnungen des Satzes Gates 1222 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Der Satz leitfähiger Strukturelemente 1240 enthält mindestens leitfähige Strukturelemente 1240a, 1240b (nicht gezeigt), 1240c (nicht gezeigt), 1240d.
  • Im Vergleich zu dem integrierten Schaltkreis 900 ersetzten die leitfähigen Strukturelemente 1240a, 1240d die entsprechenden leitfähigen Strukturelemente 940a, 640d von 9, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Das leitfähige Strukturelement 1240a ist elektrisch mit dem Kontakt 1220i durch eine Durchkontaktierung 1250k gekoppelt, ist elektrisch mit dem Gate 1222g durch eine Durchkontaktierung 1260g gekoppelt, und ist elektrisch mit dem Gate 1204a2 durch eine Durchkontaktierung 1260i und das Gate 1222i gekoppelt.
  • Das leitfähige Strukturelement 1240d ist elektrisch mit dem Kontakt 1220b durch eine Durchkontaktierung 1250c gekoppelt und ist elektrisch mit dem Gate 1204a1 durch eine Durchkontaktierung 1260j und ein Gate 1222j gekoppelt. In einigen Ausführungsformen koppelt das leitfähige Strukturelement 1240d mindestens die aktive Region 1202a5 elektrisch mit dem Gate 1204a1.
  • Andere Konfigurationen oder Anordnungen des Satzes leitfähiger Strukturelemente 1240 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Der Satz leitfähiger Strukturelemente 1244 enthält mindestens leitfähige Strukturelemente 1244a, 1244b (nicht gezeigt), 1244c, 1244d (nicht gezeigt).
  • Im Vergleich zu dem integrierten Schaltkreis 900 ähnelt mindestens das leitfähige Strukturelement 1244a oder 1244c mindestens dem leitfähigen Strukturelement 940a oder 640d von 9, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Das leitfähige Strukturelement 1244a ist elektrisch mit dem Kontakt 1212e durch eine Durchkontaktierung 1250a gekoppelt, und Kontakt 1220a ist elektrisch mit dem Kontakt 1220i durch eine Durchkontaktierung 1250j gekoppelt, und ist elektrisch mit dem Gate 1204b3 durch eine Durchkontaktierung 1260e und das Gate 1222e gekoppelt.
  • Das leitfähige Strukturelement 1244c ist elektrisch mit dem Kontakt 1220b durch eine Durchkontaktierung 1250b gekoppelt, ist elektrisch mit dem Gate 1222h durch eine Durchkontaktierung 1260h gekoppelt, ist elektrisch mit dem Gate 1204d2 durch eine Durchkontaktierung 1260a und das Gate 1222a gekoppelt, und ist elektrisch mit dem Gate 1204b2 durch eine Durchkontaktierung 1260f und das Gate 1222f gekoppelt. In einigen Ausführungsformen koppelt das leitfähige Strukturelement 1240d mindestens Gate 1204b2 elektrisch mit dem Gate 1204d2.
  • Andere Konfigurationen oder Anordnungen des Satzes leitfähiger Strukturelemente 1244 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • Der Satz Durchkontaktierungen 1250 enthält mindestens Durchkontaktierungen 1250a, ..., 1250k oder 1250l. Im Vergleich zu dem integrierten Schaltkreis 900 haben die Durchkontaktierungen 1250a, 1250b, 1250c, 1250j, 1250k eine ähnliche Konfiguration wie mindestens die Durchkontaktierung 650a, 650b oder 950d der 6 oder 9, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Durchkontaktierung 1250a liegt zwischen dem leitfähigen Strukturelement 1244a und dem Kontakt 1220a und stellt dadurch eine elektrische Verbindung zwischen dem leitfähigen Strukturelement 1244a und dem Kontakt 1220a her. Durchkontaktierung 1250b liegt zwischen dem leitfähigen Strukturelement 1244c und dem Kontakt 1220b und stellt dadurch eine elektrische Verbindung zwischen dem leitfähigen Strukturelement 1244c und dem Kontakt 1220b her. Durchkontaktierung 1250c liegt zwischen dem leitfähigen Strukturelement 1240d und dem Kontakt 1220b und stellt dadurch eine elektrische Verbindung zwischen dem leitfähigen Strukturelement 1240d und dem Kontakt 1220b her. Durchkontaktierung 1250j liegt zwischen dem leitfähigen Strukturelement 1244a und dem Kontakt 1220i und stellt dadurch eine elektrische Verbindung zwischen dem leitfähigen Strukturelement 1244a und dem Kontakt 1220i her. Durchkontaktierung 1250k liegt zwischen dem leitfähigen Strukturelement 1240a und dem Kontakt 1220i und stellt dadurch eine elektrische Verbindung zwischen dem leitfähigen Strukturelement 1240a und dem Kontakt 1220i her. Das Positionieren oder Verbinden der übrigen Durchkontaktierungen des Satzes Durchkontaktierungen 1250 mit Bezug auf den mindestens einen Kontakt des Satzes Kontakte 1210, 1212 oder 1220 oder mit Bezug auf das mindestens eine leitfähige Strukturelement des Satzes leitfähiger Strukturelemente 1240 oder 1244 ist im Interesse der Kürze nicht beschrieben, erfolgt aber an ähnlichen entsprechenden Positionen wie bei dem Satz Durchkontaktierungs-Layout-Strukturen 1150 der 11A-11G. In einigen Ausführungsformen hat mindestens eine Durchkontaktierung des Satzes Durchkontaktierungen 1250 die gleiche Höhe in einer dritten Richtung Z wie mindestens eine andere Durchkontaktierung in dem Satz Durchkontaktierungen 1250 oder dem Satz Durchkontaktierungen 1260.
  • Der Satz Durchkontaktierungen 1260 enthält mindestens Durchkontaktierungen 1260a, ..., 1260k oder 1260l. Der Satz Durchkontaktierungen 1260 befindet sich zwischen dem Satz leitfähiger Strukturelemente 1240 oder 1244 und dem Satz Gates 1222. In einigen Ausführungsformen befindet sich der Satz Durchkontaktierungen 1260 zwischen dem Satz leitfähiger Strukturelemente 1240 oder 1244 und dem Satz Gates 1222 oder dem Satz Gates 1204 (siehe 12C-12D). Im Vergleich zu dem integrierten Schaltkreis 900 sind die Durchkontaktierungen 1260a, 1260e, 1260f, 1260g, 1260h, 1260i, 1260j Variationen von mindestens der Durchkontaktierung 650a, 650b oder 950d der 6 oder 9, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Durchkontaktierung 1260a liegt zwischen dem leitfähigen Strukturelement 1244c und dem Gate 1222a und stellt dadurch eine elektrische Verbindung zwischen dem leitfähigen Strukturelement 1244c und dem Gate 1204d2 her. Durchkontaktierung 1260e liegt zwischen dem leitfähigen Strukturelement 1244a und dem Gate 1222e und stellt dadurch eine elektrische Verbindung zwischen dem leitfähigen Strukturelement 1244a und dem Gate 1204b3 her. Durchkontaktierung 1260f liegt zwischen dem leitfähigen Strukturelement 1244c und dem Gate 1222f und stellt dadurch eine elektrische Verbindung zwischen dem leitfähigen Strukturelement 1244c und dem Gate 1204b2 her. Durchkontaktierung 1260g liegt zwischen dem leitfähigen Strukturelement 1240a und dem Gate 1222g und stellt dadurch eine elektrische Verbindung zwischen dem leitfähigen Strukturelement 1240a und dem Gate 1222g her. Durchkontaktierung 1260h liegt zwischen dem leitfähigen Strukturelement 1244c und dem Gate 1222h und stellt dadurch eine elektrische Verbindung zwischen dem leitfähigen Strukturelement 1244c und dem Gate 1222h her. Durchkontaktierung 1260i liegt zwischen dem leitfähigen Strukturelement 1240a und dem Gate 1222i und stellt dadurch eine elektrische Verbindung zwischen dem leitfähigen Strukturelement 1240a und dem Gate 1204a2 her. Durchkontaktierung 1260j liegt zwischen dem leitfähigen Strukturelement 1240d und dem Gate 1222j und stellt dadurch eine elektrische Verbindung zwischen dem leitfähigen Strukturelement 1240d und dem Gate 1204a1 her. Das Positionieren oder Verbinden der übrigen Durchkontaktierungen des Satzes Durchkontaktierungen 1260 mit Bezug auf das mindestens ein Gate des Satzes Gates 1204 oder 1222 oder mit Bezug auf das mindestens eine leitfähige Strukturelement des Satzes leitfähiger Strukturelemente 1240 oder 1244 ist im Interesse der Kürze nicht beschrieben, erfolgt aber an ähnlichen entsprechenden Positionen wie bei dem Satz Durchkontaktierungs-Layout-Strukturen 1160 der 11A-11G.
  • In einigen Ausführungsformen hat mindestens eine Durchkontaktierung des Satzes Durchkontaktierungen 1260 die gleiche Höhe in einer dritten Richtung Z wie mindestens eine andere Durchkontaktierung in dem Satz Durchkontaktierungen 1260 oder 1250. In einigen Ausführungsformen hat mindestens eine Durchkontaktierung des Satzes Durchkontaktierungen 1250 die gleiche Höhe in einer dritten Richtung Z wie mindestens eine Durchkontaktierung in dem Satz Durchkontaktierungen 1260.
  • Andere Konfigurationen oder Anordnungen des Satzes Durchkontaktierungen 1250 oder 1260 liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung.
  • In einigen Ausführungsformen sind die Gates 1204a3, 1204b2, 1204d2, 1204a1 und die aktiven Regionen 1202a5 und 1202b5 durch mindestens den Kontakt 1220b, das leitfähige Strukturelement 1240d, das leitfähige Strukturelement 1244c oder das Gate 1222h miteinander gekoppelt.
  • Zum Beispiel ist in einigen Ausführungsformen die aktive Region 1202a5 elektrisch mit dem Kontakt 1210e gekoppelt, Kontakt 1210e ist elektrisch mit dem Kontakt 1220b gekoppelt, Kontakt 1220b ist elektrisch mit dem Kontakt 1210i gekoppelt, und Kontakt 1210i ist elektrisch mit der aktiven Region 1202b5 gekoppelt.
  • In einigen Ausführungsformen ist für den integrierten Schaltkreis 1200 der Kontakt 1220b elektrisch mit dem leitfähigen Strukturelement 1240d über die Durchkontaktierung 1250c gekoppelt, das leitfähige Strukturelement 1240d ist elektrisch mit dem Gate 1222j gekoppelt, und Gate 1222j ist elektrisch mit dem Gate 1204a1 über die Durchkontaktierung 1260j gekoppelt. In einigen Ausführungsformen ist Kontakt 1220b elektrisch mit dem leitfähigen Strukturelement 1244c über die Durchkontaktierung 1250b gekoppelt, aber ist elektrisch von dem Kontakt 1212e durch die Isolierschicht 1214a isoliert. In einigen Ausführungsformen ist für den integrierten Schaltkreis 1200 das leitfähige Strukturelement 1244c ebenfalls elektrisch mit dem Gate 1222a gekoppelt, und Gate 1222a ist elektrisch mit dem Gate 1204d2 über die Durchkontaktierung 1260a gekoppelt. In einigen Ausführungsformen ist für einen integrierten Schaltkreis 1200 das leitfähige Strukturelement 1244c ebenfalls elektrisch mit dem Gate 1222f gekoppelt, und Gate 1222f ist elektrisch mit dem Gate 1204b2 über die Durchkontaktierung 1260f gekoppelt. In einigen Ausführungsformen ist das leitfähige Strukturelement 1244c ebenfalls elektrisch mit dem Gate 1222h über die Durchkontaktierung 1260h gekoppelt, aber ist elektrisch von den Gates 1204a2 durch die Isolierschicht 1216b isoliert. In einigen Ausführungsformen ist Gate 1222h elektrisch mit dem Gate 1204a3 gekoppelt. Darum sind die Gates 1204a3, 1204b2, 1204d2, 1204a1 und die aktiven Regionen 1202a5 und 1202b5 miteinander gekoppelt und ähneln einem Pfad 1290, der unten in 12C beschrieben ist.
  • In einigen Ausführungsformen sind die Gates 1204b3, 1204a2 und 1204b1 und die aktiven Regionen 1202a5' und 1202b5' durch mindestens das leitfähige Strukturelement 1244a, den Kontakt 1220i, das leitfähige Strukturelement 1240a oder das Gate 1222g miteinander gekoppelt.
  • Zum Beispiel ist - für einen integrierten Schaltkreis 1200 - in einigen Ausführungsformen die aktive Region 1202a5' elektrisch mit dem Kontakt 1212e gekoppelt, und Kontakt 1212e ist elektrisch mit der aktiven Region 1202b5' gekoppelt und elektrisch von dem Kontakt 1220b durch die Isolierschicht 1214a isoliert. In einigen Ausführungsformen ist für einen integrierten Schaltkreis 1200' der Kontakt 1212e elektrisch mit dem Kontakt 1220a gekoppelt, Kontakt 1220a ist elektrisch mit dem leitfähigen Strukturelement 1244a über die Durchkontaktierung 1250a gekoppelt, das leitfähige Strukturelement 1244a ist elektrisch mit dem Gate 1222e gekoppelt, und Gate 1222e ist elektrisch mit dem Gate 1204b3 über die Durchkontaktierung 1260e gekoppelt. In einigen Ausführungsformen ist das leitfähige Strukturelement 1244a elektrisch mit dem Kontakt 1220i über die Durchkontaktierung 1250j gekoppelt, aber ist elektrisch von den Kontakten 1212a, 1212f und 1210a durch die Isolierschicht 1214d isoliert. In einigen Ausführungsformen ist für einen integrierten Schaltkreis 1200' der Kontakt 1220i ebenfalls elektrisch mit dem leitfähigen Strukturelement 1240a über die Durchkontaktierung 1250k gekoppelt, und das leitfähige Strukturelement 1240a ist elektrisch mit dem Gate 1222i gekoppelt, und Gate 1222i ist elektrisch mit dem Gate 1204a2 über die Durchkontaktierung 1260i gekoppelt. In einigen Ausführungsformen ist das leitfähige Strukturelement 1240a ebenfalls elektrisch mit dem Gate 1222g über die Durchkontaktierung 1260g gekoppelt, aber ist elektrisch von dem Gate 1204b2 durch die Isolierschicht 1216a isoliert. In einigen Ausführungsformen ist Gate 1222g ebenfalls elektrisch mit dem Gate 1204b1 gekoppelt. Darum sind die Gates 1204a2, 1204b1, 1204b3 und die aktiven Regionen 1202a5' und 1202b5' miteinander gekoppelt und ähneln einem Pfad 1292, der unten in 12D beschrieben ist.
  • 12C-12D sind Schaubilder eines entsprechenden Abschnitts 1200C-1200D des integrierten Schaltkreises 1200', die zur Vereinfachung der Veranschaulichung vereinfacht wurden. Der integrierte Schaltkreis 1200' wird durch das Layout-Design 1100G hergestellt.
  • Der integrierte Schaltkreis 1200' der 12C-12D ist eine Variation des integrierten Schaltkreises 1200 (12A-12B). Im Vergleich zu dem integrierten Schaltkreis 1200 der 12A-12B enthält der integrierte Schaltkreis 1200' der 12C-12D mindestens Durchkontaktierungen VGT oder VDT mit anderen Höhen als mindestens die Durchkontaktierungen VG oder VD.
  • Abschnitt 1200C enthält ein oder mehrere Strukturelemente des integrierten Schaltkreises 1200' der aktiven (OD)-Ebene, der MD1-Ebene, der POLY1-Ebene, der POLY2-Ebene, der MD2-Ebene, der VG-Ebene, der VD-Ebene und der Mo-Ebene. In einigen Ausführungsformen veranschaulicht Abschnitt 1200C von 12C einen Pfad 1290 des Signals CLKB, das in den integrierten Schaltkreis 1200' (zum Beispiel den integrierten Schaltkreis 1000A-1000B) eingespeist wird. Pfad 1290 enthält einen Pfad 1290a und einen Pfad 1290b.
  • Abschnitt 1200D enthält ein oder mehrere Strukturelemente des integrierten Schaltkreises 1200' der aktiven (OD)-Ebene, der MD1-Ebene, der POLY1-Ebene, der POLY2-Ebene, der MD2-Ebene, der VG-Ebene und der VD-Ebene. In einigen Ausführungsformen veranschaulicht Abschnitt 1200D von 12D einen Pfad 1292 des Signals CLKBB, das in den integrierten Schaltkreis 1200 (zum Beispiel der integrierte Schaltkreis 1000A-1000B) eingespeist wird.
  • Der integrierte Schaltkreis 1200' der 12C-12D ist eine Variation des integrierten Schaltkreises 1200 (12A-12B). Im Vergleich zu dem integrierten Schaltkreis 1200 der 12A-12B ersetzt der Satz Kontakte 1220' des integrierten Schaltkreises 1200' den Satz Kontakte 1220, der Satz Gates 1222' ersetzt den Satz Gates 1222 des integrierten Schaltkreises 1200', der Satz Durchkontaktierungen 1250' des integrierten Schaltkreises 1200' ersetzt den Satz Durchkontaktierungen 1250, und der Satz Durchkontaktierungen 1260' ersetzt den Satz Durchkontaktierungen 1260 des integrierten Schaltkreises 1200', weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Im Vergleich zu dem Satz Kontakte 1220 der 12A-12B enthält der Satz Kontakte 1220' keine Kontakte 1220a, 1220c, 1220d, 1220e, 1220g und 1220j. Zur Vereinfachung der Veranschaulichung ist Kontakt 1220f in den 12C-12D nicht gezeigt, und Kontakt 1220i ist in 12D nicht gezeigt.
  • Im Vergleich zu dem Satz Gates 1222 der 12A-12B enthält der Satz Gates 1222' keine Gates 1222a, 1222b, 1222c, 1222d, 1222e, 1222f, 1222i und 1222j. Gate 1222g ist zur Vereinfachung der Veranschaulichung in 12C nicht gezeigt.
  • Der Satz Durchkontaktierungen 1250' enthält mindestens Durchkontaktierungen 1250a', ..., 1250k oder 1250l. Im Vergleich zu dem integrierten Schaltkreis 1200 ersetzt Durchkontaktierung 1250a' Durchkontaktierung 1250a der 12A-12B, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird. Durchkontaktierung 1250a' liegt zwischen dem leitfähigen Strukturelement 1244a und dem Kontakt 1212e und stellt dadurch eine elektrische Verbindung zwischen dem leitfähigen Strukturelement 1244a und dem Kontakt 1212e her. In einigen Ausführungsformen ist die Durchkontaktierung 1250a' auf der VDT-Ebene positioniert. In einigen Ausführungsformen hat die Durchkontaktierung 1250a' eine Höhe in der dritten Richtung Z, die größer ist als eine andere Durchkontaktierung des Satzes Durchkontaktierungen 1250' oder 1250. Andere Konfigurationen oder Anordnungen des Satzes Durchkontaktierungen 1250' liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung. Zum Beispiel haben in einigen Ausführungsformen andere Durchkontaktierungen des Satzes Durchkontaktierungen 1250' die gleiche Höhe wie die Durchkontaktierung 1250a'.
  • Der Satz Durchkontaktierungen 1260' enthält mindestens Durchkontaktierungen 1260a', 1260b, ..., 1260e', 1260f', 1260g, 1260h, 1260i' oder 1260j'. Im Vergleich zu dem integrierten Schaltkreis 1200 ersetzen die Durchkontaktierungen 1260a', 1260e', 1260f', 1260i', 1260j' die entsprechenden Durchkontaktierungen 1260a, 1260e, 1260f, 1260i, 1260j der 12A-12B, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Durchkontaktierung 1260a' liegt zwischen dem leitfähigen Strukturelement 1244c und dem Gate 1204d2 und stellt dadurch eine elektrische Verbindung zwischen dem leitfähigen Strukturelement 1244c und dem Gate 1204d2 her. Durchkontaktierung 1260e' liegt zwischen dem leitfähigen Strukturelement 1244a und dem Gate 1204b3 und stellt dadurch eine elektrische Verbindung zwischen dem leitfähigen Strukturelement 1244a und dem Gate 1204b3 her. Durchkontaktierung 1260f liegt zwischen dem leitfähigen Strukturelement 1244c und dem Gate 1204b2 und stellt dadurch eine elektrische Verbindung zwischen dem leitfähigen Strukturelement 1244c und dem Gate 1204b2 her. Durchkontaktierung 1260i' liegt zwischen dem leitfähigen Strukturelement 1240a und dem Gate 1204a2 und stellt dadurch eine elektrische Verbindung zwischen dem leitfähigen Strukturelement 1240a und dem Gate 1204a2 her. Durchkontaktierung 1260j' liegt zwischen dem leitfähigen Strukturelement 1240d und dem Gate 1204a1 und stellt dadurch eine elektrische Verbindung zwischen dem leitfähigen Strukturelement 1240d und dem Gate 1204a1 her.
  • In einigen Ausführungsformen ist mindestens die Durchkontaktierung 1260a', 1260e', 1260f', 1260i' oder 1260j' auf der VGT-Ebene positioniert. In einigen Ausführungsformen hat mindestens die Durchkontaktierung 1260a', 1260e', 1260f', 1260i' oder 1260j' die gleiche Höhe in der dritten Richtung Z wie eine andere der Durchkontaktierungen 1260a', 1260e', 1260f', 1260i' oder 1260j'. In einigen Ausführungsformen hat mindestens die Durchkontaktierung 1260a', 1260e', 1260f', 1260i' oder 1260j' die gleiche Höhe in der dritten Richtung Z wie die Durchkontaktierung 1250a'. In einigen Ausführungsformen hat mindestens die Durchkontaktierung 1260a', 1260e', 1260f', 1260i' oder 1260j' eine Höhe in der dritten Richtung Z, die größer ist als eine andere Durchkontaktierung des Satzes Durchkontaktierungen 1260' oder 1260.
  • Andere Konfigurationen oder Anordnungen des Satzes Durchkontaktierungen 1260' liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung. Zum Beispiel haben in einigen Ausführungsformen andere Durchkontaktierungen des Satzes Durchkontaktierungen 1260' die gleiche Höhe wie mindestens die Durchkontaktierung 1260a', 1260e', 1260f', 1260i' oder 1260j'.
  • Andere Konfigurationen oder Anordnungen von mindestens dem integrierten Schaltkreis 1200A-1200B oder den Layout-Designs 1100A und 1100G liegen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung. Zum Beispiel wurden in einigen Ausführungsformen die integrierten Schaltkreise 1200A-1200B so beschrieben, dass sie mindestens den Satz Kontakte 1220 oder den Satz Isolierschichten 1214 enthalten, aber in einigen Ausführungsformen wird mindestens auf den Satz Kontakte 1220 oder den Satz Isolierschichten 1214 verzichtet. Zum Beispiel wurden in einigen Ausführungsformen die Layout-Designs 1100A und 1100G so beschrieben, dass sie mindestens den Satz Metal-over-Diffusion-Layout-Strukturen 1120 oder den Satz Isolierschicht-Layout-Strukturen 1114 enthalten, aber in einigen Ausführungsformen wird mindestens auf den Satz Metal-over-Diffusion-Layout-Strukturen 1120 oder den Satz Isolierschicht-Layout-Strukturen 1114 verzichtet.
  • In einigen Ausführungsformen veranschaulicht Abschnitt 1200C von 12C einen Pfad 1190 des Signals CLKB, das in den integrierten Schaltkreis 1200' (zum Beispiel den integrierten Schaltkreis 1000A-1000B) eingespeist wird. Pfad 1190 enthält einen Pfad 1190a und einen Pfad 1190b. In einigen Ausführungsformen sind die aktive Region 1202a5 (zum Beispiel die Source des NMOS-Transistors N10-4) und die aktive Region 1202b5 (zum Beispiel die Source des PMOS-Transistors P10-4) und das Gate 1204a1 (zum Beispiel der NMOS-Transistor N10-5) durch den Pfad 1190a miteinander gekoppelt. Zum Beispiel sind in einigen Ausführungsformen die aktive Region 1202a5 (zum Beispiel die Source des NMOS-Transistors N10-4) und die aktive Region 1202b5 (zum Beispiel die Source des PMOS-Transistors P10-4), das Gate 1204d2 (zum Beispiel der NMOS-Transistor N10-8 und der PMOS-Transistor P10-8), das Gate 1204b2 (zum Beispiel der PMOS-Transistor N10-6 und der PMOS-Transistor P10-2) und das Gate 1204a3 (zum Beispiel der NMOS-Transistor N10-3) durch den Pfad 1190b miteinander gekoppelt.
  • In einigen Ausführungsformen sind die Gates 1204a3, 1204b2, 1204d2, 1204a1 und die aktiven Regionen 1202a5 und 1202b5 durch mindestens den Kontakt 1220b, das leitfähige Strukturelement 1240d, das leitfähige Strukturelement 1244c oder das Gate 1222h miteinander gekoppelt. Zum Beispiel ist - für den Abschnitt 1200C des integrierten Schaltkreises 1200' - in einigen Ausführungsformen die aktive Region 1202a5 elektrisch mit dem Kontakt 1210e gekoppelt, Kontakt 1210e ist elektrisch mit dem Kontakt 1220b gekoppelt, Kontakt 1220b ist elektrisch mit dem Kontakt 1210i gekoppelt, und Kontakt 1210i ist elektrisch mit der aktiven Region 1202b5 gekoppelt. In einigen Ausführungsformen ist - für den Abschnitt 1200C des integrierten Schaltkreises 1200' - Kontakt 1220b elektrisch mit dem leitfähigen Strukturelement 1240d über die Durchkontaktierung 1250c gekoppelt, und das leitfähige Strukturelement 1240d ist elektrisch mit dem Gate 1204a1 über die Durchkontaktierung 1260j' gekoppelt. In einigen Ausführungsformen ist - für den Abschnitt 1200C des integrierten Schaltkreises 1200' - Kontakt 1220b elektrisch mit dem leitfähigen Strukturelement 1244c über die Durchkontaktierung 1250b gekoppelt, aber ist elektrisch von dem Kontakt 1212e durch die Isolierschicht 1214a isoliert. In einigen Ausführungsformen ist - für den Abschnitt 1200C des integrierten Schaltkreises 1200' - das leitfähige Strukturelement 1244c ebenfalls elektrisch mit dem Gate 1204d2 über die Durchkontaktierung 1260a' gekoppelt. In einigen Ausführungsformen ist - für den Abschnitt 1200C des integrierten Schaltkreises 1200' - das leitfähige Strukturelement 1244c ebenfalls elektrisch mit dem Gate 1204b2 über die Durchkontaktierung 1260f gekoppelt. In einigen Ausführungsformen ist - für den Abschnitt 1200C des integrierten Schaltkreises 1200' - das leitfähige Strukturelement 1244c ebenfalls elektrisch mit dem Gate 1222h über die Durchkontaktierung 1260h gekoppelt, aber ist elektrisch von den Gates 1204a2 durch die Isolierschicht 1216b isoliert. In einigen Ausführungsformen ist - für den Abschnitt 1200C des integrierten Schaltkreises 1200' - das Gate 1222h elektrisch mit dem Gate 1204a3 gekoppelt. Darum sind die Gates 1204a3, 1204b2, 1204d2, 1204a1 und die aktiven Regionen 1202a5 und 1202b5 miteinander gekoppelt und sind dafür konfiguriert, das Signal CLKB durch den Pfad 1290 zu empfangen.
  • In einigen Ausführungsformen veranschaulicht Abschnitt 1200D von 12D einen Pfad 1192 des Signals CLKBB, das in den integrierten Schaltkreis 1200' (zum Beispiel den integrierten Schaltkreis 1000A-1000B) eingespeist wird. In einigen Ausführungsformen sind die aktive Region 1202a5' (zum Beispiel die Source des NMOS-Transistors N10-8), die aktive Region 1202b5' (zum Beispiel die Source des PMOS-Transistors P10-8), das Gate 1204b3 (zum Beispiel der NMOS-Transistor N10-6), das Gate 1204a2 (zum Beispiel der PMOS-Transistor P10-5) und das Gate 1204b1 (zum Beispiel der NMOS-Transistor N10-2) durch den Pfad 1192 miteinander gekoppelt.
  • In einigen Ausführungsformen sind die Gates 1204b3, 1204a2 und 1204b1 und die aktiven Regionen 1202a5' und 1202b5' durch mindestens das leitfähige Strukturelement 1244a, den Kontakt 1220i, das leitfähige Strukturelement 1240a oder das Gate 1222g miteinander gekoppelt. Zum Beispiel ist - für den Abschnitt 1200D des integrierten Schaltkreises 1200' - in einigen Ausführungsformen die aktive Region 1202a5' elektrisch mit dem Kontakt 1212e gekoppelt, Kontakt 1212e ist elektrisch mit der aktiven Region 1202b5' gekoppelt, und ist elektrisch von dem Kontakt 1220b durch die Isolierschicht 1214a isoliert. In einigen Ausführungsformen ist - für den Abschnitt 1200D des integrierten Schaltkreises 1200' - der Kontakt 1212e elektrisch mit dem leitfähigen Strukturelement 1244a über die Durchkontaktierung 1250a' gekoppelt, und das leitfähige Strukturelement 1244a ist elektrisch mit dem Gate 1204b3 über die Durchkontaktierung 1260e' gekoppelt. In einigen Ausführungsformen ist - für den Abschnitt 1200D des integrierten Schaltkreises 1200' - das leitfähige Strukturelement 1244a elektrisch mit dem Kontakt 1220i über die Durchkontaktierung 1250j gekoppelt, aber ist elektrisch von den Kontakten 1212a, 1212f und 1210a durch die Isolierschicht 1214d isoliert. In einigen Ausführungsformen ist - für den Abschnitt 1200D des integrierten Schaltkreises 1200' - der Kontakt 1220i ebenfalls elektrisch mit dem leitfähigen Strukturelement 1240a über die Durchkontaktierung 1250k gekoppelt, und das leitfähige Strukturelement 1240a ist elektrisch mit dem Gate 1204a2 über die Durchkontaktierung 1260i' gekoppelt. In einigen Ausführungsformen ist - für den Abschnitt 1200D des integrierten Schaltkreises 1200' - das leitfähige Strukturelement 1240a ebenfalls elektrisch mit dem Gate 1222g über die Durchkontaktierung 1260g gekoppelt, aber ist elektrisch von den Gates 1204b2 durch die Isolierschicht 1216a isoliert. In einigen Ausführungsformen ist - für den Abschnitt 1200D des integrierten Schaltkreises 1200' - das Gate 1222g ebenfalls elektrisch mit dem Gate 1204b1 gekoppelt. Darum sind die Gates 1204a2, 1204b1, 1204b3 und die aktiven Regionen 1202a5' und 1202b5' miteinander gekoppelt und sind dafür konfiguriert, das Signal CLKBB durch den Pfad 1292 zu empfangen.
  • Obgleich der integrierte Schaltkreis 1200 oder 1200' Verbindungen zwischen Gates, Sources und Drains verschiedener Transistoren veranschaulicht, liegen andere Konfigurationen oder Variationen des integrierten Schaltkreises 1200 oder 1200' ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung. Zum Beispiel kann in einigen Ausführungsformen ein Gate, eine Source oder ein Drain von mindestens einem Transistor elektrisch mit mindestens dem Gate, der Source oder dem Drain von mindestens einem anderen Transistor oder desselben Transistors unter Verwendung eines oder mehrerer des Satzes Isolierschichten 1214, des Satzes Isolierschichten 1216, des Satzes Kontakte 1220 oder des Satzes Gates 1222 gemäß den im vorliegenden Text enthaltenen Beschreibungen gekoppelt werden.
  • In einigen Ausführungsformen wird durch Positionieren des Gates 1222g auf der Isolierschicht 1216a und Überlappen der Gates 1204b1 und 1204b2 oder durch Positionieren des Kontakts 1220i auf der Isolierschicht 1214d und Überlappen der Kontakte 1210a, 1212f und 1212a eine elektrische Verbindung zwischen den Gates 1204a2, 1204b1, 1204b3 und den aktiven Regionen 1202a5' und 1202b5' gebildet, wodurch zusätzliche Routungsressourcen bereitgestellt werden, die sich in der zweiten Richtung Y erstrecken und unter anderen Metallisierungsebenen (zum Beispiel Mo, M1 usw.) für einen integrierten Schaltkreis 1200 oder 1200' positioniert sind.
  • In einigen Ausführungsformen wird durch Positionieren des Gates 1222h auf der Isolierschicht 1216b und Überlappen der Gates 1204a2 und 1204a3 oder durch Positionieren des Kontakts 1220b auf der Isolierschicht 1214a und Überlappen der Kontakte 1210e, 1210i und 1212e eine elektrische Verbindung zwischen den Gates 1204a3, 1204b2, 1204d2, 1204a1 und den aktiven Regionen 1202a5 und 1202b5 gebildet, wodurch zusätzliche Routungsressourcen bereitgestellt werden, die sich in der zweiten Richtung Y erstrecken und unter anderen Metallisierungsebenen (zum Beispiel M0, M1 usw.) für einen integrierten Schaltkreis 1200 oder 1200' positioniert sind.
  • Durch Bereitstellen von Routungsressourcen unter anderen Metallisierungsebenen (zum Beispiel M0, M1 usw.) kann die Verwendung der anderen Metallisierungsebenen (zum Beispiel M0, M1 usw.) verringert werden, oder die anderen Metallisierungsebenen (zum Beispiel M0, M1 usw.) können als zusätzliche Routungsressourcen verwendet werden, was dazu führt, dass der integrierte Schaltkreis 1200 oder 1200' eine kleinere Fläche und eine kleinere Standardzelle hat als andere Lösungsansätze.
  • In einigen Ausführungsformen werden durch die Verwendung eines oder mehrerer des Satzes Metal-over-Diffusion-Layout-Strukturen 220, 520, 820, 1120 und 1120', des Satzes isolierender Layout-Strukturen 514, 1114 oder 1116 oder des Satzes Gate-Layout-Strukturen 1122 oder 1122' zusätzliche Routungsressourcen in der zweiten Richtung Y bereitgestellt und unter anderen Metallisierungsebenen (zum Beispiel M0, M1 usw.) für jedes der Layout-Designs 200, 500, 800, 1100A und 1100G positioniert. Durch Bereitstellen von Routungsressourcen unter anderen Metallisierungsebenen (zum Beispiel M0, M1 usw.) kann die Verwendung der anderen Metallisierungsebenen (zum Beispiel M0, M1 usw.) verringert werden, oder die anderen Metallisierungsebenen (zum Beispiel M0, M1 usw.) können als zusätzliche Routungsressourcen verwendet werden, was dazu führt, dass die Layout-Designs 200, 500, 800, 1100A und 1100G eine kleinere Fläche haben, was eine Standardzelle mit einer kleineren Fläche erbringt als andere Lösungsansätze.
  • 13 ist ein Flussdiagramm eines Verfahrens 1300 zum Bilden oder Herstellen eines integrierten Schaltkreises gemäß einigen Ausführungsformen. Es versteht sich, dass zusätzliche Operationen vor, während und/oder nach dem in 13 gezeigten Verfahren 1300 ausgeführt werden können und dass einige andere Operationen im vorliegenden Text möglicherweise nur kurz beschrieben werden. In einigen Ausführungsformen kann das Verfahren 1300 dafür benutzt werden, integrierte Schaltkreise zu bilden, wie zum Beispiel den integrierten Schaltkreis 100 (1), 300 (3), 400 (4), 600 (6A-6B), 700 (7), 900 (9), 1000A-1000B (10A-10B) oder 1200-1200' (12A-12D). In einigen Ausführungsformen kann das Verfahren 1300 dafür benutzt werden, integrierte Schaltkreise zu bilden, die ähnliche strukturelle Beziehungen haben wie eine oder mehrere der Layout-Designs 200 (2), 500 (5), 800 (8), 1100A (1100A-1100F) oder 1100G ( 11G).
  • In Operation 1302 des Verfahrens 1300 wird ein Layout-Design eines integrierten Schaltkreises generiert. Operation 1302 wird durch eine Verarbeitungsvorrichtung (zum Beispiel den Prozessor 1502 (15)) ausgeführt, die dafür konfiguriert ist, Instruktionen zum Generieren eines Layout-Designs auszuführen. In einigen Ausführungsformen enthält das Layout-Design des Verfahrens 1300 eines oder mehrere der Layout-Designs 200, 500, 800 oder 1100A-1100G. In einigen Ausführungsformen hat das Layout-Design der vorliegenden Anmeldung ein Graphic Database System (GDSII)-Dateiformat.
  • In Operation 1304 des Verfahrens 1300 wird der integrierte Schaltkreis auf der Basis des Layout-Designs hergestellt. In einigen Ausführungsformen umfasst Operation 1304 des Verfahrens 1300 die Herstellung mindestens einer Maske auf der Basis des Layout-Designs und die Herstellung des integrierten Schaltkreises auf der Basis der mindestens einen Maske.
  • 14 ist ein Flussdiagramm eines Verfahrens 1400 zum Generieren eines Layout-Designs eines integrierten Schaltkreises gemäß einigen Ausführungsformen. Es versteht sich, dass zusätzliche Operationen vor, während und/oder nach dem in 14 gezeigten Verfahren 1400 ausgeführt werden können und dass einige andere Prozesse im vorliegenden Text möglicherweise nur kurz beschrieben werden. In einigen Ausführungsformen ist das Verfahren 1400 eine Ausführungsform von Operation 1302 des Verfahrens 1300. In einigen Ausführungsformen kann das Verfahren 1400 dafür benutzt werden, eine oder mehrere Layout-Strukturen des Layout-Designs 200, 500, 800, 1100A oder 1100G eines integrierten Schaltkreises (zum Beispiel des integrierten Schaltkreises 100, 300, 400, 600, 700, 900, 1000A-1000B oder 1200-1200') zu generieren.
  • In Operation 1402 des Verfahrens 1400 wird ein Satz Aktivregion-Layout-Strukturen auf dem Layout-Design 200, 500, 800, 1100A oder 1100G generiert oder platziert. In einigen Ausführungsformen enthält der Satz Aktivregion-Layout-Strukturen des Verfahrens 1400 mindestens Abschnitte einer oder mehrerer Layout-Strukturen des Satzes Aktivregion-Layout-Strukturen 202 oder 1102.
  • In einigen Ausführungsformen enthält Operation 1402 das Generieren oder Platzieren einer ersten Aktivregion-Layout-Struktur, was dem Herstellen einer ersten aktiven Region des integrierten Schaltkreises entspricht, und das Generieren oder Platzieren einer zweiten Aktivregion-Layout-Struktur, was dem Herstellen einer zweiten aktiven Region des integrierten Schaltkreises entspricht. In einigen Ausführungsformen enthält die erste Aktivregion-Layout-Struktur oder die zweite Aktivregion-Layout-Struktur von Operation 1402 mindestens Abschnitte einer oder mehrerer Layout-Strukturen des Satzes Aktivregion-Layout-Strukturen 202 oder 1102. In einigen Ausführungsformen enthält die erste aktive Region oder die zweite aktive Region von Operation 1402 mindestens Abschnitte einer oder mehrerer aktiver Regionen des Satzes aktiver Regionen 302, 602, 902 oder 1202.
  • In Operation 1404 des Verfahrens 1400 wird ein erster Satz Gate-Layout-Strukturen auf dem Layout-Design 200, 500, 800, 1100A oder 1100G generiert oder platziert. In einigen Ausführungsformen enthält der erste Satz Gate-Layout-Strukturen des Verfahrens 1400 mindestens Abschnitte einer oder mehrerer Layout-Strukturen des Satzes Gate-Layout-Strukturen 204, 504, 804, 1104 oder 1122.
  • In einigen Ausführungsformen enthält Operation 1404 mindestens das Generieren oder Platzieren einer ersten Gate-Layout-Struktur, was dem Herstellen eines ersten Gates entspricht, oder das Generieren oder Platzieren einer zweiten Gate-Layout-Struktur, was dem Herstellen eines zweiten Gates entspricht. In einigen Ausführungsformen enthält die erste Gate-Layout-Struktur oder die zweite Gate-Layout-Struktur von Operation 1404 mindestens Abschnitte einer oder mehrerer Layout-Strukturen des Satzes Gate-Layout-Strukturen 204, 504, 804, 1104 oder 1122. In einigen Ausführungsformen enthält das erste Gate oder das zweite Gate von Operation 1404 mindestens Abschnitte eines oder mehrerer Gates des Satzes Gates 304, 604, 904, 1204 oder 1222.
  • In Operation 1406 des Verfahrens 1400 wird ein erster Satz Kontakt-Layout-Strukturen auf dem Layout-Design 200, 500, 800, 1100A oder 1100G generiert oder platziert. In einigen Ausführungsformen enthält der erste Satz Kontakt-Layout-Strukturen des Verfahrens 1400 mindestens Abschnitte einer oder mehrerer Layout-Strukturen des Satzes Metal-over-Diffusion-Layout-Strukturen 210, 510, 810, 1110 oder 1112 oder des Satzes Metal-over-Diffusion-Layout-Strukturen 220, 520, 820 oder 1120.
  • In einigen Ausführungsformen enthält Operation 1406 mindestens das Generieren oder Platzieren einer ersten Kontakt-Layout-Struktur, was dem Herstellen eines ersten Kontakts entspricht, oder das Generieren oder Platzieren einer zweiten Kontakt-Layout-Struktur, was dem Herstellen eines zweiten Kontakts entspricht. In einigen Ausführungsformen enthält die erste Kontakt-Layout-Struktur oder die zweite Kontakt-Layout-Struktur von Operation 1406 mindestens Abschnitte einer oder mehrerer Layout-Strukturen des Satzes Metal-over-Diffusion-Layout-Strukturen 210, 510, 810, 1110 oder 1112 oder des Satzes Metal-over-Diffusion-Layout-Strukturen 220, 520, 820 oder 1120. In einigen Ausführungsformen enthält der erste Kontakt oder der zweite Kontakt von Operation 1406 mindestens Abschnitte eines oder mehrerer Kontakte des Satzes Kontakte 310, 610, 910, 1210 oder 1212 oder des Satzes Kontakte 320, 620, 920 oder 1220.
  • In Operation 1408 des Verfahrens 1400 wird ein erster Satz Isolierschicht-Layout-Strukturen auf dem Layout-Design 200, 500, 800, 1100A oder 1100G generiert oder platziert. In einigen Ausführungsformen enthält der erste Satz Isolierschicht-Layout-Strukturen des Verfahrens 1400 mindestens Abschnitte einer oder mehrerer Layout-Strukturen des Satzes Isolierschicht-Layout-Strukturen 514, 814, 1114 oder 1116.
  • In einigen Ausführungsformen enthält Operation 1408 das Generieren oder Platzieren einer ersten Isolierschicht-Layout-Struktur, was dem Herstellen einer ersten Isolierschicht entspricht. In einigen Ausführungsformen enthält die erste Isolierschicht-Layout-Struktur von Operation 1408 mindestens Abschnitte einer oder mehrerer Layout-Strukturen des Satzes Isolierschicht-Layout-Strukturen 514, 814, 1114 oder 1116. In einigen Ausführungsformen enthält die erste isolierende Schicht von Operation 1408 mindestens Abschnitte einer oder mehrerer Isolierschichten des Satzes Isolierschichten 614, 914, 1214 oder 1216.
  • In Operation 1410 des Verfahrens 1400 wird ein zweiter Satz Kontakt-Layout-Strukturen auf dem Layout-Design 200, 500, 800, 1100A oder 1100G generiert oder platziert. In einigen Ausführungsformen enthält der zweite Satz Kontakt-Layout-Strukturen des Verfahrens 1400 mindestens Abschnitte einer oder mehrerer Layout-Strukturen des Satzes Metal-over-Diffusion-Layout-Strukturen 220, 520, 820, 1120 oder 1120' oder des Satzes Metal-over-Diffusion-Layout-Strukturen 210, 510, 810, 1110 oder 1112.
  • In einigen Ausführungsformen enthält Operation 1410 mindestens das Generieren oder Platzieren einer dritten Kontakt-Layout-Struktur, was dem Herstellen eines dritten Kontakts entspricht, oder das Generieren oder Platzieren einer vierten Kontakt-Layout-Struktur, was dem Herstellen eines vierten Kontakts entspricht. In einigen Ausführungsformen enthält die dritte oder vierte Kontakt-Layout-Struktur von Operation 1410 mindestens Abschnitte einer oder mehrerer Layout-Strukturen des Satzes Metal-over-Diffusion-Layout-Strukturen 220, 520, 820, 1120 oder 1120' oder des Satzes Metal-over-Diffusion-Layout-Strukturen 210, 510, 810, 1110 oder 1112. In einigen Ausführungsformen enthält der dritte oder vierte Kontakt von Operation 1410 mindestens Abschnitte eines oder mehrerer Kontakte des Satzes Kontakte 320, 620, 920, 1220 oder 1220' oder des Satzes Kontakte 310, 610, 910, 1210 oder 1212.
  • In Operation 1412 des Verfahrens 1400 wird ein zweiter Satz Isolierschicht-Layout-Strukturen auf dem Layout-Design 200, 500, 800, 1100A oder 1100G generiert oder platziert. In einigen Ausführungsformen enthält der zweite Satz Isolierschicht-Layout-Strukturen des Verfahrens 1400 mindestens Abschnitte einer oder mehrerer Layout-Strukturen des Satzes Isolierschicht-Layout-Strukturen 514, 814, 1114 oder 1116.
  • In einigen Ausführungsformen Operation 1412 enthält das Generieren oder Platzieren eine zweite Isolierschicht-Layout-Struktur, was dem Herstellen einer zweiten isolierenden Schicht entspricht. In einigen Ausführungsformen enthält die zweite Isolierschicht-Layout-Struktur von Operation 1412 mindestens Abschnitte einer oder mehrerer Layout-Strukturen des Satzes Isolierschicht-Layout-Strukturen 514, 814, 1114 oder 1116. In einigen Ausführungsformen enthält die zweite Isolierschicht von Operation 1412 mindestens Abschnitte einer oder mehrerer Isolierschichten des Satzes Isolierschichten 614, 914, 1214 oder 1216.
  • In Operation 1414 des Verfahrens 1400 wird ein zweiter Satz Gate-Layout-Strukturen auf dem Layout-Design 200, 500, 800, 1100A oder 1100G generiert oder platziert. In einigen Ausführungsformen enthält der zweite Satz Gate-Layout-Strukturen des Verfahrens 1400 mindestens Abschnitte einer oder mehrerer Layout-Strukturen des Satzes Gate-Layout-Strukturen 1122 oder 1122' oder des Satzes Gate-Layout-Strukturen 204, 504, 804 oder 1104.
  • In einigen Ausführungsformen enthält Operation 1414 mindestens das Generieren oder Platzieren einer dritten Gate-Layout-Struktur, was dem Herstellen eines dritten Gates entspricht, oder das Generieren oder Platzieren einer vierten Gate-Layout-Struktur, was dem Herstellen eines vierten Gates entspricht. In einigen Ausführungsformen enthält die dritte Gate-Layout-Struktur oder die vierte Gate-Layout-Struktur von Operation 1414 mindestens Abschnitte einer oder mehrerer Layout-Strukturen des Satzes Gate-Layout-Strukturen 1122 oder 1122' oder des Satzes Gate-Layout-Strukturen 204, 504, 804 oder 1104. In einigen Ausführungsformen enthält das dritte Gate oder das vierte Gate von Operation 1414 mindestens Abschnitte eines oder mehrerer Gates des Satzes Gates 1222 oder 1222' oder des Satzes Gates 304, 604, 904 oder 1204.
  • In Operation 1416 des Verfahrens 1400 wird ein erster Satz Leitfähige-Strukturelemente-Layout-Strukturen auf dem Layout-Design 200, 500, 800, 1100A oder 1100G generiert oder platziert. In einigen Ausführungsformen enthält der erste Satz Leitfähige-Strukturelemente-Layout-Strukturen des Verfahrens 1400 mindestens Abschnitte einer oder mehrerer Layout-Strukturen des Satzes Leitfähige-Strukturelemente-Layout-Strukturen 240, 540, 840, 1140 oder 1144 oder des Satzes Stromschienen-Layout-Strukturen 242.
  • In einigen Ausführungsformen enthält Operation 1416 das Generieren oder Platzieren einer ersten Leitfähige-Struktur-Layout-Struktur, was dem Herstellen einer ersten leitfähigen Struktur entspricht. In einigen Ausführungsformen enthält die erste Leitfähige-Struktur-Layout-Struktur von Operation 1416 mindestens Abschnitte einer oder mehrerer Layout-Strukturen des Satzes Leitfähige-Struktur-Layout-Strukturen 240, 540, 840, 1140 oder 1144 oder des Satzes Stromschienen-Layout-Strukturen 242. In einigen Ausführungsformen enthält die erste leitfähigen Struktur von Operation 1416 mindestens Abschnitte einer oder mehrerer leitfähiger Strukturen des Satzes leitfähigen Strukturen 340, 640, 940, 1240 oder 1244 oder des Satzes Stromschienen 342.
  • In Operation 1418 des Verfahrens 1400 wird der Satz Durchkontaktierungs-Layout-Strukturen auf dem Layout-Design 200, 500, 800, 1100A oder 1100G generiert oder platziert. In einigen Ausführungsformen enthält der Satz Durchkontaktierungs-Layout-Strukturen des Verfahrens 1400 mindestens Abschnitte einer oder mehrerer Layout-Strukturen des Satzes Durchkontaktierungs-Layout-Strukturen 250, 550, 850, 1150, 1150', 1160 oder 1160'.
  • In einigen Ausführungsformen enthält Operation 1418 das Generieren oder Platzieren einer Durchkontaktierungs-Layout-Struktur, die einer ersten Durchkontaktierung entspricht, oder das Generieren oder Platzieren einer zweiten Durchkontaktierungs-Layout-Struktur, die einer zweiten Durchkontaktierung entspricht. In einigen Ausführungsformen enthält die erste oder die zweite Durchkontaktierungs-Layout-Struktur von Operation 1418 mindestens Abschnitte einer oder mehrerer Layout-Strukturen des Satzes Durchkontaktierungs-Layout-Strukturen 250, 550, 560, 850, 1150, 1150', 1160 oder 1160'. In einigen Ausführungsformen enthält die erste oder die zweite Durchkontaktierung von Operation 1418 mindestens Abschnitte einer oder mehrerer Durchkontaktierungen des Satzes Durchkontaktierungen 350, 650, 660, 950, 1250, 1250', 1260 oder 1260'.
  • In einigen Ausführungsformen werden eine oder mehrere der Operationen 1402, 1404, 1406, 1408, 1410, 1412, 1414, 1416 oder 1418 nicht ausgeführt. Eine oder mehrere der Operationen des Verfahrens 1300-1400 werden durch eine Verarbeitungsvorrichtung ausgeführt, die dafür konfiguriert ist, Instruktionen für die Herstellung eines integrierten Schaltkreises auszuführen, wie zum Beispiel des integrierten Schaltkreises 100, 300, 400, 600, 700, 900, 1000A-1000B, 1200 oder 1200'. In einigen Ausführungsformen werden eine oder mehrere Operationen des Verfahrens 1300-1400 unter Verwendung der gleichen Verarbeitungsvorrichtung ausgeführt wie der, die in anderen einer oder mehreren Operationen des Verfahrens 1300-1400 benutzt wurden. In einigen Ausführungsformen wird eine andere Verarbeitungsvorrichtung zum Ausführen einer oder mehrerer Operationen des Verfahrens 1300-1400 verwendet als sie, die zum Ausführen anderer einer oder mehrerer Operationen des Verfahrens 1300-1400 benutzt wird.
  • 15 ist eine schematische Ansicht eines Systems 1500 zum Entwerfen und Herstellen eines IC-Layout-Designs gemäß einigen Ausführungsformen. In einigen Ausführungsformen generiert oder platziert das System 1500 ein oder mehrere im vorliegenden Text beschriebene IC-Layout-Designs. In einigen Ausführungsformen fertigt das System 1500 einen oder mehrere ICs auf der Basis des einen oder der mehreren im vorliegenden Text beschriebenen IC-Layout-Designs. Das System 1500 enthält einen Hardware-Prozessor 1502 und ein nichttransitorisches, computerlesbares Speichermedium 1504, das mit dem Computerprogrammcode 1506, d. h. einem Satz ausführbarer Instruktionen, codiert ist (bzw. diese speichert). Das computerlesbare Speichermedium 1504 ist dafür konfiguriert, mit Herstellungsmaschinen zum Produzieren des integrierten Schaltkreises verbunden zu werden. Der Prozessor 1502 ist elektrisch mit dem computerlesbaren Speichermedium 1504 durch einen Bus 1508 gekoppelt. Der Prozessor 1502 ist ebenfalls elektrisch mit einer E/A-Schnittstelle 1510 durch den Bus 1508 gekoppelt. Eine Netzwerkschnittstelle 1512 ist ebenfalls elektrisch mit dem Prozessor 1502 durch den Bus 1508 verbunden. Die Netzwerkschnittstelle 1512 ist mit einem Netzwerk 1514 verbunden, so dass sich der Prozessor 1502 und das computerlesbare Speichermedium 1504 mit externen Elementen über das Netzwerk 1514 verbinden können. Der Prozessor 1502 ist dafür konfiguriert, den Computerprogrammcode 1506 auszuführen, der in dem computerlesbaren Speichermedium 1504 codiert ist, damit das System 1500 zum Ausführen eines Teils oder der gesamten Operationen, wie in Verfahren 1300 oder 1400 beschrieben, genutzt werden kann.
  • In einigen Ausführungsformen ist der Prozessor 1502 eine zentrale Verarbeitungseinheit (CPU), ein Multiprozessor, ein verteiltes Verarbeitungssystem, ein anwendungsspezifischer integrierter Schaltkreis (ASIC) und/oder eine geeignete Verarbeitungseinheit.
  • In einigen Ausführungsformen ist das computerlesbare Speichermedium 1504 ein elektronisches, magnetisches, optisches, elektromagnetisches, infrarotes und/oder ein Halbleitersystem (oder -vorrichtung oder -gerät). Zum Beispiel enthält das computerlesbare Speichermedium 1504 einen Halbleiter- oder Festkörperspeicher, ein Magnetband, eine wechselfähige Computerdiskette, einen Direktzugriffsspeicher (RAM), einen Nurlesespeicher (ROM), eine starre magnetische Disk und/oder eine optische Disk. In einigen Ausführungsformen, die mit optischen Disks arbeiten, enthält das computerlesbare Speichermedium 1504 einen Compact Disk-Nurlesespeicher (CD-ROM), eine wiederbeschreibbare Compact Disk (Read/Write, CD-R/W) und/oder eine Digital Video Disk (DVD).
  • In einigen Ausführungsformen speichert das Speichermedium 1504 den Computerprogrammcode 1506, das dafür konfiguriert ist, das System 1500 zu veranlassen, das Verfahren 1300 oder 1400 auszuführen. In einigen Ausführungsformen speichert das Speichermedium 1504 auch Informationen, die zum Ausführen eines Verfahrens 1300 oder 1400 benötigt werden, sowie Informationen, die während der Ausführung des Verfahrens 1300 oder 1400 generiert werden, wie zum Beispiel das Layout-Design 1516 und die Benutzerschnittstelle 1518 und die Fertigungseinheit 1520, und/oder einen Satz ausführbarer Instruktionen zum Ausführen der Operationen des Verfahrens 1300 oder 1400. In einigen Ausführungsformen umfasst das Layout-Design 1516 eine oder mehrere Layout-Strukturen des Layout-Designs 200, 500, 800, 1100A oder 1100G.
  • In einigen Ausführungsformen speichert das Speichermedium 1504 Instruktionen (zum Beispiel Computerprogrammcode 1506) zum Verbinden mit Herstellungsmaschinen. Die Instruktionen (zum Beispiel der Computerprogrammcode 1506) ermöglichen es dem Prozessor 1502, Herstellungsinstruktionen zu generieren, die durch die Herstellungsmaschinen gelesen werden können, um das Verfahren 1300 oder 1400 während eines Herstellungsprozesses effektiv zu implementieren.
  • Das System 1500 enthält eine E/A-Schnittstelle 1510. Die E/A-Schnittstelle 1510 ist mit externen Schaltungen gekoppelt. In einigen Ausführungsformen enthält die E/A-Schnittstelle 1510 eine Tastatur, ein Keypad, eine Maus, einen Trackball, ein Trackpad und/oder Cursorrichtungstasten zum Übermitteln von Informationen und Befehlen an den Prozessor 1502.
  • Das System 1500 enthält ebenfalls die Netzwerkschnittstelle 1512, die mit dem Prozessor 1502 gekoppelt ist Die Netzwerkschnittstelle 1512 erlaubt es dem System 1500, mit dem Netz 1514, zu kommunizieren, mit dem ein oder mehrere andere Computersysteme verbunden sind. Die Netzwerkschnittstelle 1512 enthält drahtlose Netzwerkschnittstellen wie zum Beispiel BLUETOOTH, WIFI, WIMAX, GPRS oder WCDMA; oder eine leitungsgebundene Netzwerkschnittstelle wie zum Beispiel ETHERNET, USB oder IEEE-13154. In einigen Ausführungsformen wird das Verfahren 1300 oder 1400 in zwei oder mehr Systemen 1500 implementiert, und Informationen wie zum Beispiel das Layout-Design, Benutzerschnittstelle und Fertigungseinheit, werden zwischen verschiedenen Systemen 1500 durch das Netzwerk 1514 ausgetauscht.
  • Das System 1500 ist dafür konfiguriert, Informationen bezüglich eines Layout-Designs durch die E/A-Schnittstelle 1510 oder die Netzwerkschnittstelle 1512 zu empfangen. Die Informationen werden zu dem Prozessor 1502 durch den Bus 1508 übertragen, um ein Layout-Design zum Herstellen eines IC (zum Beispiel des integrierten Schaltkreises 100, 300, 400, 600, 700, 900, 1000A-1000B oder 1200-1200') zu bestimmen. Das Layout-Design wird dann in dem computerlesbaren Medium 1504 als Layout-Design 1516 gespeichert. Das System 1500 ist dafür konfiguriert, Informationen bezüglich einer Benutzerschnittstelle durch die E/A-Schnittstelle 1510 oder die Netzwerkschnittstelle 1512 zu empfangen. Die Informationen werden in dem computerlesbaren Medium 1504 als Benutzerschnittstelle 1518 gespeichert. Das System 1500 ist dafür konfiguriert, Informationen bezüglich einer Fertigungseinheit durch die E/A-Schnittstelle 1510 oder die Netzwerkschnittstelle 1512 zu empfangen. Die Informationen werden in dem computerlesbaren Medium 1504 als Fertigungseinheit 1520 gespeichert. In einigen Ausführungsformen enthält die Fertigungseinheit 1520 Fertigungsinformationen, die durch das System 1500 genutzt werden.
  • In einigen Ausführungsformen wird das Verfahren 1300 oder 1400 als eine eigenständige Software-Anwendung zur Ausführung durch einen Prozessor implementiert. In einigen Ausführungsformen wird das Verfahren 1300 oder 1400 als eine Software-Anwendung implementiert, die Teil einer zusätzlichen Software-Anwendung ist In einigen Ausführungsformen wird das Verfahren 1300 oder 1400 als ein Plugin zu einer Software-Anwendung implementiert. In einigen Ausführungsformen wird das Verfahren 1300 oder 1400 als eine Software-Anwendung implementiert, die Teil eines EDA-Tools ist. In einigen Ausführungsformen wird das Verfahren 1300 oder 1400 als eine Software-Anwendung implementiert, die durch ein EDA-Tool verwendet wird. In einigen Ausführungsformen wird das EDA-Tool dafür verwendet, ein Layout-Design der IC-Vorrichtung zu generieren. In einigen Ausführungsformen wird das Layout-Design auf einem nicht-transitorischen computerlesbaren Medium gespeichert. In einigen Ausführungsformen wird das Layout-Design unter Verwendung eines Tools wie zum Beispiel VIRTUOSO® von der Firma CADENCE DESIGN SYSTEMS, Inc. oder eines anderen geeigneten Layout-Generierungstools generiert. In einigen Ausführungsformen wird das Layout-Design anhand einer Netlist generiert, die auf der Basis des Schema-Designs erstellt wird. In einigen Ausführungsformen wird das Verfahren 1300 oder 1400 durch eine Herstellungsvorrichtung implementiert, um einen integrierten Schaltkreis unter Verwendung eines Satzes Masken herzustellen, der anhand einer oder mehrerer Layout-Designs gefertigt wird, die durch das System 1500 generiert werden. In einigen Ausführungsformen ist das System 1500 eine Herstellungsvorrichtung zum Herstellen eines integrierten Schaltkreises unter Verwendung des Satzes Masken, der anhand eines oder mehrerer Layout-Designs der vorliegenden Offenbarung gefertigt wird. In einigen Ausführungsformen generiert das System 1500 von 15 Layout-Designs eines IC, die kleiner sind als andere Lösungsansätze. In einigen Ausführungsformen generiert das System 1500 von 15 Layout-Designs eines IC (zum Beispiel des integrierten Schaltkreises 100, 300, 400, 600, 700, 900, 1000A-1000B oder 1200-1200'), die weniger Fläche als andere Lösungsansätze beanspruchen.
  • 16 ist ein Blockschaubild eines Herstellungssystems 1600 für integrierte Schaltkreise (IC) und eines damit verknüpften IC-Herstellungsflusses gemäß mindestens einer Ausführungsform der vorliegenden Offenbarung.
  • In 16 enthält das IC-Herstellungssystem 1600 Entitäten, wie zum Beispiel ein Designhaus 1620, ein Maskenhaus 1630 und einen IC-Hersteller/Produzenten („fab“) 1640, die bei den Design-, Entwicklungs- und Herstellungszyklen und/oder -dienstleistungen im Zusammenhang mit der Herstellung einer IC-Vorrichtung 1660 miteinander interagierten. Die Entitäten in dem System 1600 sind durch ein Kommunikationsnetzwerk verbunden. In einigen Ausführungsformen ist das Kommunikationsnetzwerk ein einzelnes Netzwerk. In einigen Ausführungsformen ist das Kommunikationsnetzwerk eine Vielzahl verschiedener Netze, wie zum Beispiel ein Intranet und das Internet. Das Kommunikationsnetzwerk enthält leitungsgebundene und/oder Drahtloskommunikationskanäle. Jede Entität interagiert mit einer oder mehreren der anderen Entitäten und erbringt Dienstleistungen für eine oder mehrere der anderen Entitäten und/oder erhält Dienstleistungen von einer oder mehreren der anderen Entitäten. In einigen Ausführungsformen gehören zwei oder mehr des Designhauses 1620, des Maskenhauses 1630 und des IC-fab 1640 einem größeren Unternehmen. In einigen Ausführungsformen bestehen zwei oder mehr des Designhauses 1620, des Maskenhauses 1630 und des IC-fab 1640 zusammen in einer gemeinsamen Einrichtung und nutzen gemeinsame Ressourcen.
  • Das Designhaus (oder Designteam) 1620 generiert ein IC-Design-Layout 1622. Das IC-Design-Layout 1622 enthält verschiedene geometrische Strukturen, die für eine IC-Vorrichtung 1660 gedacht sind. Die geometrischen Strukturen entsprechen Strukturen von Metall-, Oxid- oder Halbleiterschichten, aus denen die verschiedenen Komponenten der herzustellenden IC-Vorrichtung 1660 bestehen. Die verschiedenen Schichten bilden im Verbund verschiedene IC-Strukturelemente. Zum Beispiel enthält ein Abschnitt des IC-Design-Layouts 1622 verschiedene IC-Strukturelemente, wie zum Beispiel eine aktive Region, eine Gate-Elektrode, eine Source-Elektrode und eine Drain-Elektrode, Metallleitungen oder Durchkontaktierungen einer Zwischenschicht-Zwischenverbindung und Öffnungen für Bondungspads, die in einem Halbleitersubstrat (wie zum Beispiel einen Siliziumwafer) ausgebildet werden sollen, und verschiedene Materialschichten, die auf dem Halbleitersubstrat angeordnet sind. Das Designhaus 1620 implementiert ein ordnungsgemäßes Designprocedere, um das IC-Design-Layout 1622 zu bilden. Das Designprocedere enthält eines oder mehrere von logischem Design, physikalischem Design oder Platzieren und Routen. Das IC-Design-Layout 1622 wird in einer oder mehreren Dateien präsentiert, die Informationen der geometrischen Strukturen enthalten. Zum Beispiel kann das IC-Design-Layout 1622 in einem GDSII-Dateiformat oder DFII-Dateiformat ausgedrückt werden.
  • Das Maskenhaus 1630 enthält eine Datenvorbereitung 1632 und eine Maskenfertigung 1634. Das Maskenhaus 1630 verwendet das IC-Design-Layout 1622 zum Herstellen einer oder mehrerer Masken, die zum Herstellen der verschiedenen Schichten der IC-Vorrichtung 1660 gemäß dem IC-Design-Layout 1622 zu verwenden sind. Das Maskenhaus 1630 führt die Maskendatenvorbereitung 1632 aus, wobei das IC-Design-Layout 1622 in eine repräsentative Datei (Representative Data File, RDF) übersetzt wird. Die Maskendatenvorbereitung 1632 übermittelt die RDF an die Maskenfertigung 1634. Die Maskenfertigung 1634 enthält einen Maskenschreiber. Ein Maskenschreiber konvertiert die RDF in ein Bild auf einem Substrat, wie zum Beispiel einer Maske (Retikel) oder einem Halbleiterwafer. Das Design-Layout wird durch die Maskendatenvorbereitung 1632 so bearbeitet, dass es bestimmte Charakteristika des Maskenschreibers und/oder Anforderungen des IC-fab 1640 erfüllt. In 16 sind die Maskendatenvorbereitung 1632 und die Maskenfertigung 1634 als separate Elemente veranschaulicht. In einigen Ausführungsformen können die Maskendatenvorbereitung 1632 und die Maskenfertigung 1634 zusammen als Maskendatenvorbereitung bezeichnet werden.
  • In einigen Ausführungsformen enthält die Maskendatenvorbereitung 1632 eine optische Nähekorrektur (Optical Proximity Correction, OPC), die Litografieoptimierungstechniken zum Kompensieren von Bildfehlern verwendet, wie zum Beispiel solche, die durch Diffraktion, Interferenz, andere Prozesseffekte und dergleichen entstehen können. OPC justiert das IC-Design-Layout 1622. In einigen Ausführungsformen enthält die Maskendatenvorbereitung 1632 des Weiteren Auflösungsoptimierungstechniken (Resolution Enhancement Techniken, RET), wie zum Beispiel außerachsige Beleuchtung, Subauflösungshilfsmerkmale, Phasenschiebemasken, andere geeignete Techniken und dergleichen oder Kombinationen davon. In einigen Ausführungsformen wird auch die inverse Litografietechnologie (ILT) benutzt, die OPC als ein inverses Abbildungsproblem behandelt.
  • In einigen Ausführungsformen die Maskendatenvorbereitung 1632 enthält einen Maskenregelprüfer (Mask Rule Checker, MRC), der das IC-Design-Layout überprüft, das OPC-Prozesse mit einem Satz Maskenerstellungsregeln durchlaufen hat, die bestimmte geometrische und/oder Konnektivitätsbeschränkungen enthalten, um ausreichende Sicherheitsmargen sicherzustellen, um unvermeidliche Toleranzen bei den Halbleiterfertigungsprozessen und dergleichen zu berücksichtigen. In einigen Ausführungsformen modifiziert der MRC das IC-Design-Layout, um Einschränkungen während der Maskenfertigung 1634 zu kompensieren, die einen Teil der Modifizierungen rückgängig machen könnten, die durch die OPC vorgenommen wurden, um die Maskenerstellungsregeln zu befolgen.
  • In einigen Ausführungsformen enthält die Maskendatenvorbereitung 1632 Litografieprozessüberprüfung (Lithography Process Checking, LPC), das eine Verarbeitung simuliert, die durch das IC-fab 1640 implementiert wird, um die IC-Vorrichtung 1660 herzustellen. LPC simuliert diese Verarbeitung auf der Basis des IC-Design-Layouts 1622, um eine simulierte hergestellte Vorrichtung, wie zum Beispiel die IC-Vorrichtung 1660, zu erzeugen. Die Verarbeitungsparameter der LPC-Simulation können Parameter enthalten, die mit verschiedenen Prozessen des IC-Herstellungszyklus verknüpft sind, Parameter, die mit Tools verknüpft sind, die für die Herstellung des IC benutzt werden, und/oder andere Aspekte des Herstellungsprozesses. LPC berücksichtigt verschiedene Faktoren, wie zum Beispiel Luftbildkontrast, Schärfentiefe (Depth of Focus, DOF), Maskenfehlerausbesserungsfaktor (Mask Error Enhancement Factor, MEEF), andere geeignete Faktoren und dergleichen oder Kombinationen davon. In einigen Ausführungsformen können OPC und/oder MRC wiederholt werden, um das IC-Design-Layout 1622 weiter zu verfeinern, nachdem eine simulierte hergestellte Vorrichtung durch LPC gebildet wurde, wenn die simulierte Vorrichtung der Form nicht nahe genug kommt, um die Designregeln zu erfüllen.
  • Es versteht sich, dass die obige Beschreibung der Maskendatenvorbereitung 1632 zum Zweck der besseren Verständlichkeit vereinfacht wurde. In einigen Ausführungsformen enthält die Datenvorbereitung 1632 zusätzliche Merkmale, wie zum Beispiel eine Logikoperation (LOP), um das IC-Design-Layout gemäß Herstellungsregeln zu modifizieren. Außerdem können die Prozesse, die auf das IC-Design-Layout 1622 während der Datenvorbereitung 1632 angewendet werden, in einer Vielzahl verschiedener Reihenfolgen ausgeführt.
  • Nach der Maskendatenvorbereitung 1632 und während der Maskenfertigung 1634 werden eine Maske oder eine Gruppe von Masken auf der Basis des modifizierten IC-Design-Layouts gefertigt. In einigen Ausführungsformen wird ein Elektronenstrahl (e-Beam) oder ein Mechanismus mehrerer e-Beams dafür verwendet, eine Struktur auf einer Maske (Photomaske oder Retikel) auf der Basis des modifizierten IC-Design-Layouts zu bilden. Die Maske kann in verschiedenen Technologien ausgebildet werden. In einigen Ausführungsformen wird die Maske unter Verwendung binärer Technologie gebildet. In einigen Ausführungsformen enthält eine Maskenstruktur lichtundurchlässige Regionen und lichtdurchlässige Regionen. Ein Strahl, wie zum Beispiel ein ultravioletter (UV) Strahl, der dafür benutzt wird, die bildempfindliche Materialschicht (zum Beispiel den Photoresist), die auf einen Wafer beschichtet wurde, zu belichten, wird durch die lichtundurchlässige Region blockiert und wird durch die lichtdurchlässigen Regionen durchgelassen. In einem Beispiel enthält eine binäre Maske ein lichtdurchlässiges Substrat (zum Beispiel Quarzglas) und ein lichtundurchlässiges Material (zum Beispiel Chrom), das in den lichtundurchlässigen Regionen der Maske aufbeschichtet wird. In einem anderen Beispiel wird die Maske unter Verwendung einer Phasenverschiebungstechnologie ausgebildet. In der Phasenverschiebungsmaske (Phase Shift Mask, PSM) sind verschiedene Strukturelemente in der Struktur, die auf der Maske ausgebildet sind, dafür konfiguriert, eine zweckmäßige Phasendifferenz aufzuweisen, um die Auflösung und die Abbildungsqualität zu verbessern. In verschiedenen Beispielen kann die Phasenverschiebungsmaske eine gedämpfte PSM oder eine abwechselnde PSM sein. Die eine oder die mehreren Masken, die durch die Maskenfertigung 1634 generiert werden, werden in einer Vielzahl verschiedener Prozesse verwendet. Zum Beispiel werden solche Masken in einem Ionenimplantierungsprozess zum Bilden verschiedener dotierter Regionen in dem Halbleiterwafer, in einem Ätzprozess zum Bilden verschiedener Ätzregionen in dem Halbleiterwafer, und/oder in anderen geeigneten Prozessen verwendet.
  • Das IC-fab 1640 ist eine IC-Fertigungsentität, die eine oder mehrere Herstellungseinrichtungen für die Fertigung einer Vielzahl verschiedener IC-Produkte enthält. In einigen Ausführungsformen ist das IC-Fab 1640 eine Halbleitergießerei. Zum Beispiel kann es eine Herstellungseinrichtung für die Frontend-Fertigung mehrerer IC-Produkte (Front-Endof-Line (FEOL)-Fertigung) geben, während eine zweite Herstellungseinrichtung für die Backend-Fertigung der Zwischenverbindung und Verkapselung der IC-Produkte (Back-End-of-Line (BEOL)-Fertigung) zuständig ist, und eine dritte Herstellungseinrichtung kann andere Dienstleistungen für die Gießereientität erbringen.
  • Das IC-Fab 1640 verwendet die eine oder die mehreren Masken, die durch das Maskenhaus 1630 hergestellt wurden, um eine IC-Vorrichtung 1660 zu fertigen. Somit verwendet das IC-Fab 1640 mindestens indirekt das IC-Design-Layout 1622 zum Fertigen der IC-Vorrichtung 1660. In einigen Ausführungsformen wird ein Halbleiterwafer 1642 durch das IC-Fab 1640 unter Verwendung der einen oder der mehreren Masken hergestellt, um die IC-Vorrichtung 1660 zu bilden. Der Halbleiterwafer 1642 enthält ein Siliziumsubstrat oder ein anderes zweckmäßiges Substrat, auf dem Materialschichten ausgebildet sind. Der Halbleiterwafer enthält des Weiteren eine oder mehrere verschiedene dotierte Regionen, dielektrische Strukturelemente, Zwischenverbindungen auf mehreren Ebenen und dergleichen (die in anschließenden Herstellungsschritten gebildet werden).
  • Das System 1600 ist so gezeigt, dass es das Designhaus 1620, das Maskenhaus 1630 oder das IC-Fab 1640 als separate Komponenten oder Entitäten hat. Jedoch versteht es sich, dass eines oder mehrere des Designhauses 1620, des Maskenhauses 1630 oder des IC-Fab 1640 Teil derselben Komponente oder Entität sind.
  • Details zu einem Integrierten-Schaltkreis (IC)-Herstellungssystem (zum Beispiel das System 1600 von 16) und einem damit verknüpften IC-Produktionsfluss finden sich zum Beispiel in US-Patent Nr. 9,256,709 , erteilt am 9. Februar 2016, in der US-Vorerteilungspublikation Nr. 201502128429, veröffentlicht am 1. Oktober 2015, in der US-Vorerteilungspublikation Nr. 20140040838, veröffentlicht am 6. Februar 2014, und im US-Patent Nr. 7,260,448 , erteilt am 21. August 20012, die hiermit jeweils in vollem Umfang durch Bezugnahme in den vorliegenden Text aufgenommen werden.
  • Ein Aspekt dieser Beschreibung betrifft einen integrierten Schaltkreis. In einigen Ausführungsformen enthält der integrierte Schaltkreis ...
  • Ein anderer Aspekt dieser Beschreibung betrifft ein Verfahren zum Herstellen eines integrierten Schaltkreises. Das Verfahren enthält das Generieren, durch einen Prozessor, eines Layout-Designs des integrierten Schaltkreises und die Herstellung des integrierten Schaltkreises auf der Basis des Layout-Designs. In einigen Ausführungsformen enthält das Generieren des Layout-Designs ...
  • Ein weiterer Aspekt dieser Beschreibung betrifft ein Verfahren zum Herstellen eines integrierten Schaltkreises. Das Verfahren enthält das Generieren, durch einen Prozessor, eines Layout-Designs des integrierten Schaltkreises und die Herstellung des integrierten Schaltkreises auf der Basis des Layout-Designs. In einigen Ausführungsformen enthält das Generieren des Layout-Designs...
  • Das oben Dargelegte umreißt Merkmale verschiedener Ausführungsformen, so dass der Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Dem Fachmann ist klar, dass er die vorliegende Offenbarung ohne Weiteres als Basis für das Entwerfen oder Modifizieren anderer Prozesse und Strukturen verwenden kann, um die gleichen Zwecke und/oder die gleichen Vorteile wie bei den im vorliegenden Text vorgestellten Ausführungsformen zu erreichen. Dem Fachmann sollte auch klar sein, dass solche äquivalenten Bauformen nicht das Wesen und den Schutzumfang der vorliegenden Offenbarung verlassen, und dass er verschiedene Änderungen, Substituierungen und Modifizierungen an der vorliegenden Erfindung vornehmen kann, ohne vom Wesen und Schutzumfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 62698762 [0001]
    • US 9256709 [0485]
    • US 7260448 [0485]

Claims (20)

  1. Integrierter Schaltkreis, der Folgendes umfasst: eine erste aktive Region in einem Substrat, die sich in einer ersten Richtung erstreckt und sich auf einer ersten Ebene befindet; eine zweite aktive Region in dem Substrat, die sich in der ersten Richtung erstreckt, sich auf der ersten Ebene befindet, und von der ersten aktiven Region in einer zweiten Richtung getrennt ist, die von der ersten Richtung verschieden ist; einen ersten Kontakt, der mit der ersten aktiven Region gekoppelt ist, sich in der zweiten Richtung erstreckt, sich auf einer zweiten Ebene befindet, die von der ersten Ebene verschieden ist, und die erste aktive Region überlappt; einen zweiten Kontakt, der mit der zweiten aktiven Region gekoppelt ist, sich in der zweiten Richtung erstreckt, sich auf der zweiten Ebene befindet, die zweite aktive Region überlappt, und von dem ersten Kontakt in mindestens der zweiten Richtung getrennt ist; und einen dritten Kontakt, sich in der zweiten Richtung erstreckt, den ersten Kontakt und den zweiten Kontakt überlappt, sich auf einer dritten Ebene befindet, die von der ersten Ebene und der zweiten Ebene verschieden ist, und mit der ersten aktiven Region und dem ersten Kontakt gekoppelt ist.
  2. Integrierter Schaltkreis nach Anspruch 1, wobei der dritte Kontakt des Weiteren mit dem zweiten Kontakt und der zweiten aktiven Region gekoppelt ist.
  3. Integrierter Schaltkreis nach Anspruch 2, wobei der integrierte Schaltkreis Teil eines Inverterschaltkreises ist.
  4. Integrierter Schaltkreis nach einem der vorangehenden Ansprüche, der des Weiteren Folgendes umfasst: eine erste leitfähige Struktur, die sich in der ersten Richtung erstreckt, sich auf einer vierten Ebene befindet, die von der ersten Ebene, der zweiten Ebene und der dritten Ebene verschieden ist, und den zweiten Kontakt und den dritten Kontakt überlappt; eine erste Durchkontaktierung zwischen dem dritten Kontakt und der ersten leitfähigen Struktur, wobei die erste Durchkontaktierung den dritten Kontakt mit der ersten leitfähigen Struktur koppelt; und eine Isolierschicht, die sich in der zweiten Richtung erstreckt und sich zwischen dem zweiten Kontakt und dem dritten Kontakt befindet.
  5. Integrierter Schaltkreis nach Anspruch 4, der des Weiteren Folgendes umfasst: eine dritte aktive Region in dem Substrat, die sich in der ersten Richtung erstreckt, sich auf der ersten Ebene befindet, und von der zweiten aktiven Region in der ersten Richtung getrennt ist; ein erstes Gate, das sich in der ersten Richtung erstreckt, sich zwischen der dritten aktiven Region und der zweiten aktiven Region befindet, und sich auf der zweiten Ebene befindet; einen vierten Kontakt, der mit der dritten aktiven Region gekoppelt ist, sich in der zweiten Richtung erstreckt, sich auf der zweiten Ebene befindet, die dritte aktive Region überlappt, und von dem zweiten Kontakt in der ersten Richtung getrennt ist; einen fünften Kontakt, sich in der zweiten Richtung erstreckt, sich über dem vierten Kontakt befindet, sich auf der dritten Ebene befindet, und mit der dritten aktiven Region gekoppelt ist; und eine zweite Durchkontaktierung zwischen dem fünften Kontakt und der ersten leitfähigen Struktur, wobei die zweite Durchkontaktierung den fünften Kontakt mit der ersten leitfähigen Struktur koppelt.
  6. Integrierter Schaltkreis nach Anspruch 5, wobei der integrierte Schaltkreis Teil eines NAND-Logik-Gate-Schaltkreises ist.
  7. Integrierter Schaltkreis nach Anspruch 5 oder 6, wobei die vierte Ebene die Metall-null (Mo)-Schicht des integrierten Schaltkreises ist.
  8. Integrierter Schaltkreis nach einem der vorangehenden Ansprüche 5 bis 7, der des Weiteren Folgendes umfasst: eine vierte aktive Region in dem Substrat, die sich in der ersten Richtung erstreckt, sich auf der ersten Ebene befindet, von der ersten aktive Region in der ersten Richtung getrennt ist, und von der dritten aktiven Region in der zweiten Richtung getrennt ist; einen sechsten Kontakt, der mit der vierten aktiven Region gekoppelt ist, sich in der zweiten Richtung erstreckt, sich auf der zweiten Ebene befindet, die vierte aktive Region überlappt, und von dem ersten Kontakt in der ersten Richtung getrennt ist; einen siebenten Kontakt, der sich in der zweiten Richtung erstreckt, sich über dem sechsten Kontakt befindet, sich auf der dritten Ebene befindet, und mit der vierten aktiven Region gekoppelt ist; eine zweite leitfähige Struktur, die sich in der ersten Richtung erstreckt, sich auf der vierten Ebene befindet, und den sechsten Kontakt und den siebenten Kontakt überlappt; und eine dritte Durchkontaktierung zwischen dem siebenten Kontakt und der zweiten leitfähigen Struktur, wobei die dritte Durchkontaktierung den siebenten Kontakt mit der zweiten leitfähigen Struktur koppelt.
  9. Integrierter Schaltkreis nach Anspruch 8, der des Weiteren Folgendes umfasst: eine fünfte aktive Region in dem Substrat, die sich in der ersten Richtung erstreckt, sich auf der ersten Ebene befindet, und von der ersten aktiven Region in der ersten Richtung getrennt ist; ein zweites Gate, das sich in der ersten Richtung erstreckt, sich zwischen der ersten aktiven Region und der fünften aktiven Region befindet, und sich auf der zweiten Ebene befindet; einen achten Kontakt, der mit der fünften aktiven Region gekoppelt ist, sich in der zweiten Richtung erstreckt, sich auf der zweiten Ebene befindet, die fünfte aktive Region überlappt, und von dem ersten Kontakt in der ersten Richtung getrennt ist; einen neunten Kontakt, der sich in der zweiten Richtung erstreckt, sich über dem achten Kontakt befindet, sich auf der dritten Ebene befindet, und mit der fünften aktiven Region gekoppelt ist; und eine vierte Durchkontaktierung zwischen dem neunten Kontakt und der zweiten leitfähigen Struktur, wobei die vierte Durchkontaktierung den neunten Kontakt mit der zweiten leitfähigen Struktur koppelt.
  10. Integrierter Schaltkreis nach Anspruch 9, wobei der integrierte Schaltkreis Teil eines AND-OR-INVERTER-Logikschaltkreis ist.
  11. Integrierter Schaltkreis, der Folgendes umfasst: ein erstes Gate, das sich in der ersten Richtung erstreckt und sich auf einer ersten Ebene befindet; ein zweites Gate, das sich in der ersten Richtung erstreckt, sich auf der ersten Ebene befindet, und von dem ersten Gate in einer zweiten Richtung getrennt ist, die von der ersten Richtung verschieden ist; ein erster Gate-Abschnitt, der sich in der zweiten Richtung erstreckt, das erste Gate und das zweite Gate überlappt, sich auf einer zweiten Ebene befindet, die von der ersten Ebene verschieden ist, und mit mindestens dem ersten Gate gekoppelt ist; und eine erste Isolierschicht, die sich in der zweiten Richtung erstreckt, das erste Gate und das zweite Gate überlappt, und sich zwischen dem zweiten Gate und dem ersten Gate-Abschnitt befindet.
  12. Integrierter Schaltkreis nach Anspruch 11, der des Weiteren Folgendes umfasst: ein drittes Gate, das sich in der ersten Richtung erstreckt, sich auf der ersten Ebene befindet, und von dem zweiten Gate in der ersten Richtung getrennt ist; eine erste leitfähige Struktur, die sich in der ersten Richtung erstreckt, sich auf einer dritten Ebene befindet, die von der ersten Ebene und der zweiten Ebene verschieden ist, und das dritte Gate und den ersten Gate-Abschnitt überlappt; eine erste Durchkontaktierung zwischen dem ersten Gate-Abschnitt und der ersten leitfähigen Struktur, wobei die erste Durchkontaktierung den ersten Gate-Abschnitt mit der ersten leitfähigen Struktur koppelt; und eine zweite Durchkontaktierung zwischen dem dritten Gate und der ersten leitfähigen Struktur, wobei die zweite Durchkontaktierung das dritte Gate mit der ersten leitfähigen Struktur koppelt.
  13. Integrierter Schaltkreis nach Anspruch 12, der des Weiteren Folgendes umfasst: eine erste aktive Region in einem Substrat, die sich in der ersten Richtung erstreckt und sich auf einer vierten Ebene befindet, die von der ersten Ebene, der zweiten Ebene und der dritten Ebene verschieden ist; und eine zweite aktive Region in dem Substrat, die sich in der ersten Richtung erstreckt, sich auf der vierten Ebene befindet, und von der ersten aktiven Region in der zweiten Richtung getrennt ist; einen ersten Kontakt, der mit der ersten aktiven Region und der zweiten aktiven Region gekoppelt ist, sich in der zweiten Richtung erstreckt, sich auf der ersten Ebene befindet, und die erste aktive Region und die zweite aktive Region überlappt, und von dem dritten Gate in der ersten Richtung getrennt ist; und einen zweiten Kontakt, der sich in der zweiten Richtung erstreckt und mindestens einen Abschnitt des ersten Kontakts überlappt, der sich auf der zweiten Ebene befindet.
  14. Integrierter Schaltkreis nach Anspruch 13, der des Weiteren Folgendes umfasst: eine zweite isolierende Schicht, die sich in der zweiten Richtung erstreckt und sich zwischen dem zweiten Kontakt und dem Abschnitt des ersten Kontakts befindet; und eine dritte Durchkontaktierung zwischen dem zweiten Kontakt und der ersten leitfähigen Struktur, wobei die dritte Durchkontaktierung den zweiten Kontakt mit der ersten leitfähigen Struktur koppelt.
  15. Integrierter Schaltkreis nach Anspruch 14, der des Weiteren Folgendes umfasst: eine dritte aktive Region in dem Substrat, die sich in der ersten Richtung erstreckt, sich auf der vierten Ebene befindet, und von der ersten aktiven Region und der zweiten aktiven Region in der zweiten Richtung getrennt ist; eine vierte aktive Region in dem Substrat, die sich in der ersten Richtung erstreckt, sich auf der vierten Ebene befindet, und von der dritten aktiven Region in der zweiten Richtung getrennt ist; einen dritten Kontakt, der mit der dritten aktiven Region gekoppelt ist, sich in der zweiten Richtung erstreckt, sich auf der ersten Ebene befindet, und die dritte aktive Region überlappt, und von dem ersten Kontakt in der zweiten Richtung getrennt ist; und einen vierten Kontakt, der mit der vierten aktiven Region gekoppelt ist, sich in der zweiten Richtung erstreckt, sich auf der ersten Ebene befindet, und die vierte aktive Region überlappt, und von dem ersten Kontakt und dem vierten Kontakt in der zweiten Richtung getrennt ist; wobei der zweite Kontakt des Weiteren den dritten Kontakt und den vierten Kontakt überlappt, und elektrisch mit dem dritten Kontakt und dem vierten Kontakt gekoppelt ist.
  16. Integrierter Schaltkreis nach Anspruch 15, der des Weiteren Folgendes umfasst: ein viertes Gate, das sich in der ersten Richtung erstreckt, sich auf der ersten Ebene befindet, und von dem ersten Gate und dem zweiten Gate in der ersten Richtung getrennt ist; eine zweite leitfähige Struktur, die sich in der ersten Richtung erstreckt, von der ersten leitfähigen Struktur in der zweiten Richtung getrennt ist, sich auf der dritten Ebene befindet, und das vierte Gate und den zweiten Kontakt überlappt; eine vierte Durchkontaktierung zwischen dem zweiten Kontakt und der zweiten leitfähigen Struktur, wobei die vierte Durchkontaktierung den zweiten Kontakt mit der zweiten leitfähigen Struktur koppelt; und eine fünfte Durchkontaktierung zwischen dem vierten Gate und der zweiten leitfähigen Struktur, wobei die fünfte Durchkontaktierung das vierte Gate mit der zweiten leitfähigen Struktur koppelt.
  17. Verfahren zum Bilden eines integrierten Schaltkreises, wobei das Verfahren Folgendes umfasst: Generieren, durch einen Prozessor, eines Layout-Designs des integrierten Schaltkreises, wobei das Generieren des Layout-Designs umfasst: Generieren einer ersten Aktivregion-Layout-Struktur, was dem Herstellen einer ersten aktiven Region des integrierten Schaltkreises entspricht, wobei sich die erste Aktivregion-Layout-Struktur in einer ersten Richtung erstreckt und sich auf einer ersten Ebene befindet; Generieren einer zweiten Aktivregion-Layout-Struktur, was dem Herstellen einer zweiten aktiven Region des integrierten Schaltkreises entspricht, wobei sich die zweite Aktivregion-Layout-Struktur in der ersten Richtung erstreckt, sich auf der ersten Ebene befindet, und von der ersten Aktivregion-Layout-Struktur in einer zweiten Richtung getrennt ist, die von der ersten Richtung verschieden ist; Generieren einer ersten Kontakt-Layout-Struktur, was dem Herstellen eines ersten Kontakts entspricht, wobei sich die erste Kontakt-Layout-Struktur in der zweiten Richtung erstreckt, die erste Aktivregion-Layout-Struktur überlappt, und sich auf einer zweiten Ebene befindet, die von der ersten Ebene verschieden ist, wobei der erste Kontakt elektrisch mit der ersten aktiven Region gekoppelt ist; Generieren einer zweiten Kontakts-Layout-Struktur, was dem Herstellen eines zweiten Kontakts entspricht, wobei sich die zweite Kontakt-Layout-Struktur in der zweiten Richtung erstreckt, die zweite Aktivregion-Layout-Struktur überlappt, und sich auf der zweiten Ebene befindet und von der ersten Kontakt-Layout-Struktur in der zweiten Richtung getrennt ist, wobei der zweite Kontakt elektrisch mit der zweiten aktiven Region gekoppelt ist; und Generieren einer dritten Kontakt-Layout-Struktur, was dem Herstellen eines dritten Kontakts entspricht, wobei sich die dritte Kontakt-Layout-Struktur in der zweiten Richtung erstreckt, die zweite Aktivregion-Layout-Struktur überlappt, sich auf einer dritten Ebene befindet, die von der ersten Ebene und der zweiten Ebene verschieden ist, und die erste Aktivregion-Layout-Struktur und die zweite Aktivregion-Layout-Struktur überlappt, wobei der dritte Kontakt mit mindestens dem ersten Kontakt gekoppelt ist; und Herstellen des integrierten Schaltkreises auf der Basis des Layout-Designs.
  18. Verfahren nach Anspruch 17, wobei das Generieren des Layout-Designs umfasst: Generieren einer ersten Isolierschicht-Layout-Struktur, was dem Herstellen einer ersten Isolierschicht entspricht, wobei sich die erste Isolierschicht-Layout-Struktur in der zweiten Richtung erstreckt und sich zwischen der zweiten Kontakt-Layout-Struktur und der dritten Kontakt-Layout-Struktur befindet, wobei die erste Isolierschicht dafür konfiguriert ist, den dritten Kontakt elektrisch von dem zweiten Kontakt zu isolieren.
  19. Verfahren nach Anspruch 17 oder 18, wobei das Generieren des Layout-Designs umfasst: Generieren einer ersten Gate-Layout-Struktur, was dem Herstellen eines ersten Gates entspricht, wobei sich die erste Gate-Layout-Struktur in der ersten Richtung erstreckt und sich auf der zweiten Ebene befindet; Generieren einer zweiten Gate-Layout-Struktur, was dem Herstellen eines zweiten Gates entspricht, wobei sich die zweite Gate-Layout-Struktur in der ersten Richtung erstreckt, sich auf der zweiten Ebene befindet und von der ersten Gate-Layout-Struktur in der zweiten Richtung getrennt ist; und Generieren einer dritten Gate-Layout-Struktur, was dem Herstellen eines ersten Gate-Abschnitts entspricht, wobei sich die dritte Gate-Layout-Struktur in der zweiten Richtung erstreckt, die erste Gate-Layout-Struktur und die zweite Gate-Layout-Struktur überlappt, sich auf der dritten Ebene befindet, und von der dritten Kontakt-Layout-Struktur in der ersten Richtung getrennt ist, wobei der erste Gate-Abschnitt mit mindestens dem ersten Gate gekoppelt ist.
  20. Verfahren nach einem der vorangehenden Ansprüche 17 bis 19, wobei das Generieren des Layout-Designs umfasst: Generieren einer zweiten Isolierschicht-Layout-Struktur, was dem Herstellen einer zweiten isolierenden Schicht entspricht, wobei sich die zweite Isolierschicht-Layout-Struktur in der zweiten Richtung erstreckt und sich zwischen der dritten Gate-Layout-Struktur und der zweiten Gate-Layout-Struktur befindet, wobei die zweite Isolierschicht dafür konfiguriert ist, den ersten Gate-Abschnitt elektrisch von dem zweiten Gate zu isolieren; Generieren einer ersten Leitfähige-Struktur-Layout-Struktur, was dem Herstellen einer ersten leitfähigen Struktur entspricht, wobei sich die erste Leitfähige-Struktur-Layout-Struktur in der ersten Richtung erstreckt, sich auf einer vierten Ebene befindet, die von der ersten Ebene, der zweiten Ebene und der dritten Ebene verschieden ist, und die dritte Kontakt-Layout-Struktur und die dritte Gate-Layout-Struktur überlappt; Generieren einer ersten Durchkontaktierungs-Layout-Struktur, die einer ersten Durchkontaktierung entspricht, wobei sich die erste Durchkontaktierungs-Layout-Struktur zwischen der ersten Leitfähige-Struktur-Layout-Struktur und der dritten Kontakt-Layout-Struktur befindet, wobei die erste Durchkontaktierung den dritten Kontakt mit der ersten leitfähigen Struktur koppelt; und Generieren einer zweiten Durchkontaktierungs-Layout-Struktur, die einer zweiten Durchkontaktierung entspricht, wobei sich die zweite Durchkontaktierungs-Layout-Struktur zwischen der ersten Leitfähige-Struktur-Layout-Struktur und der dritten Gate-Layout-Struktur befindet, wobei die zweite Durchkontaktierung den ersten Gate-Abschnitt mit der ersten leitfähigen Struktur koppelt.
DE102019118660.9A 2018-07-16 2019-07-10 Integrierter Schaltkreis und Verfahren zu seiner Herstellung Pending DE102019118660A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862698762P 2018-07-16 2018-07-16
US62/698,762 2018-07-16
US16/506,728 2019-07-09
US16/506,728 US10784869B2 (en) 2018-07-16 2019-07-09 Integrated circuit and method of manufacturing the same

Publications (1)

Publication Number Publication Date
DE102019118660A1 true DE102019118660A1 (de) 2020-01-16

Family

ID=69139279

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102019118660.9A Pending DE102019118660A1 (de) 2018-07-16 2019-07-10 Integrierter Schaltkreis und Verfahren zu seiner Herstellung

Country Status (5)

Country Link
US (2) US10784869B2 (de)
KR (1) KR102390710B1 (de)
CN (1) CN110729289B (de)
DE (1) DE102019118660A1 (de)
TW (1) TWI707443B (de)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11048849B2 (en) 2018-10-31 2021-06-29 Taiwan Semiconductor Manufacturing Company Ltd. Integrated circuit and method of manufacturing the same
US11309247B2 (en) 2019-10-31 2022-04-19 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device, and associated method and system
US11923369B2 (en) 2020-04-30 2024-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit, system and method of forming the same
US11637069B2 (en) 2020-08-31 2023-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with V2V rail and methods of making same
US11444073B2 (en) 2020-10-27 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Power distribution network

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7260448B2 (en) 2003-10-08 2007-08-21 Kawasaki Jukogyo Kabushiki Kaisha Substrate holding device
US9256709B2 (en) 2014-02-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit mask patterning

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7260442B2 (en) 2004-03-03 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for mask fabrication process control
US8976573B2 (en) * 2012-04-13 2015-03-10 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for SRAM cells
US8850366B2 (en) 2012-08-01 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making a mask by forming a phase bar in an integrated circuit design layout
US9465906B2 (en) 2014-04-01 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for integrated circuit manufacturing
US9251888B1 (en) * 2014-09-15 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM cells with vertical gate-all-round MOSFETs
US9691750B2 (en) * 2015-01-30 2017-06-27 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and layout method thereof
US9977854B2 (en) * 2016-07-12 2018-05-22 Ati Technologies Ulc Integrated circuit implementing standard cells with metal layer segments extending out of cell boundary
US10380315B2 (en) * 2016-09-15 2019-08-13 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit and method of forming an integrated circuit
US10740531B2 (en) 2016-11-29 2020-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit, system for and method of forming an integrated circuit
KR102633141B1 (ko) * 2016-12-07 2024-02-02 삼성전자주식회사 집적회로 소자

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7260448B2 (en) 2003-10-08 2007-08-21 Kawasaki Jukogyo Kabushiki Kaisha Substrate holding device
US9256709B2 (en) 2014-02-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit mask patterning

Also Published As

Publication number Publication date
CN110729289B (zh) 2022-03-29
US11159164B2 (en) 2021-10-26
TWI707443B (zh) 2020-10-11
US20210083668A1 (en) 2021-03-18
CN110729289A (zh) 2020-01-24
KR20200008514A (ko) 2020-01-28
TW202006915A (zh) 2020-02-01
KR102390710B1 (ko) 2022-04-27
US20200021292A1 (en) 2020-01-16
US10784869B2 (en) 2020-09-22

Similar Documents

Publication Publication Date Title
DE102019118660A1 (de) Integrierter Schaltkreis und Verfahren zu seiner Herstellung
DE102019117778A1 (de) Speicherzellenschaltung und verfahren zu derer herstellung
DE102019129048A1 (de) Halbleitervorrichtung mit füllerzellregion, verfahren zur erzeugung eines layoutdiagramms und system für dafür
DE102019116952B4 (de) Integrierte-schaltkreis-struktur, layout-schaubild-verfahren und system
DE102019128571B4 (de) Verfahren zum erzeugen eines layoutdiagramms mit zelle mit darauf basierenden stiftmustern und halbleitervorrichtung
DE102017118336A1 (de) Standardzellen-layout, halbleiter-bauelement mit technische-änderungsanweisungs(eco)-zellen und verfahren
DE102019121157A1 (de) Transfer-gate-struktur, layout, verfahren und system
DE102021110414A1 (de) Vier-cpp-breite speicherzelle mit vergrabenem leistungsgitter und verfahren zu deren herstellung
DE102021110387A1 (de) Integrierte schaltungsanlage und verfahren
DE102019123621A1 (de) Routungsressourcenverbesserndes verfahren zum generieren von layout-diagrammen, und system dafür
DE102020119415A1 (de) Integrierte schaltung mit rückseitiger stromschiene und rückseitigem interconnect
DE102020119280A1 (de) Platzbedarf für multi-bit-flip-flop
DE102020106252A1 (de) Integrierte schaltung
DE102020115617B4 (de) Halbleitervorrichtung, integrierte Schaltung und darauf basierendes System
DE102020109522A1 (de) Integrierter schaltkreis
DE102023100725A1 (de) Ic-logikbauteil, layout, system und verfahren
DE102019125461A1 (de) Halbleiterstruktur, vorrichtung und verfahren
DE102021105450B4 (de) Integrierte Schaltungsvorrichtung, System und Verfahren
DE102021111423B4 (de) Halbleitervorrichtung und Verfahren zu ihrer Herstellung
DE102021100870B4 (de) Hybridschicht-layout, -verfahren, -system und -struktur
DE102021108836A1 (de) Halbleiterbauelement mit v2v-schiene und herstellungsverfahren davon
DE102020114939B4 (de) Gate-strukturen für halbleitervorrichtungen
DE102021106180A1 (de) Integrierter schaltkreis, system, und verfahren zu seiner herstellung
DE102021100627A1 (de) Integrierte schaltungsvorrichtung und verfahren
DE102020129832B4 (de) Leistungsverteilungsnetzwerk

Legal Events

Date Code Title Description
R012 Request for examination validly filed