DE102021106180A1 - Integrierter schaltkreis, system, und verfahren zu seiner herstellung - Google Patents

Integrierter schaltkreis, system, und verfahren zu seiner herstellung Download PDF

Info

Publication number
DE102021106180A1
DE102021106180A1 DE102021106180.6A DE102021106180A DE102021106180A1 DE 102021106180 A1 DE102021106180 A1 DE 102021106180A1 DE 102021106180 A DE102021106180 A DE 102021106180A DE 102021106180 A1 DE102021106180 A1 DE 102021106180A1
Authority
DE
Germany
Prior art keywords
integrated circuit
gate
structures
level
contact
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102021106180.6A
Other languages
English (en)
Inventor
Guo-Huei Wu
Pochun Wang
Wei-Hsin TSAI
Chih-Liang Chen
Li-Chun Tien
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/195,868 external-priority patent/US11569168B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102021106180A1 publication Critical patent/DE102021106180A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

Ein integrierter Schaltkreis umfasst eine erste Stromschiene, eine zweite Stromschiene, eine Signalleitung und eine erste aktive Region eines ersten Satzes von Transistoren. Die erste Stromschiene befindet sich auf einer Rückseite eines Substrats und erstreckt sich in einer ersten Richtung. Die zweite Stromschiene befindet sich auf der Rückseite des Substrats, erstreckt sich in der ersten Richtung und ist von der ersten Stromschiene in einer zweiten, von der ersten Richtung verschiedenen Richtung getrennt. Die Signalleitung befindet sich auf der Rückseite des Substrats, erstreckt sich in der ersten Richtung und befindet sich zwischen der ersten Stromschiene und der zweiten Stromschiene. Die erste aktive Region des ersten Satzes von Transistoren erstreckt sich in der ersten Richtung und befindet sich auf einer ersten Ebene einer Vorderseite des Substrats entgegengesetzt zu der Rückseite.

Description

  • PRIORITÄTSANSPRUCH
  • Diese Anmeldung beansprucht die Priorität der am 14. Mai 2020 eingereichten vorläufigen US-Anmeldung Nr. 63/024,972 , die hiermit durch Bezugnahme in vollem Umfang in den vorliegenden Text aufgenommen wird.
  • HINTERGRUND
  • Der jüngste Trend zur Miniaturisierung integrierter Schaltkreise (ICs) hat zu kleineren Vorrichtungen geführt, die weniger Strom verbrauchen und dennoch mehr Funktionalität bei höheren Geschwindigkeiten bieten. Der Miniaturisierungsprozess hat auch zu strengeren Design- und Herstellungsspezifikationen sowie zu Herausforderungen hinsichtlich der Zuverlässigkeit geführt. Verschiedene Electronic Design Automation-Tools (EDA-Tools) generieren, optimieren und verifizieren Standardzellenlayout-Designs für integrierte Schaltkreise und stellen dabei sicher, dass das Standardzellenlayout-Design und die Herstellungsspezifikationen eingehalten werden.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung werden am besten anhand der folgenden detaillierten Beschreibung verstanden, wenn sie zusammen mit den begleitenden Figuren gelesen wird. Es ist anzumerken, dass gemäß der gängigen Praxis in der Industrie verschiedene Elemente nicht maßstabsgetreu gezeichnet sind. Die Abmessungen der verschiedenen Elemente können vielmehr im Interesse der Übersichtlichkeit der Besprechung nach Bedarf vergrößert oder verkleinert werden.
    • 1A-1D sind Schaubilder eines Layout-Designs eines integrierten Schaltkreises gemäß einigen Ausführungsformen.
    • 2A-2B sind Schaubilder eines integrierten Schaltkreises gemäß einigen Ausführungsformen.
    • 3A-3B sind Schaubilder eines Layout-Designs eines integrierten Schaltkreises gemäß einigen Ausführungsformen.
    • 4A-4B sind Schaubilder eines integrierten Schaltkreises gemäß einigen Ausführungsformen.
    • 5A ist ein Schaubild eines Layout-Designs gemäß verschiedenen Ausführungsformen der vorliegenden Offenlegung.
    • 5B ist eine Draufsicht auf einen integrierten Schaltkreis gemäß einigen Ausführungsformen.
    • 5C ist ein Schaubild eines Layout-Designs gemäß verschiedenen Ausführungsformen der vorliegenden Offenlegung.
    • 5D ist eine Draufsicht auf einen integrierten Schaltkreis gemäß einigen Ausführungsformen.
    • 6 ist ein Schaltplan eines integrierten Schaltkreises gemäß einigen Ausführungsformen.
    • 7A-7B sind Schaubilder eines integrierten Schaltkreises gemäß einigen Ausführungsformen.
    • 8A-8B sind Schaubilder eines integrierten Schaltkreises gemäß einigen Ausführungsformen.
    • 9A-9B sind Schaubilder eines integrierten Schaltkreises gemäß einigen Ausführungsformen.
    • 10 ist ein Schaltplan eines integrierten Schaltkreises gemäß einigen Ausführungsformen.
    • 11A-11B sind Schaubilder eines integrierten Schaltkreises gemäß einigen Ausführungsformen.
    • 12 ist ein Schaltplan eines integrierten Schaltkreises gemäß einigen Ausführungsformen.
    • 13A-13B sind Schaubilder eines integrierten Schaltkreises gemäß einigen Ausführungsformen.
    • 14A-14B sind Schaubilder eines integrierten Schaltkreises gemäß einigen Ausführungsformen.
    • 15 ist ein Schaltplan eines integrierten Schaltkreises gemäß einigen Ausführungsformen.
    • 16A-16B sind Schaubilder eines integrierten Schaltkreises gemäß einigen Ausführungsformen.
    • 17 ist ein Flussdiagramm eines Verfahrens zum Bilden oder Herstellen eines integrierten Schaltkreises gemäß einigen Ausführungsformen.
    • 18 ist ein Flussdiagramm eines Verfahrens zum Generieren eines Layout-Designs eines integrierten Schaltkreises gemäß einigen Ausführungsformen.
    • 19 ist ein Funktionsflussdiagramm eines Verfahrens zum Herstellen einer IC-Vorrichtung gemäß einigen Ausführungsformen.
    • 20 ist eine schematische Ansicht eines Systems zum Entwerfen eines IC-Layout-Designs und zum Herstellen eines IC-Schaltkreises gemäß einigen Ausführungsformen.
    • 21 ist ein Blockschaubild eines Herstellungssystems für integrierte Schaltkreise (ICs) und eines damit verbundenen IC-Herstellungsflusses gemäß mindestens einer Ausführungsform der vorliegenden Offenbarung.
  • DETAILLIERTE BESCHREIBUNG
  • Die folgende Offenbarung stellt verschiedene Ausführungsformen oder Beispiele zum Implementieren von Merkmalen des hier besprochenen Gegenstandes bereit. Im Folgenden werden konkrete Beispiele für Komponenten, Materialien, Werte, Schritte, Anordnungen oder dergleichen beschrieben, um die vorliegende Offenlegung zu vereinfachen. Diese sind natürlich nur Beispiele und sind nicht einschränkend. Andere Komponenten, Materialien, Werte, Schritte, Anordnungen oder dergleichen werden ebenfalls in Betracht gezogen. Zum Beispiel kann das Bilden eines ersten Elements über oder auf einem zweiten Element in der folgenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt gebildet sind, und kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element gebildet sein können, so dass das erste und das zweite Element nicht unbedingt in direktem Kontakt stehen. Darüber hinaus kann die vorliegende Offenbarung Bezugszahlen und/oder - buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Einfachheit und Klarheit und schafft nicht automatisch eine Beziehung zwischen den verschiedenen besprochenen Ausführungsformen und/oder Konfigurationen.
  • Des Weiteren können räumlich relative Begriffe, wie zum Beispiel „unterhalb“, „unter“, „unterer“, „oberhalb“, „oberer“ und dergleichen, im vorliegenden Text zur Vereinfachung der Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem oder mehreren anderen Elementen oder Merkmalen, wie in den Figuren veranschaulicht, zu beschreiben. Die räumlich relativen Begriffe sollen auch andere Ausrichtungen der Vorrichtung im Gebrauch oder Betrieb neben der in den Figuren gezeigten Ausrichtung umfassen. Die Vorrichtung kann auch anders ausgerichtet sein (um 90 Grad gedreht, oder sonstige Ausrichtungen), und die im vorliegenden Text verwendeten räumlich relativen Deskriptoren können gleichermaßen entsprechend interpretiert werden.
  • Gemäß einigen Ausführungsformen umfasst ein integrierter Schaltkreis eine erste Stromschiene, eine zweite Stromschiene, eine Signalleitung, ein erstes Gate und ein zweites Gate. In einigen Ausführungsformen umfasst der integrierte Schaltkreis des Weiteren einen ersten Kontakt und einen zweiten Kontakt eines ersten Satzes von Transistoren.
  • In einigen Ausführungsformen befindet sich die erste Stromschiene auf einer Rückseite eines Substrats oder eines Wafers und erstreckt sich in einer ersten Richtung. In einigen Ausführungsformen befindet sich die zweite Stromschiene auf der Rückseite des Substrats, erstreckt sich in der ersten Richtung und ist von der ersten Stromschiene in einer zweiten Richtung, die sich von der ersten Richtung unterscheidet, getrennt. In einigen Ausführungsformen befindet sich die Signalleitung auf der Rückseite des Substrats, erstreckt sich in der ersten Richtung und befindet sich zwischen der ersten Stromschiene und der zweiten Stromschiene.
  • In einigen Ausführungsformen erstrecken sich das erste Gate und das zweite Gate in der zweiten Richtung, überlappen mindestens die Signalleitung und befinden sich auf einer ersten Ebene einer Vorderseite des Substrats gegenüber der Rückseite.
  • In einigen Ausführungsformen koppelt die Signalleitung das erste Gate elektrisch mit dem zweiten Gate. In einigen Ausführungsformen koppelt die Signalleitung den ersten Kontakt elektrisch mit dem zweiten Kontakt.
  • In einigen Ausführungsformen wird durch das elektrische Koppeln des ersten Gates und des zweiten Gates oder durch das elektrische Koppeln des ersten Kontakts und des zweiten Kontakts durch die Signalleitung mindestens eine obere Metallschichtbahn in dem integrierten Schaltkreis der vorliegenden Offenbarung reduziert, was im Vergleich zu anderen Ansätzen zu einem integrierten Schaltkreis mit besserem Stromwiderstands (IR)-, Elektromigrations (EM)- und Widerstandskapazitäts (RC)-Metallverhalten führt.
  • In einigen Ausführungsformen wird durch Positionieren der ersten Stromschiene, der zweiten Stromschiene und der Signalleitung auf der Rückseite des Substrats eine Gate-Dichte des integrierten Schaltkreises der vorliegenden Offenbarung im Vergleich zu anderen Ansätzen erhöht. In einigen Ausführungsformen bietet der integrierte Schaltkreis der vorliegenden Offenbarung durch Positionieren der ersten Stromschiene, der zweiten Stromschiene und der Signalleitung auf der Rückseite des Substrats mehr Routungsflexibilität und erhöht die Routungsressourcen im Vergleich zu anderen Ansätzen.
  • 1A-1D sind Schaubilder eines Layout-Designs 100 eines integrierten Schaltkreises gemäß einigen Ausführungsformen. Das Layout-Design 100 ist ein Layout-Schaubild des integrierten Schaltkreises 200 der 2A-2B.
  • 1A-1C sind Schaubilder eines entsprechenden Abschnitts 100A-100C des Layout-Designs 100 von 1D und wurden im Interesse einer besseren Übersichtlichkeit vereinfacht. 1D ist ein Schaubild des Layout-Designs 100 und enthält die Abschnitte 100A, 100B und 100C und wurde im Interesse einer besseren Übersichtlichkeit vereinfacht.
  • Im Interesse einer besseren Übersichtlichkeit sind einige der beschrifteten Elemente der 1A-1D in den 1A-1D nicht beschriftet. In einigen Ausführungsformen enthält das Layout-Design 100 zusätzliche Elemente, die in den 1A-1D nicht gezeigt sind.
  • Abschnitt 100A umfasst ein oder mehrere Merkmale des Layout-Designs 100 von 1D der Buried-Power-Ebene (BP-Ebene) des Layout-Designs 100. Abschnitt 100B umfasst ein oder mehrere Merkmale des Layout-Designs 100 von 1D der Oxiddiffusions-Ebene (OD-Ebene), der Gate-Ebene (POLY-Ebene), der Metal-over-Diffusion-Ebene (MD-Ebene), der Via-Buried-Power-Ebene (VBP-Ebene) und der Via-Buried-Signal-Ebene (VBS-Ebene) des Layout-Designs 100. Abschnitt 100C umfasst ein oder mehrere Merkmale des Layout-Designs 100 von 1D der Metall o-Ebene (Mo-Ebene) des Layout-Designs 100.
  • Das Layout-Design 100 kann für die Herstellung des integrierten Schaltkreises 200 der 2A-2B verwendet werden.
  • Das Layout-Design 100 hat eine Zellengrenze 101a und eine Zellengrenze 101b, die sich in einer ersten Richtung X erstrecken. Das Layout-Design 100 hat eine Höhe (nicht beschriftet) in einer zweiten Richtung Y von der Zellengrenze 101b zur Zellengrenze 101a. In einigen Ausführungsformen unterscheidet sich die zweite Richtung Y von der ersten Richtung X. In einigen Ausführungsformen grenzt das Layout-Design 100 entlang der Zellengrenzen 101a und 101b an andere Zellenlayout-Designs (nicht dargestellt).
  • Das Layout-Design 100 enthält eine oder mehrere Stromschienenlayout-Strukturen 102a oder 102b, die sich in der ersten Richtung X erstrecken und sich auf einer ersten Layout-Ebene befinden. Ausführungsformen der vorliegenden Offenbarung verwenden den Begriff „Layout-Strukturen“, der im folgenden übrigen Teil der vorliegenden Offenbarung der Kürze halber auch als „Strukturen“ bezeichnet wird.
  • Die eine oder die mehreren Stromschienenstrukturen 102a oder 102b werden gemeinsam als ein „Satz von Stromschienenstrukturen 102“ bezeichnet. In einigen Ausführungsformen entspricht die erste Layout-Ebene einer Buried-Power-Ebene (BP-Ebene) oder einer Buried-Signal-Ebene (BS-Ebene) eines oder mehrerer der Layout-Designs 100 oder 300 (1A-1D oder 3A-3B), des integrierten Schaltkreises 200 400 (2A-2B oder 4A-4B), oder des Layouts 500A-500B, 700, 800, 900, 1100, 1300, 1400 oder 1600 (5A-5B, 7A-7B, 8A-8B, 9A-9B, 11A-11B, 13A-13B, 14A-14B oder 16A-16B).
  • Der Satz von Stromschienenstrukturen 102 kann zum Herstellen eines entsprechenden Satzes von Stromschienen 202 des integrierten Schaltkreises 200 oder 400 verwendet werden (2A-2B oder 4A-4B). In einigen Ausführungsformen befindet sich der Satz von Stromschienen 202 auf einer Rückseite 220a des integrierten Schaltkreises 200 oder 400. In einigen Ausführungsformen können die Stromschienenstrukturen 102a, 102b, 102c des Satzes von Stromschienenstrukturen 102 zum Herstellen entsprechender Stromschienen 202a, 202b, 202c des Satzes von Stromschienen 202 (2A-2B) des integrierten Schaltkreises 200 oder 400 verwendet werden.
  • In einigen Ausführungsformen sind die Stromschienenstrukturen 102a und 102b des Satzes von Stromschienenstrukturen 102 entlang entsprechender Zellengrenzen 101a und 101b des Layout-Designs 100 angeordnet. Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Anzahlen von Strukturen in dem Satz von Stromschienenstrukturen 102 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Das Layout-Design 100 enthält des Weiteren eine oder mehrere Signalleitungsstrukturen 103a (zusammen als ein „Satz von Signalleitungsstrukturen 103“ bezeichnet), die sich in der ersten Richtung X erstrecken und auf der ersten Layout-Ebene angeordnet sind. In einigen Ausführungsformen weist der Satz von Signalleitungsstrukturen 103 mehr als eine Signalleitungsstruktur auf (zum Beispiel 14B). In einigen Ausführungsformen befindet sich der Satz von Signalleitungsstrukturen auf der BS-Ebene.
  • Der Satz von Signalleitungsstrukturen 103 kann zum Herstellen eines entsprechenden Satzes von Signalleitungen 203 des integrierten Schaltkreises 200 oder 400 verwendet werden (2A-2B oder 4A-4B). In einigen Ausführungsformen befindet sich der Satz von Signalleitungen 203 auf einer Rückseite 220a des integrierten Schaltkreises 200 oder 400. In einigen Ausführungsformen kann die Signalleitungsstruktur 103a zum Herstellen einer entsprechenden Signalleitung 203a des Satzes von Signalleitungen 203 (2A-2B) des integrierten Schaltkreises 200 oder 400 verwendet werden.
  • In einigen Ausführungsformen befindet sich die Signalleitungsstruktur 103a zwischen den Stromschienenstrukturen 102a und 102b. In einigen Ausführungsformen ist die Signalleitungsstruktur 103a des Satzes von Signalleitungsstrukturen 103 entlang eines Mittelpunktes 101c des Layout-Designs 100 in der ersten Richtung X angeordnet.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Anzahlen von Strukturen in dem Satz von Signalleitungsstrukturen 103 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Das Layout-Design 100 enthält des Weiteren eine oder mehrere Aktivregionsstrukturen 104a oder 104b (zusammen als ein „Satz von Aktivregionsstrukturen 104“ bezeichnet), die sich in der ersten Richtung X erstrecken. Die Aktivregionsstrukturen 104a, 104b des Satzes von Aktivregionsstrukturen 104 sind in der zweiten Richtung Y voneinander getrennt. Der Satz von Aktivregionsstrukturen 104 kann zum Herstellen eines entsprechenden Satzes aktiver Regionen 204 ( 2A-2B) des integrierten Schaltkreises 200 verwendet werden. In einigen Ausführungsformen befindet sich der Satz aktiver Regionen 204 auf der Vorderseite des integrierten Schaltkreises 200 oder 400. In einigen Ausführungsformen können die Aktivregionsstrukturen 104a, 104b des Satzes von Aktivregionsstrukturen 104 zum Herstellen entsprechender aktiver Regionen 204a, 204b des Satzes aktiver Regionen 204 (2A-2B oder 4A-4B) des integrierten Schaltkreises 200 oder 400 verwendet werden.
  • In einigen Ausführungsformen wird der Satz von Aktivregionsstrukturen 104 als eine Oxiddiffusionsregion (OD-Region) bezeichnet, welche die Source- oder Drain-Diffusionsregionen von mindestens dem integrierten Schaltkreis 200, 400, 600, 1000, 1200 oder 1500 (2A-2B, 4A-4B, 6, 10, 12 oder 15) oder dem Layout 500A-500B, 700, 800, 900, 1100, 1300, 1400 oder 1600 (5A-5B, 7A-7B, 8A-8B, 9A-9B, 11A-11B, 13A-13B, 14A-14B oder 16A-16B) definiert.
  • In einigen Ausführungsformen kann eine der Aktivregionsstrukturen 104a und 104b des Satzes von Aktivregionsstrukturen 104 zum Herstellen von Source- und Drain-Regionen von NMOS-Transistoren der integrierten Schaltkreise 600, 1000, 1200 und 1500 verwendet werden, und die andere der Aktivregionsstrukturen 104b und 104a des Satzes von Aktivregionsstrukturen 104 kann zum Herstellen von Source- und Drain-Regionen von PMOS-Transistoren der integrierten Schaltkreise 600, 1000, 1200 und 1500 verwendet werden.
  • In einigen Ausführungsformen befindet sich der Satz von Aktivregionsstrukturen 104 auf einer zweiten Layout-Ebene. In einigen Ausführungsformen befindet sich die zweite Layout-Ebene oberhalb der ersten Layout-Ebene. In einigen Ausführungsformen entspricht die zweite Layout-Ebene einer aktiven Ebene oder einer OD-Ebene eines oder mehrerer der Layout-Designs 100 oder 300 (1A-1D oder 3A-3B), des integrierten Schaltkreises 200 oder 400 ( 2A-2B oder 4A-4B), oder des Layouts 500A-500B, 700, 800, 900, 1100, 1300, 1400 oder 1600 (5A-5B, 7A-7B, 8A-8B, 9A-9B, 11A-11B, 13A-13B, 14A-14B oder 16A-16B). In einigen Ausführungsformen befindet sich die BP- oder BS-Ebene unterhalb der OD-Ebene.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Anzahlen von Strukturen in dem Satz von Aktivregionsstrukturen 104 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Das Layout-Design 100 enthält des Weiteren eine oder mehrere Kontaktstrukturen 106a, 106b, 106c oder 106d (gemeinsam als ein „Satz von Kontaktstrukturen 106“ bezeichnet), die sich in der zweiten Richtung Y erstrecken. Jede der Kontaktstrukturen des Satzes von Kontaktstrukturen 106 ist von einer benachbarten Kontaktstruktur des Satzes von Kontaktstrukturen 106 in der ersten Richtung X getrennt. Der Satz von Kontaktstrukturen 106 kann zum Herstellen eines entsprechenden Satzes von Kontakten 206 (2A-2B) des integrierten Schaltkreises 200 verwendet werden. In einigen Ausführungsformen kann die Kontaktstruktur 106a, 106b, 106c oder 106d des Satzes von Kontaktstrukturen 106 zum Herstellen eines entsprechenden Kontakts 206a, 206b, 206c oder 206d des Satzes von Kontaktstrukturen 206 verwendet werden. In einigen Ausführungsformen wird der Satz von Kontaktstrukturen 106 auch als ein Satz von Metal-over-Diffusion-Strukturen (MD-Strukturen) bezeichnet.
  • In einigen Ausführungsformen kann mindestens eine der Kontaktstrukturen 106a und 106b des Satzes von Kontaktstrukturen 106 zum Herstellen von Source- und Drain-Anschlüssen eines von NMOS- und PMOS-Transistoren der integrierten Schaltkreise 600, 1000, 1200 und 1500 verwendet werden, und mindestens eine der Kontaktstrukturen 106c und 106d des Satzes von Kontaktstrukturen 106 kann zum Herstellen von Source- und Drain-Anschlüssen des anderen von PMOS- und NMOS-Transistoren der integrierten Schaltkreise 600, 1000, 1200 und 1500 verwendet werden.
  • In einigen Ausführungsformen überlappt der Satz von Kontaktstrukturen 106 den Satz von Aktivregionsstrukturen 104. In einigen Ausführungsformen befindet sich mindestens die Kontaktstruktur 106a oder 106b über der Aktivregionsstruktur 104a. In einigen Ausführungsformen befindet sich mindestens die Kontaktstruktur 106c oder 106d über der Aktivregionsstruktur 104b.
  • Der Satz von Kontaktstrukturen befindet sich in einer dritten Layout-Ebene. In einigen Ausführungsformen unterscheidet sich die dritte Layout-Ebene von der ersten Layout-Ebene und der zweiten Layout-Ebene. In einigen Ausführungsformen befindet sich die dritte Layout-Ebene über der ersten Layout-Ebene und der zweiten Layout-Ebene. In einigen Ausführungsformen befindet sich ein erster Abschnitt der dritten Layout-Ebene oberhalb der ersten Layout-Ebene und der zweiten Layout-Ebene, und ein zweiter Abschnitt der dritten Layout-Ebene befindet sich unterhalb der ersten Layout-Ebene und der zweiten Layout-Ebene. In einigen Ausführungsformen entspricht die dritte Layout-Ebene der Kontaktebene oder der MD-Ebene eines oder mehrerer der Layout-Designs 100 oder 300 (1A-1D oder 3A-3B), des integrierten Schaltkreises 200 oder 400 (2A-2B oder 4A-4B), oder des Layouts 500A-500B, 700, 800, 900, 1100, 1300, 1400 oder 1600 (5A-5B, 7A-7B, 8A-8B, 9A-9B, 11A-11B, 13A-13B, 14A-14B oder 16A-16B).
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Anzahlen von Strukturen in dem Satz von Kontaktstrukturen 106 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Das Layout-Design 100 enthält außerdem eine oder mehrere Durchkontaktierungsstrukturen 108a oder 108b (zusammen als ein „Satz von Durchkontaktierungsstrukturen 108“ bezeichnet). Der Satz von Durchkontaktierungsstrukturen 108 kann zum Herstellen eines entsprechenden Satzes von Durchkontaktierungen 208 verwendet werden (2A-2B). In einigen Ausführungsformen können die Durchkontaktierungsstrukturen 108a, 108b des Satzes von Durchkontaktierungsstrukturen 108 zum Herstellen entsprechender Durchkontaktierungen 208a, 208b des Satzes von Durchkontaktierungen 208 (2A-2B) des integrierten Schaltkreises 200 verwendet werden.
  • In einigen Ausführungsformen befindet sich der Satz von Durchkontaktierungsstrukturen 108 zwischen dem Satz von Stromschienenstrukturen 102 und dem Satz von Aktivregionsstrukturen 106. In einigen Ausführungsformen befindet sich der Satz von Durchkontaktierungsstrukturen 108 zwischen dem Satz von Stromschienenstrukturen 102 und dem Satz von Kontaktstrukturen 106.
  • Ein Satz von Durchkontaktierungsstrukturen 108 ist auf einer Via-Buried-Power-Ebene (VBP-Ebene) eines oder mehrerer der Layout-Designs 100 oder 300 (1A-1D oder 3A-3B), des integrierten Schaltkreises 200 oder 400 ( 2A-2B oder 4A-4B), oder des Layouts 500A-500B, 700, 800, 900, 1100, 1300, 1400 oder 1600 (5A-5B, 7A-7B, 8A-8B, 9A-9B, 11A-11B, 13A-13B, 14A-14B oder 16A-16B) positioniert. In einigen Ausführungsformen befindet sich die VBP-Ebene zwischen dem BP-Ebene und mindestens der OD-Ebene oder der MD-Ebene. In einigen Ausführungsformen befindet sich die VBP-Ebene zwischen der ersten Layout-Ebene und mindestens der zweiten Layout-Ebene oder der dritten Layout-Ebene. Andere Layout-Ebenen liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Die Durchkontaktierungsstruktur 108a befindet sich zwischen der Stromschienenstruktur 102a und der Aktivregionsstruktur 104a. In einigen Ausführungsformen befindet sich die Durchkontaktierungsstruktur 108a zwischen der Stromschienenstruktur 102a und der Kontaktstruktur 106b. Die Durchkontaktierungsstruktur 108b befindet sich zwischen der Stromschienenstruktur 102b und der Aktivregionsstruktur 104b. In einigen Ausführungsformen befindet sich die Durchkontaktierungsstruktur 108b zwischen der Stromschienenstruktur 102b und der Kontaktstruktur 106c. In einigen Ausführungsformen ist mindestens eine Durchkontaktierungsstruktur des Satzes von Durchkontaktierungsstrukturen 108 nicht in dem Layout-Design 100 enthalten.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Anzahlen von Strukturen in dem Satz von Durchkontaktierungsstrukturen 108 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Das Layout-Design 100 enthält außerdem eine oder mehrere Durchkontaktierungsstrukturen 110a oder 110b (zusammen als ein „Satz von Durchkontaktierungsstrukturen 110“ bezeichnet). Der Satz von Durchkontaktierungsstrukturen 110 kann zum Herstellen eines entsprechenden Satzes von Durchkontaktierungen 210 verwendet werden (2A-2B). In einigen Ausführungsformen können die Durchkontaktierungsstrukturen 110a, 110b des Satzes von Durchkontaktierungsstrukturen 110 zum Herstellen entsprechender Durchkontaktierungen 210a, 210b des Satzes von Durchkontaktierungen 210 ( 2A-2B) des integrierten Schaltkreises 200 verwendet werden.
  • In einigen Ausführungsformen befindet sich der Satz von Durchkontaktierungsstrukturen 110 zwischen dem Satz von Signalleitungsstrukturen 103 und dem Satz von Kontaktstrukturen 106.
  • Ein Satz von Durchkontaktierungsstrukturen 110 ist auf einer Via-Buried-Signal-Ebene (VBS-Ebene) eines oder mehrerer der Layout-Designs 100 oder 300 (1A-1D oder 3A-3B), des integrierten Schaltkreises 200 oder 400 ( 2A-2B oder 4A-4B), oder des Layouts 500A-500B, 700, 800, 900, 1100, 1300, 1400 oder 1600 (5A-5B, 7A-7B, 8A-8B, 9A-9B, 11A-11B, 13A-13B, 14A-14B oder 16A-16B) positioniert. In einigen Ausführungsformen befindet sich die VBS-Ebene zwischen der BS-Ebene und der MD-Ebene. In einigen Ausführungsformen befindet sich die VBS-Ebene zwischen der ersten Layout-Ebene und mindestens der dritten Layout-Ebene. In einigen Ausführungsformen sind die VBS-Ebene und die VBP-Ebene die gleichen. Andere Layout-Ebenen liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Die Durchkontaktierungsstruktur 110a befindet sich zwischen der Signalleitungsstruktur 103a und der Kontaktstruktur 106a. Die Durchkontaktierungsstruktur 110b befindet sich zwischen der Signalleitungsstruktur 103a und der Kontaktstruktur 106d. In einigen Ausführungsformen ist mindestens eine Durchkontaktierungsstruktur des Satzes von Durchkontaktierungsstrukturen 110 nicht in dem Layout-Design 100 enthalten.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Anzahlen von Strukturen in dem Satz von Durchkontaktierungsstrukturen 110 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Das Layout-Design 100 enthält des Weiteren eine oder mehrere Gate-Strukturen 116a, 116b oder 116c (gemeinsam als ein „Satz von Gate-Strukturen 116“ bezeichnet), die sich in der zweiten Richtung Y erstrecken. Jede der Gate-Strukturen des Satzes von Gate-Strukturen 116 ist von einer benachbarten Gate-Struktur des Satzes von Gate-Strukturen 116 in der ersten Richtung X durch einen ersten Abstand (nicht dargestellt) getrennt.
  • Der Satz von Gate-Strukturen 116 kann zum Herstellen eines entsprechenden Satzes von Gates 216 (2A-2B) des integrierten Schaltkreises 200 verwendet werden. In einigen Ausführungsformen können die Gate-Strukturen 116a, 116b, 116c des Satzes von Gate-Strukturen 116 zum Herstellen entsprechender Gates 216a, 216b, 216c des Satzes von Gates 216 (2A-2B) des integrierten Schaltkreises 200 verwendet werden.
  • In einigen Ausführungsformen kann mindestens ein Abschnitt der Gate-Struktur 116a, 116b oder 116c des Satzes von Gate-Strukturen 116 zum Herstellen von Gates von NMOS-Transistoren der integrierten Schaltkreise 600, 1000, 1200 und 1500 verwendet werden, und mindestens ein Abschnitt der Gate-Struktur 116a, 116b oder 116c des Satzes von Gate-Strukturen 116 kann zum Herstellen von Gates von PMOS-Transistoren der integrierten Schaltkreise 600, 1000, 1200 und 1500 verwendet werden.
  • Der Satz von Gate-Strukturen 116 befindet sich oberhalb des Satzes von Stromschienenstrukturen 102, des Satzes von Signalleitungsstrukturen 103 und des Satzes von Aktivregionsstrukturen 104. Der Satz von Gate-Strukturen 116 ist auf einer vierten Layout-Ebene (POLY) positioniert, die sich von der ersten Layout-Ebene und der zweiten Layout-Ebene unterscheidet. In einigen Ausführungsformen ist die vierte Layout-Ebene die gleiche wie die dritte Layout-Ebene. In einigen Ausführungsformen ist die vierte Layout-Ebene von der dritten Layout-Ebene verschieden.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Anzahlen von Strukturen in dem Satz von Gate-Strukturen 116 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Das Layout-Design 100 enthält des Weiteren eine oder mehrere leitfähige Elementstrukturen 112a, 112b, 112c, 112d oder 112e (zusammen als ein „Satz von leitfähigen Elementstrukturen 112“ bezeichnet), die sich in der ersten Richtung X erstrecken und auf einer fünften Layout-Ebene angeordnet sind. In einigen Ausführungsformen unterscheidet sich die fünfte Layout-Ebene von der ersten Layout-Ebene, der zweiten Layout-Ebene, der dritten Layout-Ebene und der vierten Layout-Ebene. In einigen Ausführungsformen entspricht die fünfte Layout-Ebene einer Metall o-Ebene (Mo-Ebene) eines oder mehrerer der Layout-Designs 100 oder 300 ( 1A-1D oder 3A-3B), des integrierten Schaltkreises 200 oder 400 (2A-2B oder 4A-4B), oder des Layouts 500A-500B, 700, 800, 900, 1100, 1300, 1400 oder 1600 (5A-5B, 7A-7B, 8A-8B, 9A-9B, 11A-11B, 13A-13B, 14A-14B oder 16A-16B).
  • In einigen Ausführungsformen befindet sich die Mo-Ebene über der OD-Ebene, der BPR-Ebene, der MD-Ebene und der POLY-Ebene.
  • Der Satz leitfähiger Elementstrukturen 112 kann zum Herstellen eines entsprechenden Satzes leitfähiger Strukturen 212 (2A-2BC) des integrierten Schaltkreises 200 verwendet werden. Die leitfähigen Elementstrukturen 112a, 112b, 112c, 112d, 112e können zum Herstellen entsprechender leitfähiger Strukturen 212a, 212b, 212c, 212d, 212e verwendet werden (2A-2B und 4A-4B).
  • Der Satz leitfähiger Elementstrukturen 112 überlappt mindestens eine Stromschienenstruktur des Satzes von Stromschienenstrukturen 102. In einigen Ausführungsformen überlappt der Satz leitfähiger Elementstrukturen 112 andere darunterliegende Strukturen (im Interesse einer besseren Übersichtlichkeit nicht dargestellt) anderer Layout-Ebenen des Layout-Designs 100. Zum Beispiel zeigt das Layout-Design im Interesse einer besseren Übersichtlichkeit keine Durchkontaktierungsstrukturen (zum Beispiel Via-over-Diffusion (VD) oder Via-over-Gate (VG)), die sich zwischen dem Satz leitfähiger Elementstrukturen 112 und mindestens dem Satz von Gate-Strukturen 112 oder dem Satz von Kontaktstrukturen 106 befinden.
  • In einigen Ausführungsformen überlappt jede Struktur 112a, 112b, 112c, 112d, 112e des Satzes leitfähiger Elementstrukturen 112 eine entsprechende Gitterlinie 114a, 114b, 114c, 114d, 114e eines Satzes von Gitterlinien 114. In einigen Ausführungsformen ist eine Mitte jeder Struktur 112a, 112b, 112c, 112d, 112e des Satzes leitfähiger Elementstrukturen 112 in der ersten Richtung X auf eine entsprechende Gitterlinie 114a, 114b, 114c, 114d, 114e des Satzes von Gitterlinien 114 ausgerichtet.
  • Mindestens die Struktur 112a, 112b, 112c, 112d oder 112e des Satzes leitfähiger Elementstrukturen 112 hat eine Breite W1 in der zweiten Richtung Y. Andere Breiten für den Satz leitfähiger Elementstrukturen 112 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung. In einigen Ausführungsformen hat mindestens eine leitfähige Elementstruktur des Satzes leitfähiger Elementstrukturen 112 eine Breite in der zweiten Richtung Y, die sich von der Breite Wi unterscheidet.
  • In einigen Ausführungsformen entsprechen die leitfähigen Elementstrukturen 112a, 112b, 112c, 112d, 112e des Satzes leitfähiger Elementstrukturen 112 den 5 Mo-Routungsbahnen in dem Layout-Design 100. Andere Anzahlen von Mo-Routungsbahnen liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung. Zum Beispiel zeigt in einigen Ausführungsformen jede der 5A-5B, 8A-8B, 9A-9B, 13A-13B, 14A-14B und 16A-16B eine Anzahl von Mo-Routungsbahnen, die sich von den 1A-1D unterscheiden,
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Anzahlen von Strukturen in dem Satz leitfähiger Elementstrukturen 112 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Anzahlen von Strukturen in dem Layout-Design 100 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • In einigen Ausführungsformen ist der Satz leitfähiger Elementstrukturen 112 eine obere Metallschichtbahn, die sich auf der Mo-Ebene, der Metall 1-Ebene (M1-Ebene) oder dergleichen der Vorderseite des Layout-Designs 100 oder 300 befindet. In einigen Ausführungsformen werden, verglichen mit anderen Ansätzen, der Satz von Stromschienenstrukturen 102 und der Satz von Signalleitungsstrukturen 103 von der Vorderseite des Layout-Designs 100 oder 300 auf die Rückseite des Layout-Designs 100 oder 300 verschoben. In einigen Ausführungsformen führt das Verschieben des Satzes von Stromschienenstrukturen 102 und des Satzes von Signalleitungsstrukturen 103 von der Vorderseite des Layout-Designs 100 oder 300 auf die Rückseite des Layout-Designs 100 oder 300 dazu, dass das Layout-Design 100 oder 300 mindestens eine obere Metallschichtbahn weniger in dem Satz leitfähiger Elementstrukturen 112 verwendet, was im Vergleich zu anderen Ansätzen zu einem Layout-Design mit geringerer Höhe und kleinerer Fläche führt.
  • In einigen Ausführungsformen wird, da mindestens eine obere Metallschichtbahn in dem Satz leitfähiger Elementstrukturen 112 reduziert ist, die Breite einer oder mehrerer leitfähiger Elementstrukturen in dem Satz leitfähiger Elementstrukturen 112 erhöht, was im Vergleich zu anderen Ansätzen zu einem Layout-Design 100 oder 300 mit besserer Stromwiderstands (IR)-, Elektromigrations (EM)- und Widerstandskapazitäts (RC)-Metallleistung führt. In einigen Ausführungsformen wird durch die Verwendung des Satzes von Stromschienenstrukturen 102 oder des Satzes von Signalleitungsstrukturen 103 der vorliegenden Offenbarung die Gate-Dichte des Satzes von Gate-Strukturen 116 oder 316 des Layout-Designs 100 oder 300 im Vergleich zu anderen Ansätzen erhöht. In einigen Ausführungsformen bietet das Layout-Design 100 oder 300 durch die Verwendung mindestens des Satzes von Stromschienenstrukturen 102 oder des Satzes von Signalleitungsstrukturen 103 der vorliegenden Offenbarung mehr Routungsflexibilität und erhöht die Routungsressourcen im Vergleich zu anderen Ansätzen.
  • 2A-2B sind Schaubilder eines integrierten Schaltkreises 200 gemäß einigen Ausführungsformen.
  • 2A ist eine Draufsicht auf den integrierten Schaltkreis 200 gemäß einigen Ausführungsformen. 2B ist eine Querschnittsansicht des integrierten Schaltkreises 200 gemäß einigen Ausführungsformen. 2B ist eine Querschnittsansicht des integrierten Schaltkreises 200, durch die Ebene A-A' geschnitten, gemäß einigen Ausführungsformen. Komponenten, die gleich oder ähnlich denen in einer oder mehreren der 2A-2B und 4A-4B (siehe unten) sind, erhalten die gleichen Bezugszahlen, und auf ihre detaillierte Beschreibung wird verzichtet.
  • Der integrierte Schaltkreis 200 wird durch das Layout-Design 100 hergestellt. Strukturelle Beziehungen, einschließlich Ausrichtung, Längen und Breiten, sowie Konfigurationen und Schichten des integrierten Schaltkreises 200 ähneln den strukturellen Beziehungen und Konfigurationen und Schichten des Layout-Designs 100 der 1A-1D, und auf eine ähnliche detaillierte Beschreibung wird im Interesse der Kürze mindestens in den 2A-2B verzichtet.
  • Der integrierte Schaltkreis 200 umfasst mindestens den Satz von Stromschienen 202, den Satz von Signalleitungen 203, den Satz aktiver Regionen 204, den Satz von Kontakten 206, den Satz von Durchkontaktierungen 208, den Satz von Durchkontaktierungen 210, den Satz leitfähiger Strukturen 212 oder den Satz von Gates 216.
  • Der Satz von Stromschienen 202 weist eine oder mehrere der Stromschienen 202a oder 202b auf. In einigen Ausführungsformen ist der Satz von Stromschienen 202 dafür eingerichtet, eine erste Versorgungsspannung einer Spannungsversorgung VDD oder eine zweite Versorgungsspannung einer Referenzspannungsversorgung VSS an den integrierten Schaltkreis, wie zum Beispiel den integrierten Schaltkreis 200, anzulegen. In einigen Ausführungsformen ist die erste Versorgungsspannung von der zweiten Versorgungsspannung verschieden.
  • In einigen Ausführungsformen ist die Stromschiene 202a dafür eingerichtet, die erste Versorgungsspannung der Spannungsversorgung VDD bereitzustellen, und die Stromschiene 202b ist dafür eingerichtet, die zweite Versorgungsspannung der Referenzspannungsversorgung VSS bereitzustellen. In einigen Ausführungsformen ist die Stromschiene 202a dafür eingerichtet, die zweite Versorgungsspannung der Referenzspannungsversorgung VSS bereitzustellen, und die Stromschiene 202b ist dafür eingerichtet, die erste Versorgungsspannung der Spannungsversorgung VDD bereitzustellen. In einigen Ausführungsformen ist der Satz von Stromschienen 202 dafür eingerichtet, den Satz aktiver Regionen 204 mit Strom zu versorgen.
  • Der Satz von Signalleitungen 203 weist mindestens die Signalleitung 203a auf. Der Satz von Signalleitungen 203 ist dafür eingerichtet, das Routen von Signalen aus oberen Schichten zu ermöglichen. Zum Beispiel ist in einigen Ausführungsformen der Satz von Signalleitungen 203 dafür eingerichtet, eine Signalroutung zwischen aktiven Regionen des Satzes aktiver Regionen 204 oder eine Signalroutung zwischen Kontakten des Satzes von Kontakten 206 zu ermöglichen. In einigen Ausführungsformen ist die Signalleitung 203a dafür eingerichtet, einen Drain oder eine Source eines PMOS- oder NMOS-Transistors und einen Drain oder eine Source eines anderen PMOS- oder NMOS-Transistors elektrisch zu koppeln. In einigen Ausführungsformen ist der Satz von Signalleitungen 203 dafür eingerichtet, den Satz aktiver Regionen des integrierten Schaltkreises 200 elektrisch zu koppeln, was im Vergleich zu anderen Ansätzen zu zusätzlichen Routungsressourcen führt. In einigen Ausführungsformen ist der Satz von Signalleitungen 203 dafür eingerichtet, einen Satz von Gates 416 (4A-4B) des integrierten Schaltkreises 400 elektrisch zu koppeln, was im Vergleich zu anderen Ansätzen zu zusätzlichen Routungsressourcen führt.
  • In einigen Ausführungsformen entspricht der Satz von Signalleitungen 203 einem Satz leitfähiger Strukturen. In einigen Ausführungsformen befindet sich der Satz von Signalleitungen 203 unterhalb mindestens des Satzes von Gates 216, der OD-Ebene, der Mo-Ebene und der MD-Ebene des integrierten Schaltkreises 200 oder 400.
  • Der Satz von Signalleitungen 203 befindet sich zwischen dem Satz aktiver Regionen 204. In einigen Ausführungsformen befindet sich eine Oberseite der Signalleitung 203a unter einer Unterseite mindestens der aktiven Region 204a oder 204b oder mindestens des Kontakts 206a, 206b, 206c oder 206d.
  • In einigen Ausführungsformen befinden sich der Satz von Stromschienen 202 und der Satz von Signalleitungen auf der Rückseite 220a eines Substrats 201 des integrierten Schaltkreises 200 oder 400. In einigen Ausführungsformen befindet sich der Satz aktiver Regionen 204 auf einer Vorderseite 220b des Substrats 201 des integrierten Schaltkreises 200 oder 400. Die Vorderseite 220b des integrierten Schaltkreises 200 liegt der Rückseite 220a des integrierten Schaltkreises 200 oder 400 in der zweiten Richtung Y gegenüber. In einigen Ausführungsformen führt das Positionieren des Satzes von Stromschienen 202 oder des Satzes von Signalleitungen 203 auf der Rückseite 220a des integrierten Schaltkreises 200 dazu, dass der integrierte Schaltkreis 200 oder 400 weniger Fläche einnimmt als bei anderen Ansätzen.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Mengen von Strukturen in dem Satz von Stromschienen 202 oder dem Satz von Signalleitungen 203 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Der Satz aktiver Regionen 204 weist einen oder mehrere der aktiven Regionen 204a oder 204b in dem Substrat 201 auf. In einigen Ausführungsformen entspricht der Satz aktiver Regionen 204 planaren Strukturen (nicht dargestellt) von planaren Transistoren. In einigen Ausführungsformen entspricht der Satz aktiver Regionen 204 Finnenstrukturen (nicht dargestellt) von FinFETs. In einigen Ausführungsformen entspricht der Satz aktiver Regionen 204 Nanolagenstrukturen (nicht dargestellt) von Nanolagentransistoren. In einigen Ausführungsformen entspricht der Satz aktiver Regionen 204 Nanodrahtstrukturen (nicht dargestellt) von Nanodrahttransistoren. In einigen Ausführungsformen weist der Satz aktiver Regionen 204 Drain-Regionen und Source-Regionen auf, die durch einen epitaxialen Wachstumsprozess gezüchtet wurden. In einigen Ausführungsformen weist der Satz aktiver Regionen 204 Drain-Regionen und Source-Regionen auf, die mit einem epitaxialen Material in den entsprechenden Drain-Regionen und Source-Regionen gezüchtet wurden.
  • In einigen Ausführungsformen entspricht eine der aktiven Regionen 204a und 204b des Satzes aktiver Regionen 204 Source- und Drain-Regionen von NMOS-Transistoren der integrierten Schaltkreise 200, 400, 600, 1000, 1200 und 1500, und eine andere der aktiven Regionen 204b und 204a des Satzes aktiver Regionen 204 entspricht Source- und Drain-Regionen von PMOS-Transistoren der integrierten Schaltkreise 200, 400, 600, 1000, 1200 und 1500.
  • In einigen Ausführungsformen befindet sich der Satz aktiver Regionen 204 oberhalb des Satzes von Stromschienen 202 und des Satzes von Signalleitungen 203. Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Mengen von Strukturen in dem Satz aktiver Regionen 204 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Der Satz von Kontakten 206 weist einen oder mehrere der Kontakte 206a, 206b, 206c oder 206d auf. In einigen Ausführungsformen entspricht mindestens einer der Kontakte 206a, 206b, 206c und 206d des Satzes von Kontakten 206 Source- und Drain-Anschlüssen von NMOS-Transistoren der integrierten Schaltkreise 200, 600, 1000, 1200 und 1500, und mindestens der andere der Kontakte 206a, 206b, 206c und 206d des Satzes von Kontakten 206 entspricht Source- und Drain-Anschlüssen von PMOS-Transistoren der integrierten Schaltkreise 200, 600, 1000, 1200 und 1500.
  • In einigen Ausführungsformen befindet sich der Satz von Kontakten 206 oberhalb des Satzes aktiver Regionen 204, des Satzes von Stromschienen 202 und des Satzes von Signalleitungen 203. In einigen Ausführungsformen verkapselt der Satz von Kontakten 206 den Satz aktiver Regionen 204. In einigen Ausführungsformen befindet sich ein erster Abschnitt des Satzes von Kontakten 206 oberhalb des Satzes aktiver Regionen 204, und ein zweiter Abschnitt des Satzes von Kontakten 206 befindet sich unterhalb des Satzes aktiver Regionen 204.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Mengen von Kontakten in dem Satz von Kontakten 206 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Der Satz von Durchkontaktierungen 208 weist eine oder mehrere der Durchkontaktierungen 208a oder 208b auf. In einigen Ausführungsformen befindet sich der Satz von Durchkontaktierungen 208 zwischen dem Satz von Stromschienen 202 und dem Satz aktiver Regionen 206. In einigen Ausführungsformen befindet sich der Satz von Durchkontaktierungen 208 zwischen dem Satz von Stromschienen 202 und dem Satz von Kontakten 206.
  • Die Durchkontaktierung 208a befindet sich zwischen der Stromschiene 202a und der aktiven Region 204a und stellt dadurch eine elektrische Verbindung zwischen der Stromschiene 202a und der aktiven Region 204a her. In einigen Ausführungsformen befindet sich die Durchkontaktierung 208a zwischen der Stromschiene 202a und dem Kontakt 206b und stellt dadurch eine elektrische Verbindung zwischen der Stromschiene 202a und mindestens dem Kontakt 206b oder der aktiven Region 204a her.
  • Die Durchkontaktierung 208b befindet sich zwischen der Stromschiene 202b und der aktiven Region 204b und stellt dadurch eine elektrische Verbindung zwischen der Stromschiene 202b und der aktiven Region 204b her. In einigen Ausführungsformen befindet sich die Durchkontaktierung 208b zwischen der Stromschiene 202b und dem Kontakt 206c und stellt dadurch eine elektrische Verbindung zwischen der Stromschiene 202b und mindestens dem Kontakt 206c oder der aktiven Region 204b her.
  • In einigen Ausführungsformen ist mindestens eine Durchkontaktierung des Satzes von Durchkontaktierungen 208 nicht in dem integrierten Schaltkreis 200 enthalten. Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Mengen von Durchkontaktierungen in dem Satz von Durchkontaktierungen 208 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Der Satz von Durchkontaktierungen 210 weist eine oder mehrere der Durchkontaktierungen 210a oder 210b auf. Der Satz von Durchkontaktierungen 210 befindet sich zwischen dem Satz von Signalleitungen 203 und dem Satz von Kontakten 206.
  • Die Durchkontaktierung 210a befindet sich zwischen der Signalleitung 203a und dem Kontakt 206a und stellt dadurch eine elektrische Verbindung zwischen der Signalleitung 203a und dem Kontakt 206a her. Die Durchkontaktierung 210b befindet sich zwischen der Signalleitung 203a und dem Kontakt 206d und stellt dadurch eine elektrische Verbindung zwischen der Signalleitung 203a und dem Kontakt 206d her.
  • Die aktive Region 204a ist elektrisch mit dem Kontakt 206a gekoppelt. Der Kontakt 206a ist über die Durchkontaktierung 210a elektrisch mit der Signalleitung 203a gekoppelt. Die Signalleitung 203a ist über die Durchkontaktierung 210b elektrisch mit dem Kontakt 206d gekoppelt. Der Kontakt 206d ist elektrisch mit der aktiven Region 204b gekoppelt.
  • In einigen Ausführungsformen ist mindestens eine Durchkontaktierung des Satzes von Durchkontaktierungen 210 nicht in dem integrierten Schaltkreis 200 enthalten. Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Mengen von Durchkontaktierungen in dem Satz von Durchkontaktierungen 210 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Andere elektrische Verbindungen zwischen einem oder mehreren des Satzes von Signalleitungen 203, des Satzes aktiver Regionen 204, des Satzes von Kontakten 206 und des Satzes von Durchkontaktierungen 210 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Der Satz von Gates 216 weist eines oder mehrere der Gate- 216a, 216b oder 216c auf. In einigen Ausführungsformen entspricht mindestens ein Abschnitt des Gates 216a, 216b oder 216c des Satzes von Gates Gates von NMOS-Transistoren der integrierten Schaltkreise 200, 600, 1000, 1200 und 1500, und mindestens ein Abschnitt des Gates 216a, 216b oder 216c des Satzes von Gates 216 entspricht Gates von PMOS-Transistoren der integrierten Schaltkreise 200, 600, 1000, 1200 und 1500. Der Satz von Gates 216 befindet sich über dem Satz von Stromschienen 202, dem Satz von Signalleitungen 203 und dem Satz aktiver Regionen 204.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Mengen von Gates in dem Satz von Gates 216 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Der Satz leitfähiger Elemente 212 weist eines oder mehrere der leitfähigen Merkmale 212a, 212b, 212c, 212d oder 212e auf.
  • Der Satz leitfähiger Merkmale 212 überlappt mindestens eine Stromschiene des Satzes von Stromschienen 202 oder des Satzes von Signalleitungen 203. In einigen Ausführungsformen überlappt der Satz leitfähiger Merkmale 212 andere darunterliegende Merkmale (im Interesse einer besseren Übersichtlichkeit nicht dargestellt) anderer Layout-Ebenen des integrierten Schaltkreises 200 oder 400. Zum Beispiel zeigt der integrierte Schaltkreis 200 im Interesse einer besseren Übersichtlichkeit keine Durchkontaktierungen (zum Beispiel VD oder VG), die sich zwischen dem Satz leitfähiger Merkmale 212 und mindestens dem Satz von Gates 212 oder dem Satz von Kontakten 206 befinden.
  • Mindestens ein leitfähiges Element212a, 212b, 212c, 212d und 212e des Satzes leitfähiger Merkmale 212 hat eine Breite W1' in der zweiten Richtung Y. Andere Breiten für den Satz leitfähiger Merkmale 212 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung. In einigen Ausführungsformen hat mindestens ein leitfähiges Elementdes Satzes leitfähiger Merkmale 212 eine Breite in der zweiten Richtung Y, die sich von der Breite W1' unterscheidet.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Mengen von leitfähigen Merkmalen in dem Satz leitfähiger Merkmale 212 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • In einigen Ausführungsformen weist mindestens eine Stromschiene des Satzes von Stromschienen 202, mindestens eine Signalleitung des Satzes von Signalleitungen 203, mindestens ein Kontakt des Satzes von Kontakten 206 oder 406, mindestens eine Durchkontaktierung des Satzes von Durchkontaktierungen 208, mindestens eine Durchkontaktierung des Satzes von Durchkontaktierungen 210 oder 410 oder mindestens eine leitfähige Struktur des Satzes leitfähiger Strukturen 212, 512' oder 514' eine oder mehrere Schichten aus einem leitfähigen Material, einem Metall, einer Metallverbindung oder einem dotierten Halbleiter auf. In einigen Ausführungsformen enthält das leitfähige Material Wolfram, Kobalt, Ruthenium, Kupfer oder dergleichen oder Kombinationen davon. In einigen Ausführungsformen enthält ein Metall mindestens Cu (Kupfer), Co, W, Ru, Al oder dergleichen. In einigen Ausführungsformen enthält eine Metallverbindung mindestens AlCu, W-TiN, TiSix, NiSix, TiN, TaN oder dergleichen. In einigen Ausführungsformen enthält ein dotierter Halbleiter mindestens dotiertes Silizium oder dergleichen.
  • In einigen Ausführungsformen ist der Satz leitfähiger Merkmale 212 eine obere Metallschichtbahn, die sich auf der Mo-Ebene, Mi-Ebene oder dergleichen der Vorderseite des integrierten Schaltkreises 200 oder 400 befindet. In einigen Ausführungsformen werden, im Vergleich zu anderen Ansätzen, der Satz von Stromschienen 202 und der Satz von Signalleitungen 203 von der Vorderseite des integrierten Schaltkreises 200 auf die Rückseite des integrierten Schaltkreises 200 bewegt. In einigen Ausführungsformen führt das Verschieben des Satzes von Stromschienen 202 und des Satzes von Signalleitungen 203 von der Vorderseite des integrierten Schaltkreises 200 zur Rückseite des integrierten Schaltkreises 200 dazu, dass der integrierte Schaltkreis 200 oder 400 mindestens eine obere Metallschichtbahn weniger in dem Satz leitfähiger Merkmale 212 verwendet, was im Vergleich zu anderen Ansätzen zu einem integrierten Schaltkreis (zum Beispiel dem integrierten Schaltkreis 200 oder 400) mit einer geringeren Höhe und einer kleineren Fläche führt.
  • In einigen Ausführungsformen wird, da mindestens eine obere Metallschichtbahn in dem Satz leitfähiger Elementstrukturen 112 reduziert ist, die Breite einer oder mehrerer leitfähiger Elementstrukturen in dem Satz leitfähiger Elementstrukturen 112 erhöht, was im Vergleich zu anderen Ansätzen zu einem integrierten Schaltkreis 200 oder 400 mit besserer Stromwiderstands (IR)-, Elektromigrations (EM)- und Widerstandskapazitäts (RC)-Metallleistung führt. In einigen Ausführungsformen wird durch die Verwendung des Satzes von Stromschienen 202 oder des Satzes von Signalleitungen 203 der vorliegenden Offenbarung die Gate-Dichte des Satzes von Gates 216 oder 416 des integrierten Schaltkreises 200 oder 400 im Vergleich zu anderen Ansätzen erhöht. In einigen Ausführungsformen bietet der integrierte Schaltkreis 200 oder 400 durch die Verwendung mindestens des Satzes von Stromschienen 202 oder des Satzes von Signalleitungen 203 der vorliegenden Offenbarung mehr Routungsflexibilität und erhöht die Routungsressourcen im Vergleich zu anderen Ansätzen.
  • 3A-3B sind Schaubilder eines Layout-Designs 300 eines integrierten Schaltkreises gemäß einigen Ausführungsformen. Das Layout-Design 300 ist ein Layout-Schaubild des integrierten Schaltkreises 400 der 4A-4B.
  • 3A ist ein Schaubild eines entsprechenden Abschnitts 300A des Layout-Designs 300 von 3B und wurde im Interesse einer besseren Übersichtlichkeit vereinfacht. 3B ist ein Schaubild des Layout-Designs 300 und enthält Abschnitte 100A (1A), 300A und 100C (1C) und wurde Interesse einer besseren Übersichtlichkeit vereinfacht. Im Interesse einer besseren Übersichtlichkeit sind einige der beschrifteten Elemente der 1A und 1C in den 3A-3B nicht beschriftet. In einigen Ausführungsformen weist das Layout-Design 300 zusätzliche Elemente auf, die in den 3A-3B nicht gezeigt sind.
  • Der Abschnitt 300A ist eine Variation des Abschnitts 100B von 1B, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird. Abschnitt 300A umfasst ein oder mehrere Merkmale des Layout-Designs 300 von 3B der OD-Ebene, der POLY-Ebene, der MD-Ebene, der VBP-Ebene und der VBS-Ebene des Layout-Designs 300.
  • Das Layout-Design 300 kann für die Herstellung des integrierten Schaltkreises 400 der 4A-4B verwendet werden.
  • Das Layout-Design 300 ist eine Variation des Layout-Designs 100 ( 1A-1D). Zum Beispiel veranschaulicht das Layout-Design 300 ein Beispiel, bei dem die VBS-Ebene (zum Beispiel der Satz von Signalleitungsstrukturen 203) für zusätzliche Routungsressourcen verwendet wird, um mindestens ein Paar von Gate-Strukturen 316 elektrisch zu koppeln.
  • Im Vergleich zu dem Layout-Design 100 der 1A-1D ersetzt ein Satz von Kontaktstrukturen 306 des Layout-Designs 300 den Satz von Kontaktstrukturen 106, ein Satz von Durchkontaktierungsstrukturen 310 ersetzt den Satz von Durchkontaktierungsstrukturen 110, und ein Satz von Gate-Strukturen 316 des Layout-Designs 300 ersetzt den Satz von Gate-Strukturen 116, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Das Layout-Design 100 umfasst einen Satz von Stromschienenstrukturen 102, einen Satz von Signalleitungsstrukturen 103, einen Satz von Aktivregionsstrukturen 104, einen Satz von Kontaktstrukturen 306, einen Satz von Durchkontaktierungsstrukturen 108 (in den 3A-3B nicht dargestellt), einen Satz von Durchkontaktierungsstrukturen 310, einen Satz leitfähiger Elementstrukturen 112 und einen Satz von Gate-Strukturen 316.
  • Der Satz von Kontaktstrukturen 306 umfasst eine oder mehrere Kontaktstrukturen 306a, 306b, 306c, 306d, 306e oder 306f. Mindestens eine der Kontaktstrukturen 306a, 306b, 306c, 306d, 306e und 306f ähnelt mindestens einer der Kontaktstrukturen 106a, 106b, 106c und 106d, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Der Satz von Kontaktstrukturen 306 kann zum Herstellen eines entsprechenden Satzes von Kontakten 406 (4A-4B) des integrierten Schaltkreises 400 verwendet werden. In einigen Ausführungsformen kann die Kontaktstruktur 306a, 306b, 306c, 306d, 306e oder 306f des Satzes von Kontaktstrukturen 306 zum Herstellen eines entsprechenden Kontakts 406a, 406b, 406c, 406d, 406e oder 406f des Satzes von Kontaktstrukturen 406 verwendet werden.
  • In einigen Ausführungsformen kann mindestens eine der Kontaktstrukturen 306a, 306b, 306c und 306d des Satzes von Kontaktstrukturen 106 zum Herstellen von Source- und Drain-Anschlüssen eines von NMOS- und PMOS-Transistoren der integrierten Schaltkreise 600, 1000, 1200 und 1500 verwendet werden, und mindestens eine der Kontaktstrukturen 306e, 306f, 306g und 306h des Satzes von Kontaktstrukturen 106 kann zum Herstellen von Source- und Drain-Anschlüssen des anderen von PMOS- und NMOS-Transistoren der integrierten Schaltkreise 600, 1000, 1200 und 1500 verwendet werden.
  • In einigen Ausführungsformen überlappt der Satz von Kontaktstrukturen 306 den Satz von Aktivregionsstrukturen 104. In einigen Ausführungsformen befindet sich mindestens die Kontaktstruktur 306a, 306b, 306c oder 306d über der Aktivregionsstruktur 104a. In einigen Ausführungsformen befindet sich mindestens die Kontaktstruktur 306e, 306f, 306g oder 306h über der Aktivregionsstruktur 104b.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Anzahlen von Strukturen in dem Satz von Kontaktstrukturen 306 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Der Satz von Durchkontaktierungsstrukturen 310 weist eine oder mehrere Durchkontaktierungsstrukturen 310a oder 310b auf. Mindestens eine der Durchkontaktierungsstrukturen 310a und 310b ähnelt mindestens einer der Durchkontaktierungsstrukturen 110a und 110b, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Der Satz von Durchkontaktierungsstrukturen 310 kann zum Herstellen eines entsprechenden Satzes von Durchkontaktierungen 410 verwendet werden ( 4A-4B). In einigen Ausführungsformen können die Durchkontaktierungsstrukturen 310a, 310b des Satzes von Durchkontaktierungsstrukturen 310 zum Herstellen entsprechender Durchkontaktierungen 410a, 410b des Satzes von Durchkontaktierungen 410 (4A-4B) des integrierten Schaltkreises 400 verwendet werden.
  • In einigen Ausführungsformen befindet sich der Satz von Durchkontaktierungsstrukturen 310 zwischen dem Satz von Signalleitungsstrukturen 103 und dem Satz von Gate-Strukturen 316. Die Durchkontaktierungsstruktur 310a befindet sich zwischen der Signalleitungsstruktur 103a und der Gate-Struktur 316b. In einigen Ausführungsformen befindet sich die Durchkontaktierungsstruktur 310a dort, wo die Gate-Struktur 316b die Signalleitungsstruktur 103a überlappt. Die Durchkontaktierungsstruktur 310b befindet sich zwischen der Signalleitungsstruktur 103a und der Gate-Struktur 316d. In einigen Ausführungsformen befindet sich die Durchkontaktierungsstruktur 310b dort, wo die Gate-Struktur 316d die Signalleitungsstruktur 103a überlappt. In einigen Ausführungsformen ist mindestens eine Durchkontaktierungsstruktur des Satzes von Durchkontaktierungsstrukturen 310 nicht in dem Layout-Design 300 enthalten.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Anzahlen von Strukturen in dem Satz von Durchkontaktierungsstrukturen 310 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Der Satz von Gate-Strukturen 316 umfasst eine oder mehrere Gate-Strukturen 316a, 316b, 316c, 316d oder 316e. Mindestens eine der Gate-Strukturen 316a, 316b, 316c, 316d und 316e ähnelt mindestens einer der Gate-Strukturen 116a, 116b und 116c, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Der Satz von Gate-Strukturen 316 kann zum Herstellen eines entsprechenden Satzes von Gates 416 (4A-4B) des integrierten Schaltkreises 400 verwendet werden. In einigen Ausführungsformen können die Gate-Strukturen 316a, 316b, 316c, 316d, 316e des Satzes von Gate-Strukturen 316 zum Herstellen entsprechender Gates 416a, 416b, 416c, 416d, 416e des Satzes von Gates 416 (4A-4B) des integrierten Schaltkreises 400 verwendet werden.
  • In einigen Ausführungsformen kann mindestens ein Abschnitt der Gate-Struktur 316a, 316b, 316c, 316d oder 316e des Satzes von Gate-Strukturen 116 zum Herstellen von Gates von NMOS-Transistoren der integrierten Schaltkreise 600, 1000, 1200 und 1500 verwendet werden, und mindestens ein Abschnitt der Gate-Struktur 316a, 316b, 316c, 316d oder 316e des Satzes von Gate-Strukturen 116 kann zum Herstellen von Gates von PMOS-Transistoren der integrierten Schaltkreise 600, 1000, 1200 und 1500 verwendet werden.
  • Die Gate-Struktur 316b überlappt die Durchkontaktierungsstruktur 310a und die Signalleitungsstruktur 103a. Die Gate-Struktur 316d überlappt die Durchkontaktierungsstruktur 310b und die Signalleitungsstruktur 103a.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Anzahlen von Strukturen in dem Satz von Gate-Strukturen 316 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Andere Überlappungspositionen oder Anzahlen von Überlappungspositionen zwischen einem oder mehreren des Satzes von Gate-Strukturen 316, des Satzes von Durchkontaktierungsstrukturen 310 und des Satzes von Signalleitungsstrukturen 103 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • 4A-4B sind Schaubilder eines integrierten Schaltkreises 400 gemäß einigen Ausführungsformen.
  • 4A ist eine Draufsicht auf den integrierten Schaltkreis 400 gemäß einigen Ausführungsformen. 4B ist eine Querschnittsansicht des integrierten Schaltkreises 400 gemäß einigen Ausführungsformen. 4B ist eine Querschnittsansicht des integrierten Schaltkreises 400, durch die Ebene B-B' geschnitten, gemäß einigen Ausführungsformen.
  • Der integrierte Schaltkreis 400 wird durch das Layout-Design 400 hergestellt. Strukturelle Beziehungen, einschließlich Ausrichtung, Längen und Breiten, sowie Konfigurationen und Schichten des integrierten Schaltkreises 400 ähneln den strukturellen Beziehungen und Konfigurationen und Schichten des Layout-Designs 300 der 3A-3B, und auf eine ähnliche detaillierte Beschreibung wird im Interesse der Kürze mindestens in den 4A-4B verzichtet.
  • Der integrierte Schaltkreis 400 ist eine Variation des integrierten Schaltkreises 200 (2A-2B). Zum Beispiel veranschaulicht der integrierte Schaltkreis 400 ein Beispiel, bei dem die VBS-Ebene (zum Beispiel der Satz von Signalleitungen 203) für zusätzliche Routungsressourcen verwendet wird, um mindestens ein Paar von Gates 416 elektrisch zu koppeln.
  • Im Vergleich zu dem integrierten Schaltkreis 400 der 3A-3B ersetzt ein Satz von Kontakten 406 des integrierten Schaltkreises 400 den Satz von Kontakten 206, ein Satz von Durchkontaktierungen 410 des integrierten Schaltkreises 400 ersetzt den Satz von Durchkontaktierungen 210, und ein Satz von Gates 416 des integrierten Schaltkreises 400 ersetzt den Satz von Gates 216, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Der integrierte Schaltkreis 400 umfasst mindestens den Satz von Stromschienen 202, den Satz von Signalleitungen 203, den Satz aktiver Regionen 204, den Satz von Kontakten 406, den Satz von Durchkontaktierungen 208 (in den 4A-4B nicht dargestellt), den Satz von Durchkontaktierungen 410, den Satz leitfähiger Strukturen 212 oder den Satz von Gates 416.
  • Der Satz von Kontakten 406 umfasst einen oder mehrere Kontakte 406a, 406b, 406c, 406d, 406e oder 406f. Mindestens einer der Kontakte 406a, 406b, 406c, 406d, 406e und 406f ähnelt mindestens einem der Kontakte 206a, 206b, 206c und 206d, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • In einigen Ausführungsformen entspricht mindestens einer der Kontakte 406a, 406b, 406c oder 406d des Satzes von Kontakten 406 Source- und Drain-Anschlüssen eines von NMOS- oder PMOS-Transistoren der integrierten Schaltkreise 400, 600, 1000, 1200 und 1500, und mindestens einer der Kontakte 406e, 406f, 406g oder 406h des Satzes von Kontakten 406 entspricht Source- und Drain-Anschlüssen des anderen der PMOS- oder NMOS-Transistoren der integrierten Schaltkreise 400, 600, 1000, 1200 und 1500.
  • In einigen Ausführungsformen befindet sich mindestens ein Kontakt 406a, 406b, 406c oder 406d über der aktiven Region 104a. In einigen Ausführungsformen befindet sich mindestens ein Kontakt 406e, 406f, 406g oder 406h über der aktiven Region 104b.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Mengen von Kontakten in dem Satz von Kontakten 406 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Der Satz von Durchkontaktierungen 410 umfasst eine oder mehrere Durchkontaktierungen 410a oder 410b. Mindestens eine der Durchkontaktierungen 410a und 410b ähnelt mindestens einer der Durchkontaktierungen 210a und 210b, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • In einigen Ausführungsformen befindet sich der Satz von Durchkontaktierungen 410 zwischen dem Satz von Signalleitungen 203 und dem Satz von Gates 416. Die Durchkontaktierung 410a befindet sich zwischen der Signalleitung 203a und dem Gate 416b und stellt dadurch eine elektrische Verbindung zwischen der Signalleitung 203a und dem Gate 416b her. In einigen Ausführungsformen befindet sich die Durchkontaktierung 410a dort, wo das Gate 416b die Signalleitung 203a überlappt. Die Durchkontaktierung 410b befindet sich zwischen der Signalleitung 203a und dem Gate 416d und stellt somit eine elektrische Verbindung zwischen der Signalleitung 203a und dem Gate 416d her. In einigen Ausführungsformen befindet sich die Durchkontaktierung 410b dort, wo das Gate 416d die Signalleitung 203a überlappt. In einigen Ausführungsformen ist mindestens eine Durchkontaktierung des Satzes von Durchkontaktierungen 410 nicht in dem integrierten Schaltkreis 400 enthalten.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Mengen von Durchkontaktierungen in dem Satz von Durchkontaktierungen 410 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Der Satz von Gates 416 umfasst ein oder mehrere Gates 416a, 416b, 416c, 416d oder 416e. Mindestens eines der Gates 416a, 416b, 416c, 416d oder 416e ähnelt mindestens einem der Gates 216a, 216b oder 216c, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • In einigen Ausführungsformen entspricht mindestens ein Abschnitt des Gates 416a, 416b, 416c, 416d oder 416e des Satzes von Gates 416 Gates von NMOS-Transistoren der integrierten Schaltkreise 400, 600, 1000, 1200 und 1500, und mindestens ein Abschnitt des Gates 416a, 416b, 416c, 416d oder 416e des Satzes von Gates 416 entspricht Gates von PMOS-Transistoren der integrierten Schaltkreise 400, 600, 1000, 1200 und 1500.
  • Das Gate 416b überlappt die Durchkontaktierung 410a und die Signalleitung 203a. Das Gate 416d überlappt die Durchkontaktierung 410b und die Signalleitung 203a. Das Gate 416b ist über die Durchkontaktierung 410a elektrisch mit der Signalleitung 203a gekoppelt. Die Signalleitung 203a ist über die Durchkontaktierung 410b elektrisch mit dem Gate 416d gekoppelt.
  • Die Signalleitung 403a koppelt mindestens eine erste Gate-Region (zum Beispiel Gate 416b) elektrisch mit mindestens einer zweiten Gate-Region (zum Beispiel Gate 416d) des integrierten Schaltkreises 400, wodurch mindestens eine obere Metallschichtbahn weniger in dem Satz leitfähiger Merkmale 212 verwendet wird, was im Vergleich zu anderen Ansätzen zu einem integrierten Schaltkreis 400 mit einer geringeren Höhe und kleineren Fläche führt.
  • In einigen Ausführungsformen wird, da mindestens eine obere Metallschichtbahn in dem Satz leitfähiger Elementstrukturen 112 reduziert ist, die Breite einer oder mehrerer leitfähiger Elementstrukturen in dem Satz leitfähiger Elementstrukturen 112 erhöht, was im Vergleich zu anderen Ansätzen zu einem integrierten Schaltkreis 400 mit besserer Stromwiderstands (IR)-, Elektromigrations (EM)- und Widerstandskapazitäts (RC)-Metallleistung führt. In einigen Ausführungsformen wird durch die Verwendung des Satzes von Stromschienen 202 oder des Satzes von Signalleitungen 203 der vorliegenden Offenbarung die Gate-Dichte des Satzes von Gates 416 des integrierten Schaltkreises 400 im Vergleich zu anderen Ansätzen erhöht. In einigen Ausführungsformen bietet der integrierte Schaltkreis 400 durch die Verwendung mindestens des Satzes von Stromschienen 202 oder des Satzes von Signalleitungen 203 der vorliegenden Offenbarung mehr Routungsflexibilität und erhöht die Routungsressourcen im Vergleich zu anderen Ansätzen.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Mengen von Gates in dem Satz von Gates 416 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Andere Überlappungspositionen oder Anzahlen von Überlappungspositionen zwischen einem oder mehreren des Satzes von Gates 416, des Satzes von Durchkontaktierungen 410 und des Satzes von Signalleitungen 203 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • 5A ist ein Schaubild eines Layout-Designs 500A gemäß verschiedenen Ausführungsformen der vorliegenden Offenlegung. 5B ist eine Draufsicht auf einen integrierten Schaltkreis 500B gemäß einigen Ausführungsformen.
  • Das Layout-Design 500A ist ein Layoutschaubild des integrierten Schaltkreises 500B.
  • Das Layout-Design 500A ist eine Variation des Abschnitts 100C der 1C-1D und 3B, und der integrierte Schaltkreis 500B ist eine Variation des integrierten Schaltkreises 200 (2A). Zum Beispiel veranschaulichen das Layout-Design 500A und der integrierte Schaltkreis 500B ein Beispiel, bei dem die Mo-Routungsbahnen 4 Routungsbahnen aufweisen.
  • Im Vergleich zu dem Abschnitt 100C des Layout-Designs 100 von 1C ersetzt ein Satz leitfähiger Elementstrukturen 512 des Layout-Designs 500A den Satz leitfähiger Elementstrukturen 112, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird. Im Vergleich zu dem integrierten Schaltkreis 200 von 2A ersetzt ein Satz leitfähiger Strukturen 512' des integrierten Schaltkreises 500B den Satz leitfähiger Strukturen 212, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Der Satz leitfähiger Elementstrukturen 512 umfasst leitfähige Elementstrukturen 512a, 512b, 512c, 512d. Der Satz leitfähiger Elementstrukturen 512 kann zum Herstellen eines entsprechenden Satzes leitfähiger Strukturen 512' (5B) des integrierten Schaltkreises 500B verwendet werden. Der Satz leitfähiger Strukturen 512' umfasst leitfähige Strukturen 512a', 512b', 512c', 512d'. Die leitfähigen Elementstrukturen 512a, 512b, 512c, 512d können zum Herstellen entsprechender leitfähiger Strukturen 512a', 512b', 512c', 512d' verwendet werden (5B).
  • In einigen Ausführungsformen entsprechen die leitfähigen Strukturen 512a, 512b, 512c, 512d vier Mo-Routungsbahnen in dem Layout-Design 500A. In einigen Ausführungsformen entsprechen die leitfähigen Strukturen 512a', 512b', 512c', 512d' vier Mo-Routungsbahnen in dem integrierten Schaltkreis 500B.
  • Mindestens die Struktur 512a, 512b, 512c oder 512d des Satzes leitfähiger Elementstrukturen 512 hat eine Breite W2 in der zweiten Richtung Y. Mindestens die Struktur 512a', 512b', 512c' oder 512d' des Satzes leitfähiger Strukturen 512' hat eine Breite W2' in der zweiten Richtung Y. In einigen Ausführungsformen ist die Breite W2 oder W2' größer als die entsprechende Breite Wi oder W1'.
  • Andere Breiten, Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Mengen von Strukturen in dem Satz leitfähiger Elementstrukturen 512 oder dem Satz leitfähiger Strukturen 512' liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • 5C ist ein Schaubild eines Layout-Designs 500C gemäß verschiedenen Ausführungsformen der vorliegenden Offenlegung. 5D ist eine Draufsicht auf einen integrierten Schaltkreis 500D gemäß einigen Ausführungsformen.
  • Das Layout-Design 500C ist ein Layout-Schaubild des integrierten Schaltkreises 500D.
  • Das Layout-Design 500C ist eine Variation des Layout-Designs 500A oder des Abschnitts 100C der 1C-1D und 3B, und der integrierte Schaltkreis 500D ist eine Variation des integrierten Schaltkreises 500B oder des integrierten Schaltkreises 200 (2A). Zum Beispiel veranschaulichen das Layout-Design 500C und der integrierte Schaltkreis 500D ein Beispiel, bei dem die Mo-Routungsbahnen 3 Routungsbahnen aufweisen.
  • Im Vergleich zu dem Abschnitt 100C des Layout-Designs 100 von 1C ersetzt ein Satz leitfähiger Elementstrukturen 514 des Layout-Designs 500C den Satz leitfähiger Elementstrukturen 112, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird. Im Vergleich zu dem integrierten Schaltkreis 200 von 2A ersetzt ein Satz leitfähiger Strukturen 514' des integrierten Schaltkreises 500D den Satz leitfähiger Strukturen 212, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Der Satz leitfähiger Elementstrukturen 514 umfasst leitfähige Elementstrukturen 514a, 514b, 514c. Der Satz leitfähiger Elementstrukturen 514 kann zum Herstellen eines entsprechenden Satzes leitfähiger Strukturen 514' (5D) des integrierten Schaltkreises 500D verwendet werden. Der Satz leitfähiger Strukturen 514' umfasst leitfähige Strukturen 514a', 514b', 514c'. Die leitfähigen Elementstrukturen 514a, 514b, 514c können zum Herstellen entsprechender leitfähiger Strukturen 514a', 514b', 514c' verwendet werden (5D).
  • In einigen Ausführungsformen entsprechen die leitfähigen Strukturen 514a, 514b, 514c den 3 Mo-Routungsbahnen in dem Layout-Design 500C. In einigen Ausführungsformen entsprechen die leitfähigen Strukturen 514a', 514b', 514c' den 3 Mo-Routungsbahnen in dem integrierten Schaltkreis 500D.
  • Mindestens die Struktur 514a, 514b oder 514c des Satzes leitfähiger Elementstrukturen 514 hat eine Breite W3 in der zweiten Richtung Y. Mindestens die Struktur 514a', 514b' oder 514c' des Satzes leitfähiger Strukturen 514' hat eine Breite W3' in der zweiten Richtung Y. In einigen Ausführungsformen ist die Breite W3 oder W3' größer als die entsprechende Breite Wi oder W1' oder die entsprechende Breite W2 oder W2'.
  • Andere Breiten, Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Mengen von Strukturen in dem Satz leitfähiger Elementstrukturen 514 oder dem Satz leitfähiger Strukturen 514' liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • In einigen Ausführungsformen wird, da mindestens eine obere Metallschichtbahn in dem Satz leitfähiger Merkmale 212 reduziert ist, die Breite eines oder mehrerer leitfähiger Merkmale in dem Satz leitfähiger Merkmale 212 vergrößert, was im Vergleich zu anderen Ansätzen zu einem Layout-Design 500A oder 500C oder einem integrierten Schaltkreis 500B oder 500D mit besserer IR-, EM- und RC-Metallleistung führt.
  • 6 ist ein Schaltplan eines integrierten Schaltkreises 600 gemäß einigen Ausführungsformen. In einigen Ausführungsformen ist der integrierte Schaltkreis 600 ein „2-2 AND OR INVERT“-Schaltkreis (AOI-Schaltkreis). Ein 2-2-AOI-Schaltkreis wird zur Veranschaulichung verwendet, aber andere Arten von Schaltkreisen, einschließlich anderer Arten von AOI-Schaltkreisen, liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Der integrierte Schaltkreis 600 weist P-Metall-Oxid-Halbleiter-Transistoren (PMOS-Transistoren) P1, P2, P3 und P4 und N-Metall-Oxid-Halbleiter-Transistoren (NMOS-Transistoren) N1, N2, N3 und N4 auf.
  • Ein Gate-Anschluss des PMOS-Transistors P1 ist als ein Eingangsknoten (nicht beschriftet) eingerichtet, um ein Eingangssignal A1 zu empfangen. Ein Gate-Anschluss des NMOS-Transistors N1 ist als ein Eingangsknoten (nicht beschriftet) eingerichtet, um ein Eingangssignal A1 zu empfangen. In einigen Ausführungsformen ist der Gate-Anschluss des PMOS-Transistors P1 mit dem Gate-Anschluss des NMOS-Transistors N1 gekoppelt.
  • Ein Gate-Anschluss des PMOS-Transistors P2 ist als ein Eingangsknoten (nicht beschriftet) eingerichtet, um ein Eingangssignal B1 zu empfangen. Ein Gate-Anschluss des NMOS-Transistors N3 ist als ein Eingangsknoten (nicht beschriftet) eingerichtet, um ein Eingangssignal B1 zu empfangen. In einigen Ausführungsformen ist der Gate-Anschluss des PMOS-Transistors P2 mit dem Gate-Anschluss des NMOS-Transistors N3 gekoppelt.
  • Ein Gate-Anschluss des PMOS-Transistors P3 ist als ein Eingangsknoten (nicht beschriftet) eingerichtet, um ein Eingangssignal A2 zu empfangen. Ein Gate-Anschluss des NMOS-Transistors N2 ist als ein Eingangsknoten (nicht beschriftet) eingerichtet, um ein Eingangssignal A2 zu empfangen. In einigen Ausführungsformen ist der Gate-Anschluss des PMOS-Transistors P3 mit dem Gate-Anschluss des NMOS-Transistors N2 gekoppelt.
  • Ein Gate-Anschluss des PMOS-Transistors P4 ist als ein Eingangsknoten (nicht beschriftet) eingerichtet, um ein Eingangssignal B2 zu empfangen. Ein Gate-Anschluss des NMOS-Transistors N4 ist als ein Eingangsknoten (nicht beschriftet) eingerichtet, um ein Eingangssignal B2 zu empfangen. In einigen Ausführungsformen ist der Gate-Anschluss des PMOS-Transistors P4 mit dem Gate-Anschluss des NMOS-Transistors N4 gekoppelt. In einigen Ausführungsformen ist mindestens das Eingangssignal A1, A2, B1 oder B2 ein logisch niedriges Signal (low) oder ein logisch hohes Signal (high).
  • Ein Source-Anschluss des PMOS-Transistors P2 und ein Source-Anschluss des PMOS-Transistors P4 sind mit der Spannungsversorgung VDD gekoppelt. In einigen Ausführungsformen sind der Source-Anschluss des PMOS-Transistors P2 und der Source-Anschluss des PMOS-Transistors P4 miteinander gekoppelt.
  • Ein Drain-Anschluss des PMOS-Transistors P2, ein Source-Anschluss des PMOS-Transistors P1, ein Drain-Anschluss des PMOS-Transistors P4 und ein Source-Anschluss des PMOS-Transistors P3 sind miteinander gekoppelt.
  • Ein Drain-Anschluss des PMOS-Transistors P1, ein Drain-Anschluss des PMOS-Transistors P3, ein Drain-Anschluss des NMOS-Transistors N1 und ein Drain-Anschluss des NMOS-Transistors N3 sind miteinander gekoppelt und sind als ein Ausgangsknoten OUT1 eingerichtet.
  • Ein Source-Anschluss des NMOS-Transistors N1 und ein Drain-Anschluss des NMOS-Transistor N2 sind miteinander gekoppelt. Ein Source-Anschluss des NMOS-Transistors N3 und ein Drain-Anschluss des NMOS-Transistors N4 sind miteinander gekoppelt.
  • Ein Source-Anschluss des NMOS-Transistors N2 und ein Source-Anschluss des NMOS-Transistors N4 sind jeweils mit einer Referenzspannungsversorgung VSS gekoppelt. In einigen Ausführungsformen sind der Source-Anschluss des NMOS-Transistors N2 und der Source-Anschluss des NMOS-Transistors N4 miteinander gekoppelt.
  • Andere Schaltkreise, andere Arten von Transistoren und/oder Mengen von Transistoren liegen innerhalb des Umfangs verschiedener Ausführungsformen. Zum Beispiel umfasst der integrierte Schaltkreis 600 in einigen Ausführungsformen andere Arten von AOI-Logikschaltkreisen, wie zum Beispiel einen 2-1-AOI-Logikschaltkreis. Andere Werte von mindestens dem Eingangssignal A1, A2, B1 oder B2 liegen ebenfalls im Umfang verschiedener Ausführungsformen.
  • 7A-7B sind Schaubilder eines integrierten Schaltkreises 700 gemäß einigen Ausführungsformen.
  • 7A-7B sind Draufsichten auf entsprechende Abschnitte 700A-700B des integrierten Schaltkreises 700, die im Interesse einer besseren Übersichtlichkeit vereinfacht wurden. Der integrierte Schaltkreis 700 ist eine Ausführungsform des integrierten Schaltkreises 600 mit 5 Mo-Leiterbahnen (zum Beispiel ein Satz leitfähiger Merkmale 712).
  • Abschnitt 700A umfasst ein oder mehrere Elemente des integrierten Schaltkreises 700 der VBP-Ebene, der OD-Ebene, der POLY-Ebene, der MD-Ebene oder der Mo-Ebene des integrierten Schaltkreises 700. Der Abschnitt 700B umfasst ein oder mehrere Elemente des integrierten Schaltkreises 700 der BP-Ebene, der BS-Ebene, der VBP-Ebene, der VBS-Ebene, der OD-Ebene, der POLY-Ebene oder der MD-Ebene des integrierten Schaltkreises 700.
  • Im Interesse einer besseren Übersichtlichkeit sind einige der beschrifteten Elemente der 7A-7B in den 7A-7B nicht beschriftet. In einigen Ausführungsformen weist der integrierte Schaltkreis 700 zusätzliche Elemente auf, die in den 7A-7B nicht dargestellt sind.
  • Der integrierte Schaltkreis 700 wird durch ein entsprechendes Layout-Design ähnlich dem integrierten Schaltkreis 700 hergestellt. Der Kürze halber werden die 7A-7B als ein integrierter Schaltkreis 700 beschrieben, aber in einigen Ausführungsformen entsprechen die 7A-7B auch Layout-Designs, die den Layout-Designs 100, 400, 500A und 500C ähneln, Strukturelemente des integrierten Schaltkreises 700 entsprechen ebenfalls Layout-Strukturen, und strukturelle Beziehungen, einschließlich Ausrichtung, Längen und Breiten, sowie Konfigurationen und Schichten eines entsprechenden Layout-Designs des integrierten Schaltkreises 700 ähneln den strukturellen Beziehungen und Konfigurationen und Schichten des integrierten Schaltkreises 700, und auf eine ähnliche detaillierte Beschreibung wird im Interesse der Kürze verzichtet.
  • Der integrierte Schaltkreis 700 ist eine Ausführungsform des integrierten Schaltkreises 200 (2A-2B) oder des integrierten Schaltkreises 600. Im Vergleich zu dem integrierten Schaltkreis 200 der 2A-2B ersetzt ein Satz von Stromschienen 702 des integrierten Schaltkreises 700 den Satz von Stromschienen 202, ein Satz von Signalleitungen 703 ersetzt den Satz von Signalleitungen 203, ein Satz von Kontakten 706 ersetzt den Satz von Kontakten 206, ein Satz von Durchkontaktierungen 708 ersetzt den Satz von Durchkontaktierungen 208, ein Satz von Durchkontaktierungen 710 ersetzt den Satz von Durchkontaktierungen 210, und ein Satz leitfähiger Merkmale 712 ersetzt den Satz leitfähiger Merkmale 212, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Der Satz von Stromschienen 702 weist eine oder mehrere der Stromschienen 702a oder 702b auf. Die Stromschiene 702a ist dafür eingerichtet, die erste Versorgungsspannung der Spannungsversorgung VDD bereitzustellen, und die Stromschiene 702b ist dafür eingerichtet, die zweite Versorgungsspannung der Referenzspannungsversorgung VSS bereitzustellen. In einigen Ausführungsformen ist die Stromschiene 702a dafür eingerichtet, die zweite Versorgungsspannung der Referenzspannungsversorgung VSS bereitzustellen, und die Stromschiene 702b ist dafür eingerichtet, die erste Versorgungsspannung der Spannungsversorgung VDD bereitzustellen.
  • Der Satz von Signalleitungen 703 weist mindestens die Signalleitung 703a auf. Der Satz von Signalleitungen 703 ist dafür eingerichtet, das Routen von Signalen zu ermöglichen. In einigen Ausführungsformen entspricht das Routen von Signalen, das durch den Satz von Signalleitungen 703 ermöglicht wird, der Signal-Routung, die in anderen Ansätzen durch obere Schichten durchgeführt wird. Die Signalleitung 703a ist dafür eingerichtet, die Drains der NMOS-Transistoren N1 und N3 und die Drains der PMOS-Transistoren P1 und P3 elektrisch zu koppeln, was im Vergleich zu anderen Ansätzen zu zusätzlichen Routungsressourcen führt.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Mengen von Strukturen in dem Satz von Stromschienen 702 oder dem Satz von Signalleitungen 703 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung. In einigen Ausführungsformen koppelt der Satz von Signalleitungen 703 Source- oder Drain-Elemente eines Transistors in den 7, 8 und 9 elektrisch mit Gate-Elementen eines anderen Transistors in den 7, 8 und 9. In einigen Ausführungsformen koppelt der Satz von Signalleitungen 703 Gate-Elemente eines Transistors in den 7, 8 und 9 elektrisch mit Gate-Elementen eines anderen Transistors in den 7, 8 und 9.
  • Der Satz von Kontakten 706 umfasst einen oder mehrere Kontakte 706a, 706b, 706c, 706d oder 706e. Mindestens einer der Kontakte 706a, 706b, 706c, 706d oder 706e ähnelt mindestens einem der Kontakte 206a, 206b, 206c oder 206d, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • In einigen Ausführungsformen entspricht der Kontakt 706a den Source-Anschlüssen der PMOS-Transistoren P2 und P4. In einigen Ausführungsformen entspricht der Kontakt 706d den Drain-Anschlüssen der PMOS-Transistoren P1 und P3.
  • In einigen Ausführungsformen entspricht der Kontakt 706b dem Source-Anschluss des NMOS-Transistors N4. In einigen Ausführungsformen entspricht der Kontakt 706e dem Source-Anschluss des NMOS-Transistors N2. In einigen Ausführungsformen entspricht der Kontakt 706c den Drain-Anschlüssen der NMOS-Transistoren N1 und N3.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Mengen von Kontakten in dem Satz von Kontakten 706 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Der Satz von Durchkontaktierungen 708 umfasst eine oder mehrere Durchkontaktierungen 708a, 708b oder 708c. Mindestens eine der Durchkontaktierungen 708a, 708b oder 708c ähnelt mindestens einer der Durchkontaktierungen 208a oder 208b, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Die Durchkontaktierung 708a koppelt die Stromschiene 702a und den Kontakt 706a elektrisch miteinander und koppelt so die Source-Anschlüsse der PMOS-Transistoren P2 und P4 mit der Versorgungsspannung VDD. Die Durchkontaktierung 708b koppelt die Stromschiene 702b und den Kontakt 706b elektrisch miteinander und koppelt dadurch die Source-Anschlüsse der NMOS-Transistoren N4 und P4 mit der Versorgungsspannung VSS. Die Durchkontaktierung 708c koppelt die Stromschiene 702b und den Kontakt 706e elektrisch miteinander, wodurch die Source-Anschlüsse der NMOS-Transistoren N2 und P4 mit der Versorgungsspannung VSS gekoppelt werden.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Mengen von Durchkontaktierungen in dem Satz von Durchkontaktierungen 708 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Der Satz von Durchkontaktierungen 710 umfasst eine oder mehrere Durchkontaktierungen 710a oder 710b. Mindestens eine der Durchkontaktierungen 710a und 710b ähnelt mindestens einer der Durchkontaktierungen 210a und 210b, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Die Durchkontaktierung 710a koppelt die Signalleitung 703a und den Kontakt 706c elektrisch miteinander, wodurch die Drain-Anschlüsse der NMOS-Transistoren N1 und N3 mit der Signalleitung 703a gekoppelt werden. Die Durchkontaktierung 710b koppelt die Signalleitung 703a und den Kontakt 706d elektrisch miteinander, wodurch die Drain-Anschlüsse der PMOS-Transistoren P1 und P3 mit der Signalleitung 703a gekoppelt werden. Somit koppeln die Signalleitung 703a und die Durchkontaktierungen 710a und 710b die Kontakte 706c und 706d elektrisch miteinander, wodurch die Drain-Anschlüsse der NMOS-Transistoren N1 und N3 und die Drain-Anschlüsse der PMOS-Transistoren P1 und P3 miteinander gekoppelt werden, was im Vergleich zu anderen Ansätzen zu zusätzlichen Routungsressourcen auf der anderen Metallschicht führt.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Mengen von Durchkontaktierungen in dem Satz von Durchkontaktierungen 710 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung. Andere Überlappungspositionen oder Anzahlen von Überlappungspositionen zwischen einem oder mehreren des Satzes von Kontakten 706, des Satzes von Durchkontaktierungen 710 und des Satzes von Signalleitungen 703 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung, und daher liegen auch andere elektrische Verbindungen innerhalb des Umfangs der vorliegenden Offenbarung.
  • Der Satz leitfähiger Merkmale 712 umfasst eines oder mehrere der leitfähigen Merkmale 712a, 712b, 712c, 712d, 712e, 712f oder 712g. Mindestens eines der leitfähigen Merkmale 712a, 712b, 712c, 712d, 712e, 712f und 712g ähnelt mindestens einem der leitfähigen Merkmale 212a, 212b, 212c, 212d und 212e, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird. Das leitfähige Merkmal 712a koppelt jeden der Drain-Anschlüsse der PMOS-Transistoren P2 und P4 und die Source-Anschlüsse der PMOS-Transistoren P1 und P3 elektrisch miteinander.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Mengen von leitfähigen Merkmalen in dem Satz leitfähiger Merkmale 712 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • 8A-8B sind Schaubilder eines integrierten Schaltkreises 800 gemäß einigen Ausführungsformen.
  • 8A-8B sind Draufsichten auf entsprechende Abschnitte 800A-800B des integrierten Schaltkreises 800, die im Interesse einer besseren Übersichtlichkeit vereinfacht wurden. Der integrierte Schaltkreis 800 ist eine Ausführungsform des integrierten Schaltkreises 600 mit 4 Mo-Leiterbahnen (zum Beispiel ein Satz leitfähiger Merkmale 812).
  • Abschnitt 800A umfasst ein oder mehrere Merkmale des integrierten Schaltkreises 800 der VBP-Ebene, der OD-Ebene, der POLY-Ebene, der MD-Ebene oder der Mo-Ebene des integrierten Schaltkreises 800. Der Abschnitt 800B umfasst ein oder mehrere Merkmale des integrierten Schaltkreises 800 der BP-Ebene, der BS-Ebene, der VBP-Ebene, der VBS-Ebene-Ebene, der POLY-Ebene oder der MD-Ebene des integrierten Schaltkreises 800.
  • Im Interesse einer besseren Übersichtlichkeit sind einige der beschrifteten Elemente der 8A-8B in den 8A-8B nicht beschriftet. In einigen Ausführungsformen weist der integrierte Schaltkreis 800 zusätzliche Elemente auf, die in den 8A-8B nicht dargestellt sind.
  • Der integrierte Schaltkreis 800 wird durch ein entsprechendes Layout-Design ähnlich dem integrierten Schaltkreis 800 hergestellt. Der Kürze halber werden die 8A-8B als ein integrierter Schaltkreis 800 beschrieben, aber in einigen Ausführungsformen entsprechen die 8A-8B auch Layout-Designs, die den Layout-Designs 100, 400, 500A und 500C ähneln, Strukturelemente des integrierten Schaltkreises 800 entsprechen ebenfalls Layout-Strukturen, und strukturelle Beziehungen, einschließlich Ausrichtung, Längen und Breiten, sowie Konfigurationen und Schichten eines entsprechenden Layout-Designs des integrierten Schaltkreises 800 ähneln den strukturellen Beziehungen und Konfigurationen und Schichten des integrierten Schaltkreises 800, und auf eine ähnliche detaillierte Beschreibung wird im Interesse der Kürze verzichtet.
  • Der integrierte Schaltkreis 800 ist eine Variation des integrierten Schaltkreises 700 (7A-7B), und auf eine ähnliche detaillierte Beschreibung wird der Kürze halber verzichtet. Im Vergleich zu dem integrierten Schaltkreis 700 der 7A-7B ersetzt ein Satz leitfähiger Merkmale 812 des integrierten Schaltkreises 800 den Satz leitfähiger Merkmale 712, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird. Im weiteren Vergleich zu dem integrierten Schaltkreis 700 der 7A-7B umfasst der integrierte Schaltkreis 800 des Weiteren einen Satz von Gitterlinien 840. In einigen Ausführungsformen entspricht der Satz von Gitterlinien 840 M1-Routungsbahnen, die Pin-Zugangspunkte zu anderen Schichten des integrierten Schaltkreises 800 bereitstellen. Andere Konfigurationen des Satzes von Gitterlinien 840 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Der integrierte Schaltkreis 800 ist eine Ausführungsform des integrierten Schaltkreises 200 (2A-2B) oder des integrierten Schaltkreises 600. Im Vergleich zu dem integrierten Schaltkreis 200 der 2A-2B ersetzt ein Satz von Stromschienen 702 des integrierten Schaltkreises 800 den Satz von Stromschienen 202, ein Satz von Signalleitungen 703 ersetzt den Satz von Signalleitungen 203, ein Satz von Kontakten 706 ersetzt den Satz von Kontakten 206, ein Satz von Durchkontaktierungen 708 ersetzt den Satz von Durchkontaktierungen 208, ein Satz von Durchkontaktierungen 710 ersetzt den Satz von Durchkontaktierungen 210, und ein Satz leitfähiger Merkmale 812 ersetzt den Satz leitfähiger Merkmale 212, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Der Satz leitfähiger Merkmale 812 weist eines oder mehrere der leitfähigen Merkmale 812a, 812b, 812c, 812d, 812e oder 812f auf. Mindestens eines der leitfähigen Merkmale 812a, 812b, 812c, 812d, 812e oder 812f ähnelt mindestens einem der leitfähigen Merkmale 212a, 212b, 212c, 212d oder 212e, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird. Der Satz leitfähiger Merkmale 812 entspricht 4 Mo-Routungsbahnen. Routungsbahnen auf anderen Metallschichtanzahlen oder andere Anzahlen von Routungsbahnen liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Das leitfähige Merkmal 812a ähnelt dem leitfähigen Merkmal 712a, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird. Die leitfähigen Merkmale 812b und 812e sind in der zweiten Richtung Y voneinander getrennt und bieten dadurch im Vergleich zu durchgehenden leitfähigen Merkmalen unterschiedliche leitfähige Merkmale zu oberen Metallschichten für Routungsressourcen und Pin-Zugriff.
  • Die leitfähigen Merkmale 812c und 812f sind in der zweiten Richtung Y voneinander getrennt und bieten dadurch im Vergleich zu durchgehenden leitfähigen Merkmalen unterschiedliche leitfähige Merkmale zu oberen Metallschichten für Routungsressourcen und Pin-Zugriff. Das leitfähige Merkmal 812d entspricht dem Ausgangsknoten OUT1 des integrierten Schaltkreises 600 oder 800 und ist elektrisch mit dem Drain-Anschluss des PMOS-Transistors P1, dem Drain-Anschluss des PMOS-Transistors P3, dem Drain-Anschluss des NMOS-Transistors N1 und dem Drain-Anschluss des NMOS-Transistors N3 gekoppelt.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Mengen von leitfähigen Merkmalen in dem Satz leitfähiger Merkmale 812 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • In einigen Ausführungsformen sind Höhe und Fläche des integrierten Schaltkreises 800 reduziert, da im Vergleich zu anderen Ansätzen mindestens eine obere Metallschichtbahn in dem Satz leitfähiger Merkmale 812 reduziert ist. In einigen Ausführungsformen wird, da mindestens eine obere Metallschichtbahn in dem Satz leitfähiger Merkmale 812 reduziert ist, die Breite eines oder mehrerer leitfähiger Merkmale in dem Satz leitfähiger Merkmale 812 im Vergleich zu anderen Ansätzen erhöht, was im Vergleich zu anderen Ansätzen zu einem integrierten Schaltkreis 800 mit geringerer IR-, EM- und RC-Metallleistung führt.
  • 9A-9B sind Schaubilder eines integrierten Schaltkreises 900 gemäß einigen Ausführungsformen.
  • 9A-9B sind Draufsichten auf entsprechende Abschnitte 900A-900B des integrierten Schaltkreises 900, die im Interesse einer besseren Übersichtlichkeit vereinfacht wurden. Der integrierte Schaltkreis 900 ist eine Ausführungsform des integrierten Schaltkreises 600 mit 3 Mo-Leiterbahnen (zum Beispiel ein Satz leitfähiger Merkmale 912).
  • Der Abschnitt 900A umfasst ein oder mehrere Merkmale des integrierten Schaltkreises 900 der VBP-Ebene, der OD-Ebene, der POLY-Ebene, der MD-Ebene, der Mo-Ebene oder der Metall-i-Ebene (Mi-Ebene) des integrierten Schaltkreises 900. Der Abschnitt 900B umfasst ein oder mehrere Merkmale des integrierten Schaltkreises 900 der BP-Ebene, der BS-Ebene, der VBP-Ebene, der VBS-Ebene-Ebene, der POLY-Ebene oder der MD-Ebene des integrierten Schaltkreises 900.
  • Im Interesse einer besseren Übersichtlichkeit sind einige der beschrifteten Elemente der 9A-9B in den 9A-9B nicht beschriftet. In einigen Ausführungsformen weist der integrierte Schaltkreis 900 zusätzliche Elemente auf, die in den 9A-9B nicht dargestellt sind.
  • Der integrierte Schaltkreis 900 wird durch ein entsprechendes Layout-Design ähnlich dem integrierten Schaltkreis 900 hergestellt. Der Kürze halber werden die 9A-9B als ein integrierter Schaltkreis 900 beschrieben, aber in einigen Ausführungsformen entsprechen die 9A-9B auch Layout-Designs, die den Layout-Designs 100, 400, 500A und 500C ähneln, Strukturelemente des integrierten Schaltkreises 900 entsprechen ebenfalls Layout-Strukturen, und strukturelle Beziehungen, einschließlich Ausrichtung, Längen und Breiten, sowie Konfigurationen und Schichten eines entsprechenden Layout-Designs des integrierten Schaltkreises 900 ähneln den strukturellen Beziehungen und Konfigurationen und Schichten des integrierten Schaltkreises 900, und auf eine ähnliche detaillierte Beschreibung wird im Interesse der Kürze verzichtet.
  • Der integrierte Schaltkreis 900 ist eine Variation des integrierten Schaltkreises 700 (7A-7B) oder 800 (8A-8B), und auf eine ähnliche detaillierte Beschreibung wird der Kürze halber verzichtet. Im Vergleich zu dem integrierten Schaltkreis 700 der 7A-7B ersetzt ein Satz leitfähiger Merkmale 912 des integrierten Schaltkreises 900 den Satz leitfähiger Merkmale 712, und der integrierte Schaltkreis 900 weist des Weiteren einen Satz leitfähiger Merkmale 920 auf, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Der integrierte Schaltkreis 900 ist eine Ausführungsform des integrierten Schaltkreises 200 (2A-2B) oder des integrierten Schaltkreises 600. Im Vergleich zu dem integrierten Schaltkreis 200 der 2A-2B ersetzt ein Satz von Stromschienen 702 des integrierten Schaltkreises 900 den Satz von Stromschienen 202, ein Satz von Signalleitungen 703 ersetzt den Satz von Signalleitungen 203, ein Satz von Kontakten 706 ersetzt den Satz von Kontakten 206, ein Satz von Durchkontaktierungen 708 ersetzt den Satz von Durchkontaktierungen 208, ein Satz von Durchkontaktierungen 710 ersetzt den Satz von Durchkontaktierungen 210, und ein Satz leitfähiger Merkmale 912 ersetzt den Satz leitfähiger Merkmale 212, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Der Satz leitfähiger Merkmale 912 weist eines oder mehrere der leitfähigen Merkmale 912a, 912b, 912c, 912d oder 912e auf. Mindestens eines der leitfähigen Merkmale 912a, 912b, 912c, 912d oder 912e ähnelt mindestens einem der leitfähigen Merkmale 212a, 212b, 212c, 212d oder 212e, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird. Der Satz leitfähiger Merkmale 912 entspricht 3 Mo-Routungsbahnen. Routungsbahnen auf anderen Metallschichtanzahlen liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Die leitfähigen Merkmale 912d und 912b sind in der zweiten Richtung Y voneinander getrennt und bieten dadurch im Vergleich zu durchgehenden leitfähigen Merkmalen unterschiedliche leitfähige Merkmale zu oberen Metallschichten für Routungsressourcen und Pin-Zugriff.
  • Die leitfähigen Merkmale 912e und 912c sind in der zweiten Richtung Y voneinander getrennt und bieten dadurch im Vergleich zu durchgehenden leitfähigen Merkmalen unterschiedliche leitfähige Merkmale zu oberen Metallschichten für Routungsressourcen und Pin-Zugriff.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Mengen von leitfähigen Merkmalen in dem Satz leitfähiger Merkmale 912 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Der Satz leitfähiger Merkmale 920 weist eines oder mehrere der leitfähigen Merkmale 920a, 920b, 920c, 920d oder 920e auf. Mindestens eines der leitfähigen Merkmale 920a, 920b, 920c, 920d oder 920e ähnelt mindestens einem der leitfähigen Merkmale 212a, 212b, 212c, 212d oder 212e, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Der Satz leitfähiger Merkmale 920 erstreckt sich in der zweiten Richtung Y. Jedes leitfähige Merkmal des Satzes leitfähiger Merkmale 920 ist in der ersten Richtung X von einem benachbarten leitfähige Merkmal getrennt. Der Satz leitfähiger Merkmale 920 überlappt mindestens den Satz leitfähiger Merkmale 912. Der Satz leitfähiger Merkmale 912 entspricht M1-Routungsbahnen. Routungsbahnen auf anderen Metallschichtanzahlen liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung. Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Mengen von leitfähigen Merkmalen in dem Satz leitfähiger Merkmale 920 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • In einigen Ausführungsformen sind Höhe und Fläche des integrierten Schaltkreises 900 im Vergleich zu anderen Ansätzen reduziert, da mindestens eine obere Metallschichtbahn in dem Satz leitfähiger Merkmale 912 reduziert ist. In einigen Ausführungsformen wird, da mindestens eine obere Metallschichtbahn in dem Satz leitfähiger Merkmale 912 reduziert ist, die Breite eines oder mehrerer leitfähiger Merkmale in dem Satz leitfähiger Merkmale 912 im Vergleich zu anderen Ansätzen erhöht, was im Vergleich zu anderen Ansätzen zu einem integrierten Schaltkreis 900 mit geringerer IR-, EM- und RC-Metallleistung führt.
  • 10 ist ein Schaltplan eines integrierten Schaltkreises 1000 gemäß einigen Ausführungsformen. In einigen Ausführungsformen ist der integrierte Schaltkreis 1000 ein „2-2-NAND-Logikgatter“-Schaltkreis (im Folgenden ein „NAND-Schaltkreis“). Ein 2-2-NAND-Schaltkreis wird zur Veranschaulichung verwendet, aber andere Arten von Schaltkreisen, einschließlich anderer Arten von NAND-Schaltkreisen, liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Der integrierte Schaltkreis 1000 umfasst PMOS-Transistoren P5 und P6 sowie NMOS-Transistoren N5, N6, N7 und N8.
  • Ein Gate-Anschluss des PMOS-Transistors P5 ist als ein Eingangsknoten (nicht beschriftet) eingerichtet, um ein Eingangssignal A2 zu empfangen. Ein Gate-Anschluss des NMOS-Transistors N6 ist als ein Eingangsknoten (nicht beschriftet) eingerichtet, um ein Eingangssignal A2 zu empfangen. Ein Gate-Anschluss des NMOS-Transistors N8 ist als ein Eingangsknoten (nicht beschriftet) eingerichtet, um ein Eingangssignal A2 zu empfangen.
  • In einigen Ausführungsformen sind mindestens zwei der Gate-Anschlüsse des PMOS-Transistors P5, des Gate-Anschlusses des NMOS-Transistors N6 und des Gate-Anschlusses des NMOS-Transistors N8 miteinander gekoppelt.
  • Ein Gate-Anschluss des PMOS-Transistors P6 ist als ein Eingangsknoten (nicht beschriftet) eingerichtet, um ein Eingangssignal A1 zu empfangen. Ein Gate-Anschluss des NMOS-Transistors N5 ist als ein Eingangsknoten (nicht beschriftet) eingerichtet, um ein Eingangssignal A1 zu empfangen. Ein Gate-Anschluss des NMOS-Transistors N7 ist als ein Eingangsknoten (nicht beschriftet) eingerichtet, um ein Eingangssignal A1 zu empfangen.
  • In einigen Ausführungsformen sind mindestens zwei der Gate-Anschlüsse des PMOS-Transistors P6, des Gate-Anschlusses des NMOS-Transistors N5 und des Gate-Anschlusses des NMOS-Transistors N7 miteinander gekoppelt.
  • In einigen Ausführungsformen ist mindestens das Eingangssignal A1 oder A2 ein logisch niedriges Signal (low) oder ein logisch hohes Signal (high).
  • Ein Source-Anschluss des PMOS-Transistors P5 und ein Source-Anschluss des PMOS-Transistors P6 sind mit der Spannungsversorgung VDD gekoppelt. In einigen Ausführungsformen sind der Source-Anschluss des PMOS-Transistors P5 und der Source-Anschluss des PMOS-Transistors P6 miteinander gekoppelt.
  • Ein Drain-Anschluss des PMOS-Transistors P5, ein Drain-Anschluss des PMOS-Transistors P6, ein Drain-Anschluss des NMOS-Transistors N5 und ein Drain-Anschluss des NMOS-Transistors N7 sind miteinander gekoppelt und sind als ein Ausgangsknoten OUT1 eingerichtet.
  • Ein Source-Anschluss des NMOS-Transistors N5 und ein Drain-Anschluss des NMOS-Transistors N6 sind miteinander gekoppelt. Ein Source-Anschluss des NMOS-Transistors N7 und ein Drain-Anschluss des NMOS-Transistors N8 sind miteinander gekoppelt.
  • Ein Source-Anschluss des NMOS-Transistors N6 und ein Source-Anschluss des NMOS-Transistors N8 sind jeweils mit einer Referenzspannungsversorgung VSS gekoppelt. In einigen Ausführungsformen sind der Source-Anschluss des NMOS-Transistors N6 und der Source-Anschluss des NMOS-Transistors N8 miteinander gekoppelt.
  • Andere Schaltkreise, andere Arten von Transistoren und/oder Mengen von Transistoren liegen innerhalb des Umfangs verschiedener Ausführungsformen. Zum Beispiel umfasst der integrierte Schaltkreis 1000 in einigen Ausführungsformen andere Arten von NAND-Schaltkreisen, wie zum Beispiel einen 2-1-NAND-Schaltkreis. Andere Werte von mindestens dem Eingangssignal A1 oder A2 liegen ebenfalls im Umfang verschiedener Ausführungsformen.
  • 11A-11B sind Schaubilder eines integrierten Schaltkreises 1100 gemäß einigen Ausführungsformen.
  • 11A-11B sind Draufsichten auf entsprechende Abschnitte 1100A-1100B des integrierten Schaltkreises 1100, die im Interesse einer besseren Übersichtlichkeit vereinfacht wurden. Der integrierte Schaltkreis 1100 ist eine Ausführungsform des integrierten Schaltkreises 1000 mit 5 Mo-Leiterbahnen (zum Beispiel ein Satz leitfähiger Merkmale 1112).
  • Der Abschnitt 1100A umfasst ein oder mehrere Elemente des integrierten Schaltkreises 1100 der VBP-Ebene, der OD-Ebene, der POLY-Ebene, der MD-Ebene, der Mo-Ebene oder der M1-Ebene des integrierten Schaltkreises 1100. Der Abschnitt 1100B umfasst ein oder mehrere Elemente des integrierten Schaltkreises 1100 der BP-Ebene, der BS-Ebene, der VBP-Ebene, der VBS-Ebene-Ebene, der POLY-Ebene oder der MD-Ebene des integrierten Schaltkreises 1100.
  • Im Interesse einer besseren Übersichtlichkeit sind einige der beschrifteten Elemente der 11A-11B in den 11A-11B nicht beschriftet. In einigen Ausführungsformen weist der integrierte Schaltkreis 1100 zusätzliche Elemente auf, die in den 11A-11B nicht dargestellt sind.
  • Der integrierte Schaltkreis 1100 wird durch ein entsprechendes Layout-Design ähnlich dem integrierten Schaltkreis 1100 hergestellt. Der Kürze halber werden die 11A-11B als ein integrierter Schaltkreis 1100 beschrieben, aber in einigen Ausführungsformen entsprechen die 11A-11B auch Layout-Designs, die den Layout-Designs 100, 400, 500A und 500C ähneln, Strukturelemente des integrierten Schaltkreises 1100 entsprechen ebenfalls Layout-Strukturen, und strukturelle Beziehungen, einschließlich Ausrichtung, Längen und Breiten, sowie Konfigurationen und Schichten eines entsprechenden Layout-Designs des integrierten Schaltkreises 1100 ähneln den strukturellen Beziehungen und Konfigurationen und Schichten des integrierten Schaltkreises 1100, und auf eine ähnliche detaillierte Beschreibung wird im Interesse der Kürze verzichtet.
  • Der integrierte Schaltkreis 1100 ist eine Ausführungsform des integrierten Schaltkreises 400 (4A-4B) oder des integrierten Schaltkreises 1000. Im Vergleich zu dem integrierten Schaltkreis 400 der 4A-4B ersetzt der Satz von Stromschienen 702 des integrierten Schaltkreises 1100 den Satz von Stromschienen 202, ein Satz von Signalleitungen 1103 ersetzt den Satz von Signalleitungen 203, ein Satz von Kontakten 1106 ersetzt den Satz von Kontakten 406, ein Satz von Durchkontaktierungen 1108 ersetzt den Satz von Durchkontaktierungen 208 (in den 2A-2B dargestellt), ein Satz von Durchkontaktierungen 1110 ersetzt den Satz von Durchkontaktierungen 410, ein Satz leitfähiger Merkmale 1112 ersetzt den Satz leitfähiger Merkmale 212, und ein Satz von Gates 1116 ersetzt den Satz von Gates 416, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Der Satz von Signalleitungen 1103 weist mindestens die Signalleitung 1103a auf. Der Satz von Signalleitungen 1103 ist dafür eingerichtet, das Routen von Signalen aus oberen Schichten zu ermöglichen. Die Signalleitung 1103a ist dafür eingerichtet, die Gates des PMOS-Transistors P5 und eines der NMOS-Transistoren N6 und N8 elektrisch mit dem Gate des anderen der NMOS-Transistoren N8 und N6 zu koppeln, was im Vergleich zu anderen Ansätzen zu zusätzlichen Routungsressourcen führt. Andere Verbindungen mit anderen Gates liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Mengen von Strukturen in dem Satz von Stromschienen 702 oder dem Satz von Signalleitungen 1103 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung. In einigen Ausführungsformen koppelt der Satz von Signalleitungen 1103 Source- oder Drain-Elemente eines Transistors in den 11 elektrisch mit Gate-Elementen eines anderen Transistors in 11. In einigen Ausführungsformen koppelt der Satz von Signalleitungen 1103 Source- oder Drain-Elemente eines Transistors in 11 elektrisch mit Source- oder Drain-Elementen eines anderen Transistors in 11.
  • Der Satz von Kontakten 1106 umfasst einen oder mehrere Kontakte 1106a, 1106b, 1106c, 1106d oder 1106e. Mindestens einer der Kontakte 1106a, 1106b, 1106c, 1106d und 1106e ähnelt mindestens einem der Kontakte 406a, 406b, 406c, 406d, 406e, 406f, 406g und 406h, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • In einigen Ausführungsformen entspricht der Kontakt 1106a dem Source-Anschluss des PMOS-Transistors P5. In einigen Ausführungsformen entspricht der Kontakt 1106b dem Source-Anschluss des PMOS-Transistors P6. In einigen Ausführungsformen entspricht der Kontakt 1106c dem Source-Anschluss des PMOS-Transistors P5.
  • In einigen Ausführungsformen entspricht der Kontakt 1106d dem Source-Anschluss des NMOS-Transistors N6. In einigen Ausführungsformen entspricht der Kontakt 1106e dem Source-Anschluss des NMOS-Transistors N8.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Mengen von Kontakten in dem Satz von Kontakten 1106 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Der Satz von Durchkontaktierungen 1108 umfasst eine oder mehrere Durchkontaktierungen 1108a, 1108b, 1108c, 1108d oder 1108e. Mindestens eine der Durchkontaktierungen 1108a, 1108b, 1108c, 1108d oder 1108e ähnelt mindestens einer der Durchkontaktierungen 208a oder 208b, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • In einigen Ausführungsformen koppelt die Durchkontaktierung 1108a die Stromschiene 702a und den Kontakt 1106a elektrisch miteinander, wodurch der Source-Anschluss des PMOS-Transistors P5 mit der Versorgungsspannung VDD gekoppelt wird. Die Durchkontaktierung 1108b koppelt die Stromschiene 702a und den Kontakt 1106b elektrisch miteinander, wodurch der Source-Anschluss des PMOS-Transistors P6 mit der Versorgungsspannung VDD gekoppelt wird. In einigen Ausführungsformen koppelt die Durchkontaktierung 1108c die Stromschiene 702a und den Kontakt 1106c elektrisch miteinander, wodurch der Source-Anschluss des PMOS-Transistors P5 mit der Versorgungsspannung VDD gekoppelt wird.
  • In einigen Ausführungsformen koppelt die Durchkontaktierung 1108d die Stromschiene 702b und den Kontakt 1106d elektrisch miteinander, wodurch der Source-Anschluss des NMOS-Transistors N6 mit der Referenzversorgungsspannung VSS gekoppelt wird. In einigen Ausführungsformen koppelt die Durchkontaktierung 1108e die Stromschiene 702b und den Kontakt 1106e elektrisch miteinander, wodurch der Source-Anschluss des NMOS-Transistors N8 mit der Referenzversorgungsspannung VSS gekoppelt wird.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Mengen von Durchkontaktierungen in dem Satz von Durchkontaktierungen 1108 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Der Satz von Durchkontaktierungen 1110 umfasst eine oder mehrere Durchkontaktierungen 1110a oder 1110b. Mindestens eine der Durchkontaktierungen 1110a und 1110b ähnelt mindestens einer der Durchkontaktierungen 410a und 410b, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Die Durchkontaktierung 1110a koppelt die Signalleitung 1103a und das Gate 1116b elektrisch miteinander, wodurch der Gate-Anschluss des PMOS-Transistors P5 und der Gate-Anschluss des NMOS-Transistors N6 mit der Signalleitung 1103a gekoppelt werden. Die Durchkontaktierung 1110b koppelt die Signalleitung 1103a und das Gate 1116e elektrisch miteinander, wodurch der Gate-Anschluss des PMOS-Transistors P5 und der Gate-Anschluss des NMOS-Transistors N8 mit der Signalleitung 1103a gekoppelt werden. Somit koppeln die Signalleitung 1103a und die Durchkontaktierungen 1110a und 1110b die Gates 1116b und 1116e elektrisch miteinander, wodurch der Gate-Anschluss des PMOS-Transistors P5, der Gate-Anschluss des NMOS-Transistors N6 und der Gate-Anschluss des PMOS-Transistors N8 miteinander gekoppelt werden, was im Vergleich zu anderen Ansätzen zu zusätzlichen Routungsressourcen auf der anderen Metallschicht führt.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Mengen von Durchkontaktierungen in dem Satz von Durchkontaktierungen 1110 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung. Andere Überlappungspositionen oder Anzahlen von Überlappungspositionen zwischen einem oder mehreren des Satzes von Kontakten 1106, des Satzes von Durchkontaktierungen 1110, des Satzes von Signalleitungen 1103 und des Satzes von Gates 1116 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung, und daher liegen andere elektrische Verbindungen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Der Satz leitfähiger Merkmale 1112 weist eines oder mehrere der leitfähigen Merkmale 1112a, 1112b, 1112c, 1112d, 1112e oder 1112f auf. Mindestens eines der leitfähigen Merkmale 1112a, 1112b, 1112c, 1112d, 1112e oder 1112f ähnelt mindestens einem der leitfähigen Merkmale 212a, 212b, 212c, 212d oder 212e, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Mengen von leitfähigen Merkmalen in dem Satz leitfähiger Merkmale 1112 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Der Satz von Gates 1116 umfasst ein oder mehrere Gates 1116a, 1116b, 1116c, 1116d, 1116e oder 1116f. Die Gates 1116a, 1116c, 1116d oder 1116f sind in den 11A-11B im Interesse einer besseren Übersichtlichkeit nicht beschriftet. Mindestens eines der Gates 1116a, 1116b, 1116c, 1116d, 1116e und 1116f ähnelt mindestens einem der Gates 416a, 416b, 416c, 416d und 416e, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • In einigen Ausführungsformen entspricht das Gate 1116b dem Gate des NMOS-Transistors N6, und das Gate 1116e entspricht dem Gate des NMOS-Transistors N8.
  • In einigen Ausführungsformen entspricht das Gate 1116c dem Gate des NMOS-Transistors N5, und das Gate 1116d entspricht dem Gate des NMOS-Transistors N7.
  • In einigen Ausführungsformen entspricht das Gate 1116c dem Gate des NMOS-Transistors N5, und das Gate 1116d entspricht dem Gate des NMOS-Transistors N7. In einigen Ausführungsformen entspricht mindestens das Gate 1116b oder 1116e dem Gate des PMOS-Transistors P5.
  • Das Gate 1116b und das Gate 1116e sind über die Signalleitung 1103a und die Durchkontaktierungen 1110a und 1110b elektrisch miteinander gekoppelt.
  • Die Signalleitung 1103a koppelt die Gates 1116b und 1116e elektrisch miteinander, wodurch mindestens eine obere Metallschichtbahn weniger in dem Satz leitfähiger Merkmale 1112 verwendet wird, was im Vergleich zu anderen Ansätzen zu einem integrierten Schaltkreis 1100 mit mindestens einer kleineren Höhe, einer kleineren Fläche, einer besseren IR-, EM- und RC-Metallleistung oder zusätzlichen Routungsressourcen auf anderen Metallschichten führt.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Mengen von leitfähigen Merkmalen in dem Satz von Gates 1116 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • 12 ist ein Schaltplan eines integrierten Schaltkreises 1200 gemäß einigen Ausführungsformen. In einigen Ausführungsformen ist der integrierte Schaltkreis 1200 ein „Split-Gate-4-2-NAND-Logikgatter“-Schaltkreis (im Folgenden ein „NAND-Schaltkreis“). Ein 4-2-NAND-Schaltkreis wird zur Veranschaulichung verwendet, aber andere Arten von Schaltkreisen, einschließlich anderer Arten von NAND-Schaltkreisen, liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Der integrierte Schaltkreis 1200 umfasst PMOS-Transistoren P7, P8, P9 und P10 sowie NMOS-Transistoren N9, N10, N11, N12, N13, N14, N15 und N16.
  • Ein Gate-Anschluss des PMOS-Transistors P7 ist als ein Eingangsknoten (nicht beschriftet) eingerichtet, um ein Eingangssignal A1 zu empfangen. Ein Gate-Anschluss des NMOS-Transistors N9 ist als ein Eingangsknoten (nicht beschriftet) eingerichtet, um ein Eingangssignal A1 zu empfangen. Ein Gate-Anschluss des NMOS-Transistors N13 ist als ein Eingangsknoten (nicht beschriftet) eingerichtet, um ein Eingangssignal A1 zu empfangen. In einigen Ausführungsformen sind mindestens zwei der Gate-Anschlüsse des PMOS-Transistors P7, des Gate-Anschlusses des NMOS-Transistors N9 oder des Gate-Anschlusses des NMOS-Transistors N13 miteinander gekoppelt.
  • Ein Gate-Anschluss des PMOS-Transistors P8 ist als ein Eingangsknoten (nicht beschriftet) eingerichtet, um ein Eingangssignal A2 zu empfangen. Ein Gate-Anschluss des NMOS-Transistors N10 ist als ein Eingangsknoten (nicht beschriftet) eingerichtet, um ein Eingangssignal A2 zu empfangen. Ein Gate-Anschluss des NMOS-Transistors N14 ist als ein Eingangsknoten (nicht beschriftet) eingerichtet, um ein Eingangssignal A2 zu empfangen. In einigen Ausführungsformen sind mindestens zwei der Gate-Anschlüsse des PMOS-Transistors P8, des Gate-Anschlusses des NMOS-Transistors N10 oder des Gate-Anschlusses des NMOS-Transistors N14 miteinander gekoppelt.
  • Ein Gate-Anschluss des PMOS-Transistors P9 ist als ein Eingangsknoten (nicht beschriftet) eingerichtet, um ein Eingangssignal A3 zu empfangen. Ein Gate-Anschluss des NMOS-Transistors N11 ist als ein Eingangsknoten (nicht beschriftet) eingerichtet, um ein Eingangssignal A3 zu empfangen. Ein Gate-Anschluss des NMOS-Transistors N15 ist als ein Eingangsknoten (nicht beschriftet) eingerichtet, um ein Eingangssignal A3 zu empfangen. In einigen Ausführungsformen sind mindestens zwei der Gate-Anschlüsse des PMOS-Transistors P9, des Gate-Anschlusses des NMOS-Transistors N11 oder des Gate-Anschlusses des NMOS-Transistors N15 miteinander gekoppelt.
  • Ein Gate-Anschluss des PMOS-Transistors P10 ist als ein Eingangsknoten (nicht beschriftet) eingerichtet, um ein Eingangssignal A4 zu empfangen. Ein Gate-Anschluss des NMOS-Transistors N12 ist als ein Eingangsknoten (nicht beschriftet) eingerichtet, um ein Eingangssignal A4 zu empfangen. Ein Gate-Anschluss des NMOS-Transistors N16 ist als ein Eingangsknoten (nicht beschriftet) eingerichtet, um ein Eingangssignal A4 zu empfangen. In einigen Ausführungsformen sind mindestens zwei der Gate-Anschlüsse des PMOS-Transistors P10, des Gate-Anschlusses des NMOS-Transistors N12 oder des Gate-Anschlusses des NMOS-Transistors N16 miteinander gekoppelt.
  • In einigen Ausführungsformen ist mindestens das Eingangssignal A1, A2, A3 oder A4 ein logisch niedriges Signal (low) oder ein logisch hohes Signal (high).
  • Ein Source-Anschluss des PMOS-Transistors P7, ein Source-Anschluss des PMOS-Transistors P8, ein Source-Anschluss des PMOS-Transistors P9 und ein Source-Anschluss des PMOS-Transistors P10 sind mit der Spannungsversorgung VDD gekoppelt. In einigen Ausführungsformen sind der Source-Anschluss des PMOS-Transistors P7, der Source-Anschluss des PMOS-Transistors P8, der Source-Anschluss des PMOS-Transistors P9 und der Source-Anschluss des PMOS-Transistors P10 miteinander gekoppelt.
  • Ein Drain-Anschluss des PMOS-Transistors P7, ein Drain-Anschluss des PMOS-Transistors P8, ein Drain-Anschluss des PMOS-Transistors P9, ein Drain-Anschluss des PMOS-Transistors P10, ein Drain-Anschluss des NMOS-Transistors N9 und ein Drain-Anschluss des NMOS-Transistors N13 sind miteinander gekoppelt und sind als ein Ausgangsknoten OUT1 eingerichtet.
  • Ein Source-Anschluss des NMOS-Transistors N9 und ein Drain-Anschluss des NMOS-Transistors N10 sind miteinander gekoppelt. Ein Source-Anschluss des NMOS-Transistors N10 und ein Drain-Anschluss des NMOS-Transistors N11 sind miteinander gekoppelt. Ein Source-Anschluss des NMOS-Transistors N11 und ein Drain-Anschluss des NMOS-Transistors N12 sind miteinander gekoppelt. Ein Source-Anschluss des NMOS-Transistors N12 ist mit der Referenzspannungsversorgung VSS gekoppelt.
  • Ein Source-Anschluss des NMOS-Transistors N13 und ein Drain-Anschluss des NMOS-Transistors N14 sind miteinander gekoppelt. Ein Source-Anschluss des NMOS-Transistors N14 und ein Drain-Anschluss des NMOS-Transistors N15 sind miteinander gekoppelt. Ein Source-Anschluss des NMOS-Transistors N15 und ein Drain-Anschluss des NMOS-Transistors N16 sind miteinander gekoppelt. Ein Source-Anschluss des NMOS-Transistors N16 ist mit der Referenzspannungsversorgung VSS gekoppelt. In einigen Ausführungsformen sind der Source-Anschluss des NMOS-Transistors N12 und der Source-Anschluss des NMOS-Transistors N16 miteinander gekoppelt.
  • Andere Schaltkreise, andere Arten von Transistoren und/oder Mengen von Transistoren liegen innerhalb des Umfangs verschiedener Ausführungsformen. Zum Beispiel umfasst der integrierte Schaltkreis 1200 in einigen Ausführungsformen andere Arten von NAND-Schaltkreisen, wie zum Beispiel einen 4-1-NAND-Schaltkreis. Andere Werte von mindestens dem Eingangssignal A1, A2, A3 oder A4 liegen ebenfalls im Umfang verschiedener Ausführungsformen.
  • 13A-13B sind Schaubilder eines integrierten Schaltkreises 1300 gemäß einigen Ausführungsformen.
  • 13A-13B sind Draufsichten auf entsprechende Abschnitte 1300A-1300B des integrierten Schaltkreises 1300, die im Interesse einer besseren Übersichtlichkeit vereinfacht wurden. Der integrierte Schaltkreis 1300 ist eine Ausführungsform des integrierten Schaltkreises 1200 mit 4 Mo-Leiterbahnen (zum Beispiel ein Satz leitfähiger Elemente 1312).
  • Abschnitt 1300A umfasst ein oder mehrere Elemente des integrierten Schaltkreises 1300 der VBP-Ebene, der OD-Ebene, der POLY-Ebene, der MD-Ebene oder der Mo-Ebene des integrierten Schaltkreises 1300. Der Abschnitt 1300B umfasst ein oder mehrere Elemente des integrierten Schaltkreises 1300 der BP-Ebene, der BS-Ebene, der VBP-Ebene, der VBS-Ebene-Ebene, der POLY-Ebene oder der MD-Ebene des integrierten Schaltkreises 1300.
  • Im Interesse einer besseren Übersichtlichkeit sind einige der beschrifteten Elemente der 13A-13B in den 13A-13B nicht beschriftet. In einigen Ausführungsformen weist der integrierte Schaltkreis 1300 zusätzliche Elemente auf, die in den 13A-13B nicht dargestellt sind.
  • Der integrierte Schaltkreis 1300 wird durch ein entsprechendes Layout-Design ähnlich dem integrierten Schaltkreis 1300 hergestellt. Der Kürze halber werden die 13A-13B als ein integrierter Schaltkreis 1300 beschrieben, aber in einigen Ausführungsformen entsprechen die 13A-13B auch Layout-Designs, die den Layout-Designs 100, 400, 500A und 500C ähneln, Strukturelemente des integrierten Schaltkreises 1300 entsprechen ebenfalls Layout-Strukturen, und strukturelle Beziehungen, einschließlich Ausrichtung, Längen und Breiten, sowie Konfigurationen und Schichten eines entsprechenden Layout-Designs des integrierten Schaltkreises 1300 ähneln den strukturellen Beziehungen und Konfigurationen und Schichten des integrierten Schaltkreises 1300, und auf eine ähnliche detaillierte Beschreibung wird im Interesse der Kürze verzichtet.
  • Der integrierte Schaltkreis 1300 ist eine Ausführungsform des integrierten Schaltkreises 400 (4A-4B) oder des integrierten Schaltkreises 1200. Im Vergleich zu dem integrierten Schaltkreis 400 der 4A-4B ersetzt der Satz von Stromschienen 702 des integrierten Schaltkreises 1300 den Satz von Stromschienen 202, ein Satz von Signalleitungen 1303 ersetzt den Satz von Signalleitungen 203, ein Satz von Kontakten 1306 ersetzt den Satz von Kontakten 406, ein Satz von Durchkontaktierungen 1308 ersetzt den Satz von Durchkontaktierungen 208 (in den 2A-2B dargestellt), ein Satz von Durchkontaktierungen 1310 ersetzt den Satz von Durchkontaktierungen 410, ein Satz leitfähiger Merkmale 1312 ersetzt den Satz leitfähiger Merkmale 212, und ein Satz von Gates 1316 ersetzt den Satz von Gates 416, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Der Satz von Signalleitungen 1303 ähnelt dem Satz von Signalleitungen 403, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird. Der Satz von Signalleitungen 1303 weist mindestens die Signalleitung 1303a auf. Der Satz von Signalleitungen 1303 ist dafür eingerichtet, das Routen von Signalen aus oberen Schichten zu ermöglichen. Die Signalleitung 1303a ist dafür eingerichtet, die Gates des PMOS-Transistors P9, das Gate des NMOS-Transistors N11 und das Gate des NMOS-Transistors N15 elektrisch miteinander zu koppeln, was im Vergleich zu anderen Ansätzen zu zusätzlichen Routungsressourcen führt. Andere Verbindungen mit anderen Gates für die Signalleitung 1303a liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Mengen von Strukturen in dem Satz von Stromschienen 702 oder dem Satz von Signalleitungen 1303 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung. In einigen Ausführungsformen koppelt der Satz von Signalleitungen 1303 Source- oder Drain-Elemente eines Transistors in 14 elektrisch mit Gate-Elementen eines anderen Transistors in 13. In einigen Ausführungsformen koppelt der Satz von Signalleitungen 1303 Source- oder Drain-Elemente eines Transistors in 13 elektrisch mit Source- oder Drain-Elementen eines anderen Transistors in 13.
  • Der Satz von Kontakten 1306 umfasst einen oder mehrere Kontakte 1306a, 1306b, 1306c, 1306d, 13060 oder 1306f. Mindestens einer der Kontakte 1306a, 1306b, 1306c, 1306d, 1306e und 1306f ähnelt mindestens einem der Kontakte 406a, 406b, 406c, 406d, 406e, 406f, 406g und 406h, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • In einigen Ausführungsformen entspricht der Kontakt 1306a dem Source-Anschluss der PMOS-Transistoren P10 und P9. In einigen Ausführungsformen entspricht der Kontakt 1306b dem Source-Anschluss der PMOS-Transistoren P7 und P8. In einigen Ausführungsformen entspricht der Kontakt 1306b dem Source-Anschluss der PMOS-Transistoren P7 und P8. In einigen Ausführungsformen entspricht der Kontakt 1306d dem Source-Anschluss der PMOS-Transistoren P10 und P9.
  • In einigen Ausführungsformen entspricht der Kontakt 1306e dem Source-Anschluss des NMOS-Transistors N12, und der Kontakt 1306f entspricht dem Source-Anschluss des NMOS-Transistors N16.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Mengen von Kontakten in dem Satz von Kontakten 1306 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Der Satz von Durchkontaktierungen 1308 umfasst eine oder mehrere Durchkontaktierungen 1308a, 1308b, 1308c, 1308d, 1308e oder 1308f. Mindestens eine der Durchkontaktierungen 1308a, 1308b, 1308c, 1308d, 1308e und 1308f ähnelt mindestens einer der Durchkontaktierungen 208a und 208b, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • In einigen Ausführungsformen koppelt die Durchkontaktierung 1308a die Stromschiene 702a und den Kontakt 1306a elektrisch miteinander, wodurch der Source-Anschluss von mindestens dem PMOS-Transistor P9 oder P10 mit der Versorgungsspannung VDD gekoppelt wird. In einigen Ausführungsformen koppelt die Durchkontaktierung 1308b die Stromschiene 702a und den Kontakt 1306b elektrisch miteinander, wodurch der Source-Anschluss mindestens des PMOS-Transistors P7 oder P8 mit der Versorgungsspannung VDD gekoppelt wird. In einigen Ausführungsformen koppelt die Durchkontaktierung 1308e die Stromschiene 702a und den Kontakt 1306c elektrisch miteinander, wodurch der Source-Anschluss mindestens des PMOS-Transistors P7 oder P8 mit der Versorgungsspannung VDD gekoppelt wird. In einigen Ausführungsformen koppelt die Durchkontaktierung 1308d die Stromschiene 702a und den Kontakt 1306d elektrisch miteinander, wodurch der Source-Anschluss mindestens des PMOS-Transistors P9 oder P10 mit der Versorgungsspannung VDD gekoppelt wird.
  • In einigen Ausführungsformen koppelt die Durchkontaktierung 1308e die Stromschiene 702b und den Kontakt 1306e elektrisch miteinander, wodurch der Source-Anschluss des NMOS-Transistors N12 mit der Referenzversorgungsspannung VSS gekoppelt wird. In einigen Ausführungsformen koppelt die Durchkontaktierung 1308f die Stromschiene 702b und den Kontakt 1306f elektrisch miteinander, wodurch der Source-Anschluss des NMOS-Transistors N16 mit der Referenzversorgungsspannung VSS gekoppelt wird.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Mengen von Durchkontaktierungen in dem Satz von Durchkontaktierungen 1308 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Der Satz von Durchkontaktierungen 1310 umfasst eine oder mehrere Durchkontaktierungen 1310a oder 1310b. Mindestens eine der Durchkontaktierungen 1310a und 1310b ähnelt mindestens einer der Durchkontaktierungen 410a und 410b, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Die Durchkontaktierung 1310a koppelt die Signalleitung 1303a und das Gate 1316c elektrisch miteinander, wodurch der Gate-Anschluss des PMOS-Transistors P9 und der Gate-Anschluss des NMOS-Transistors N11 mit der Signalleitung 1303a gekoppelt werden. Die Durchkontaktierung 1310b koppelt die Signalleitung 1303a und das Gate 1316h elektrisch miteinander, wodurch der Gate-Anschluss des PMOS-Transistors P9 und der Gate-Anschluss des NMOS-Transistors N15 mit der Signalleitung 1303a gekoppelt werden. Somit koppeln die Signalleitung 1303a und die Durchkontaktierungen 1310a und 1310b die Gates 1316c und 1316h elektrisch miteinander, wodurch der Gate-Anschluss des PMOS-Transistors P9, der Gate-Anschluss des NMOS-Transistors N11 und der Gate-Anschluss des NMOS-Transistors N15 miteinander gekoppelt werden, was im Vergleich zu anderen Ansätzen zu zusätzlichen Routungsressourcen auf der anderen Metallschicht führt.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Mengen von Durchkontaktierungen in dem Satz von Durchkontaktierungen 1310 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung. Andere Überlappungspositionen oder Anzahlen von Überlappungspositionen zwischen einem oder mehreren des Satzes von Kontakten 1306, des Satzes von Durchkontaktierungen 1310, des Satzes von Signalleitungen 1303 und des Satzes von Gates 1316 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung, und daher liegen andere elektrische Verbindungen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Der Satz leitfähiger Merkmale 1312 weist eines oder mehrere der leitfähigen Merkmale 1312a, 1312b, 1312C, 1312d, 1312e oder 1312f auf. Mindestens eines der leitfähigen Merkmale 1312a, 1312b, 1312c, 1312d, 1312e oder 1312f ähnelt mindestens einem der leitfähigen Merkmale 212a, 212b, 212c, 212d oder 212e, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Mengen von leitfähigen Merkmalen in dem Satz leitfähiger Merkmale 1312 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Der Satz von Gates 1316 umfasst ein oder mehrere Gates 1316a, 1316b, 1316c, 1316d, 1316e, 1316f, 1316g, 1316i oder 1316j. Die Gates 1316a, 1316b, 1316d-1316g und 1316i-1316j sind in den 13A-13B im Interesse einer besseren Übersichtlichkeit nicht beschriftet. Mindestens eines der Gates 1316a, 1316b, 1316c, 1316d, 1316e, 1316f, 1316g, 1316i und 1316j ähnelt mindestens einem der Gates 416a, 416b, 416c, 416d und 416e, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • In einigen Ausführungsformen entspricht das Gate 1316c dem Gate des PMOS-Transistors P9 oder des NMOS-Transistors N11. In einigen Ausführungsformen entspricht das Gate 1316h dem Gate des PMOS-Transistors P9 und des NMOS-Transistors N15.
  • In einigen Ausführungsformen entspricht das Gate 1316b dem Gate des PMOS-Transistors P10 und des NMOS-Transistors N12. In einigen Ausführungsformen entspricht das Gate 1316i dem Gate des PMOS-Transistors P10 und des NMOS-Transistors N16.
  • In einigen Ausführungsformen entspricht das Gate 1316d dem Gate des PMOS-Transistors P8 und des NMOS-Transistors N10. In einigen Ausführungsformen entspricht das Gate 1316g dem Gate des PMOS-Transistors P8 und des NMOS-Transistors N14.
  • In einigen Ausführungsformen entspricht das Gate 1316e dem Gate des PMOS-Transistors P7 und des NMOS-Transistors N9. In einigen Ausführungsformen entspricht das Gate 1316f dem Gate des PMOS-Transistors P7 und des NMOS-Transistors N13.
  • Das Gate 1316c und das Gate 1316h sind über die Signalleitung 1303a und die Durchkontaktierungen 1310a und 1310b elektrisch miteinander gekoppelt.
  • Die Signalleitung 1303a koppelt die Gates 1316c und 1316h elektrisch miteinander, wodurch mindestens eine obere Metallschichtbahn weniger in dem Satz leitfähiger Merkmale 1312 verwendet wird, was im Vergleich zu anderen Ansätzen zu einem integrierten Schaltkreis 1300 mit mindestens einer kleineren Höhe, einer kleineren Fläche, einer besseren IR-, EM- und RC-Metallleistung oder zusätzlichen Routungsressourcen auf anderen Metallschichten führt.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Mengen von leitfähigen Merkmalen in dem Satz von Gates 1316 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • 14A-14B sind Schaubilder eines integrierten Schaltkreises 1400 gemäß einigen Ausführungsformen.
  • 14A-14B sind Draufsichten auf entsprechende Abschnitte 1400A-1400B des integrierten Schaltkreises 1400, die im Interesse einer besseren Übersichtlichkeit vereinfacht wurden. Der integrierte Schaltkreis 1400 ist eine Ausführungsform des integrierten Schaltkreises 1200 mit 4 Mo-Routungsbahnen (zum Beispiel ein Satz leitfähiger Merkmale 1412) und 2 BS-Routungsbahnen auf der Rückseite des integrierten Schaltkreises 1400.
  • Abschnitt 1400A umfasst ein oder mehrere Elemente des integrierten Schaltkreises 1400 der VBP-Ebene, der OD-Ebene, der POLY-Ebene, der MD-Ebene oder der Mo-Ebene des integrierten Schaltkreises 1400. Der Abschnitt 1400B umfasst ein oder mehrere Elemente des integrierten Schaltkreises 1400 der BP-Ebene, der BS-Ebene, der VBP-Ebene, der VBS-Ebene-Ebene, der POLY-Ebene oder der MD-Ebene des integrierten Schaltkreises 1400.
  • Im Interesse einer besseren Übersichtlichkeit sind einige der beschrifteten Elemente der 14A-14B in den 14A-14B nicht beschriftet. In einigen Ausführungsformen weist der integrierte Schaltkreis 1400 zusätzliche Elemente auf, die in den 14A-14B nicht dargestellt sind.
  • Der integrierte Schaltkreis 1400 wird durch ein entsprechendes Layout-Design ähnlich dem integrierten Schaltkreis 1400 hergestellt. Der Kürze halber werden die 14A-14B als ein integrierter Schaltkreis 1400 beschrieben, aber in einigen Ausführungsformen entsprechen die 14A-14B auch Layout-Designs, die den Layout-Designs 100, 400, 500A und 500C ähneln, Strukturelemente des integrierten Schaltkreises 1400 entsprechen ebenfalls Layout-Strukturen, und strukturelle Beziehungen, einschließlich Ausrichtung, Längen und Breiten, sowie Konfigurationen und Schichten eines entsprechenden Layout-Designs des integrierten Schaltkreises 1400 ähneln den strukturellen Beziehungen und Konfigurationen und Schichten des integrierten Schaltkreises 1400, und auf eine ähnliche detaillierte Beschreibung wird im Interesse der Kürze verzichtet.
  • Der integrierte Schaltkreis 1400 ist eine Ausführungsform des integrierten Schaltkreises 400 (4A-4B) oder des integrierten Schaltkreises 1200.
  • Der integrierte Schaltkreis 1400 ist eine Variation des integrierten Schaltkreises 1300 (13A-13B, und auf eine ähnliche detaillierte Beschreibung wird der Kürze halber verzichtet. Im Vergleich zu dem integrierten Schaltkreis 1300 der 13A-13B ersetzt ein Satz leitfähiger Merkmale 1412 des integrierten Schaltkreises 1400, und der integrierte Schaltkreis 1400 umfasst des Weiteren eine Signalleitung 1403 und einen Satz von Durchkontaktierungen 1410, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Der Satz von Signalleitungen 1403 ähnelt dem Satz von Signalleitungen 403, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird. Der Satz von Signalleitungen 1403 weist mindestens die Signalleitung 1403a auf. Der Satz von Signalleitungen 1403 ist dafür eingerichtet, das Routen von Signalen aus oberen Schichten zu ermöglichen. Die Signalleitung 1403a ist dafür eingerichtet, die Gates des PMOS-Transistors P10, das Gate des NMOS-Transistors N12 und das Gate des NMOS-Transistors N16 elektrisch miteinander zu koppeln, was im Vergleich zu anderen Ansätzen zu zusätzlichen Routungsressourcen führt. Andere Verbindungen mit anderen Gates für die Signalleitung 1403a liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Mengen von Strukturen in dem Satz von Stromschienen 702 oder dem Satz von Signalleitungen 1403 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung. In einigen Ausführungsformen koppelt der Satz von Signalleitungen 1403 Source- oder Drain-Elemente eines Transistors in 14 elektrisch mit Gate-Elementen eines anderen Transistors in 14. In einigen Ausführungsformen koppelt der Satz von Signalleitungen 1403 Source- oder Drain-Elemente eines Transistors in 14 elektrisch mit Source- oder Drain-Elementen eines anderen Transistors in 14.
  • Der Satz von Durchkontaktierungen 1410 ähnelt dem Satz von Durchkontaktierungen 410, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird. Der Satz von Durchkontaktierungen 1410 umfasst eine oder mehrere Durchkontaktierungen 1410a oder 1410b. Mindestens eine der Durchkontaktierungen 1410a und 1410b ähnelt mindestens einer der Durchkontaktierungen 410a und 410b, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Die Durchkontaktierung 1410a koppelt die Signalleitung 1403a und das Gate 1316b elektrisch miteinander, wodurch der Gate-Anschluss des PMOS-Transistors P10 und der Gate-Anschluss des NMOS-Transistors N12 mit der Signalleitung 1403a gekoppelt werden. Die Durchkontaktierung 1410b koppelt die Signalleitung 1403a und das Gate 1316i elektrisch miteinander, wodurch der Gate-Anschluss des PMOS-Transistors P10 und der Gate-Anschluss des NMOS-Transistors N12 mit der Signalleitung 1403a gekoppelt werden. Somit koppeln die Signalleitung 1403a und die Durchkontaktierungen 1410a und 1410b die Gates 1316b und 1316i elektrisch miteinander, wodurch der Gate-Anschluss des PMOS-Transistors P10, der Gate-Anschluss des NMOS-Transistors N12 und der Gate-Anschluss des NMOS-Transistors Ni6 miteinander gekoppelt werden, was im Vergleich zu anderen Ansätzen zu zusätzlichen Routungsressourcen auf der anderen Metallschicht führt.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Mengen von Durchkontaktierungen in dem Satz von Durchkontaktierungen 1410 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung. Andere Überlappungspositionen oder Anzahlen von Überlappungspositionen zwischen einem oder mehreren des Satzes von Kontakten 1306, des Satzes von Durchkontaktierungen 1310 oder 1410, des Satzes von Signalleitungen 1303 oder 1403 und des Satzes von Gates 1316 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung, und daher liegen andere elektrische Verbindungen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Der Satz leitfähiger Merkmale 1412 umfasst eines oder mehrere der leitfähigen Merkmale 1412a, 1412b, 1412c, 1412d, 1412e, 1412f, 1412g oder 1412h. Mindestens eines der leitfähigen Merkmale 1412a, 1412b, 1412c, 1412d, 1412e, 1412f, 1412g und 1412h ähnelt mindestens einem der leitfähigen Merkmale 212a, 212b, 212c, 212d und 212e, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Mengen von leitfähigen Merkmalen in dem Satz leitfähiger Merkmale 1412 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Das Gate 1316c und das Gate 1316h sind über die Signalleitung 1303a und die Durchkontaktierungen 1310a und 1310b elektrisch miteinander gekoppelt. Das Gate 1316b und das Gate 1316i sind über die Signalleitung 1403a und die Durchkontaktierungen 1410a und 1410b elektrisch miteinander gekoppelt.
  • Die Signalleitung 1303a koppelt das Gate 1316c und 1316h elektrisch miteinander, und die Signalleitung 1403a koppelt das Gate 1316c und 1316h elektrisch miteinander, wodurch mindestens eine obere Metallschichtbahn weniger in dem Satz leitfähiger Merkmale 1412 verwendet wird, was im Vergleich zu anderen Ansätzen zu einem integrierten Schaltkreis 1400 mit mindestens einer geringeren Höhe, einer kleineren Fläche, einer besseren IR-, EM- und RC-Metallleistung oder zusätzlichen Routungsressourcen auf anderen Metallschichten führt.
  • 15 ist ein Schaltplan eines integrierten Schaltkreises 1500 gemäß einigen Ausführungsformen. In einigen Ausführungsformen ist der integrierte Schaltkreis 1500 ein „3-2-NAND-Logikgatter“-Schaltkreis (im Folgenden ein „NAND-Schaltkreis“). Ein 3-2-NAND-Schaltkreis wird zur Veranschaulichung verwendet, aber andere Arten von Schaltkreisen, einschließlich anderer Arten von NAND-Schaltkreisen, liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Der integrierte Schaltkreis 1500 umfasst PMOS-Transistoren P11, P12 und P13 sowie NMOS-Transistoren N17, N18, N19, N20, N21 und N22.
  • Ein Gate-Anschluss des PMOS-Transistors P11 ist als ein Eingangsknoten (nicht beschriftet) eingerichtet, um ein Eingangssignal A1 zu empfangen. Ein Gate-Anschluss des NMOS-Transistors N17 ist als ein Eingangsknoten (nicht beschriftet) eingerichtet, um ein Eingangssignal A1 zu empfangen. Ein Gate-Anschluss des NMOS-Transistors N20 ist als ein Eingangsknoten (nicht beschriftet) eingerichtet, um ein Eingangssignal A1 zu empfangen. In einigen Ausführungsformen sind mindestens zwei der Gate-Anschlüsse des PMOS-Transistors P11, des Gate-Anschlusses des NMOS-Transistors N17 oder des Gate-Anschlusses des NMOS-Transistors N20 miteinander gekoppelt.
  • Ein Gate-Anschluss des PMOS-Transistors P12 ist als ein Eingangsknoten (nicht beschriftet) eingerichtet, um ein Eingangssignal A2 zu empfangen. Ein Gate-Anschluss des NMOS-Transistors N18 ist als ein Eingangsknoten (nicht beschriftet) eingerichtet, um ein Eingangssignal A2 zu empfangen. Ein Gate-Anschluss des NMOS-Transistors N21 ist als ein Eingangsknoten (nicht beschriftet) eingerichtet, um ein Eingangssignal A2 zu empfangen. In einigen Ausführungsformen sind mindestens zwei der Gate-Anschlüsse des PMOS-Transistors P12, des Gate-Anschlusses des NMOS-Transistors N18 oder des Gate-Anschlusses des NMOS-Transistors N21 miteinander gekoppelt.
  • Ein Gate-Anschluss des PMOS-Transistors P13 ist als ein Eingangsknoten (nicht beschriftet) eingerichtet, um ein Eingangssignal A3 zu empfangen. Ein Gate-Anschluss des NMOS-Transistors N19 ist als ein Eingangsknoten (nicht beschriftet) eingerichtet, um ein Eingangssignal A3 zu empfangen. Ein Gate-Anschluss des NMOS-Transistors N22 ist als ein Eingangsknoten (nicht beschriftet) eingerichtet, um ein Eingangssignal A3 zu empfangen. In einigen Ausführungsformen sind mindestens zwei der Gate-Anschlüsse des PMOS-Transistors P13, des Gate-Anschlusses des NMOS-Transistors N19 oder des Gate-Anschlusses des NMOS-Transistors N22 miteinander gekoppelt.
  • In einigen Ausführungsformen ist mindestens das Eingangssignal A1, A2 oder A3 ein logisch niedriges Signal (low) oder ein logisch hohes Signal (high).
  • Ein Source-Anschluss des PMOS-Transistors P11, ein Source-Anschluss des PMOS-Transistors P12 und ein Source-Anschluss des PMOS-Transistors P13 sind mit der Spannungsversorgung VDD gekoppelt. In einigen Ausführungsformen sind der Source-Anschluss des PMOS-Transistors P11, der Source-Anschluss des PMOS-Transistors P12 und der Source-Anschluss des PMOS-Transistors P13 miteinander gekoppelt.
  • Ein Drain-Anschluss des PMOS-Transistors P11, ein Drain-Anschluss des PMOS-Transistors P12, ein Drain-Anschluss des PMOS-Transistors P13, ein Drain-Anschluss des NMOS-Transistors N17 und ein Drain-Anschluss des NMOS-Transistors N20 sind miteinander gekoppelt und als ein Ausgangsknoten OUT1 eingerichtet.
  • Ein Source-Anschluss des NMOS-Transistors N17 und ein Drain-Anschluss des NMOS-Transistors N18 sind miteinander gekoppelt. Ein Source-Anschluss des NMOS-Transistors N18 und ein Drain-Anschluss des NMOS-Transistors N19 sind miteinander gekoppelt. Ein Source-Anschluss des NMOS-Transistors N19 ist mit der Referenzspannungsversorgung VSS gekoppelt.
  • Ein Source-Anschluss des NMOS-Transistors N20 und ein Drain-Anschluss des NMOS-Transistors N21 sind miteinander gekoppelt. Ein Source-Anschluss des NMOS-Transistors N21 und ein Drain-Anschluss des NMOS-Transistors N22 sind miteinander gekoppelt. Ein Source-Anschluss des NMOS-Transistors N22 ist mit der Referenzspannungsversorgung VSS gekoppelt. In einigen Ausführungsformen sind der Source-Anschluss des NMOS-Transistors N19 und der Source-Anschluss des NMOS-Transistors N22 miteinander gekoppelt.
  • Andere Schaltkreise, andere Arten von Transistoren und/oder Mengen von Transistoren liegen innerhalb des Umfangs verschiedener Ausführungsformen. Zum Beispiel umfasst der integrierte Schaltkreis 1500 in einigen Ausführungsformen andere Arten von NAND-Schaltkreisen, wie zum Beispiel einen 3-1-NAND-Schaltkreis. Andere Werte von mindestens dem Eingangssignal A1, A2 oder A3 liegen ebenfalls im Umfang verschiedener Ausführungsformen.
  • 16A-16B sind Schaubilder eines integrierten Schaltkreises 1600 gemäß einigen Ausführungsformen.
  • 16A-16B sind Draufsichten auf entsprechende Abschnitte 1600A-1600B des integrierten Schaltkreises 1600, die im Interesse einer besseren Übersichtlichkeit vereinfacht wurden. Der integrierte Schaltkreis 1600 ist eine Ausführungsform des integrierten Schaltkreises 1500 mit 3 Mo-Leiterbahnen (zum Beispiel ein Satz leitfähiger Merkmale 1612).
  • Abschnitt 1600A umfasst ein oder mehrere Elemente des integrierten Schaltkreises 1600 der VBP-Ebene, der OD-Ebene, der POLY-Ebene, der MD-Ebene oder der Mo-Ebene des integrierten Schaltkreises 1600. Der Abschnitt 1600B umfasst ein oder mehrere Elemente des integrierten Schaltkreises 1600 der BP-Ebene, der BS-Ebene, der VBP-Ebene, der VBS-Ebene-Ebene, der POLY-Ebene oder der MD-Ebene des integrierten Schaltkreises 1600.
  • Im Interesse einer besseren Übersichtlichkeit sind einige der beschrifteten Elemente der 16A-16B in den 16A-16B nicht beschriftet. In einigen Ausführungsformen weist der integrierte Schaltkreis 1600 zusätzliche Elemente auf, die in den 16A-16B nicht dargestellt sind.
  • Der integrierte Schaltkreis 1600 wird durch ein entsprechendes Layout-Design ähnlich dem integrierten Schaltkreis 1600 hergestellt. Der Kürze halber werden die 16A-16B als ein integrierter Schaltkreis 1600 beschrieben, aber in einigen Ausführungsformen entsprechen die 16A-16B auch Layout-Designs, die den Layout-Designs 100, 400, 500A und 500C ähneln, Strukturelemente des integrierten Schaltkreises 1600 entsprechen ebenfalls Layout-Strukturen, und strukturelle Beziehungen, einschließlich Ausrichtung, Längen und Breiten, sowie Konfigurationen und Schichten eines entsprechenden Layout-Designs des integrierten Schaltkreises 1600 ähneln den strukturellen Beziehungen und Konfigurationen und Schichten des integrierten Schaltkreises 1600, und auf eine ähnliche detaillierte Beschreibung wird im Interesse der Kürze verzichtet.
  • Der integrierte Schaltkreis 1600 ist eine Ausführungsform des integrierten Schaltkreises 400 (4A-4B) oder des integrierten Schaltkreises 1500.
  • 16A-16B sind Schaubilder eines integrierten Schaltkreises 1600 gemäß einigen Ausführungsformen.
  • 16A-16B sind Draufsichten auf entsprechende Abschnitte 1600A-1600B des integrierten Schaltkreises 1600, die im Interesse einer besseren Übersichtlichkeit vereinfacht wurden. Der integrierte Schaltkreis 1600 ist eine Ausführungsform des integrierten Schaltkreises 1500 mit 3 Mo-Leiterbahnen (zum Beispiel ein Satz leitfähiger Merkmale 1612).
  • Abschnitt 1600A umfasst ein oder mehrere Merkmale des integrierten Schaltkreises 1600 der VBP-Ebene, der OD-Ebene, der POLY-Ebene, der MD-Ebene oder der Mo-Ebene des integrierten Schaltkreises 1600. Der Abschnitt 1600B umfasst ein oder mehrere Merkmale des integrierten Schaltkreises 1600 der BP-Ebene, der BS-Ebene, der VBP-Ebene, der VBS-Ebene-Ebene, der POLY-Ebene oder der MD-Ebene des integrierten Schaltkreises 1600.
  • Im Interesse einer besseren Übersichtlichkeit sind einige der beschrifteten Elemente der 16A-16B in den 16A-16B nicht beschriftet. In einigen Ausführungsformen weist der integrierte Schaltkreis 1600 zusätzliche Elemente auf, die in den 16A-16B nicht dargestellt sind.
  • Der integrierte Schaltkreis 1600 wird durch ein entsprechendes Layout-Design ähnlich dem integrierten Schaltkreis 1600 hergestellt. Der Kürze halber werden die 16A-16B als ein integrierter Schaltkreis 1600 beschrieben, aber in einigen Ausführungsformen entsprechen die 16A-16B auch Layout-Designs, die den Layout-Designs 100, 400, 500A und 500C ähneln, Strukturelemente des integrierten Schaltkreises 1600 entsprechen ebenfalls Layout-Strukturen, und strukturelle Beziehungen, einschließlich Ausrichtung, Längen und Breiten, sowie Konfigurationen und Schichten eines entsprechenden Layout-Designs des integrierten Schaltkreises 1600 ähneln den strukturellen Beziehungen und Konfigurationen und Schichten des integrierten Schaltkreises 1600, und auf eine ähnliche detaillierte Beschreibung wird im Interesse der Kürze verzichtet.
  • Der integrierte Schaltkreis 1600 ist eine Ausführungsform des integrierten Schaltkreises 400 (4A-4B) oder des integrierten Schaltkreises 1500.
  • Im Vergleich zu dem integrierten Schaltkreis 400 der 4A-4B ersetzt der Satz von Stromschienen 702 des integrierten Schaltkreises 1600 den Satz von Stromschienen 202, ein Satz von Signalleitungen 1603 ersetzt den Satz von Signalleitungen 203, ein Satz von Kontakten 1606 ersetzt den Satz von Kontakten 406, ein Satz von Durchkontaktierungen 1608 ersetzt den Satz von Durchkontaktierungen 208 (in den 2A-2B dargestellt), ein Satz von Durchkontaktierungen 1610 ersetzt den Satz von Durchkontaktierungen 410, ein Satz leitfähiger Merkmale 1612 ersetzt den Satz leitfähiger Merkmale 212, und ein Satz von Gates 1616 ersetzt den Satz von Gates 416, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Der Satz von Signalleitungen 1603 ähnelt dem Satz von Signalleitungen 403, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird. Der Satz von Signalleitungen 1603 weist mindestens die Signalleitung 1603a auf. Der Satz von Signalleitungen 1603 ist dafür eingerichtet, das Routen von Signalen aus oberen Schichten anderer Ansätze zu ermöglichen. Die Signalleitung 1603a ist dafür eingerichtet, die Gates des PMOS-Transistors P12, das Gate des NMOS-Transistors N18 und das Gate des NMOS-Transistors N21 elektrisch miteinander zu koppeln, was im Vergleich zu anderen Ansätzen zu zusätzlichen Routungsressourcen führt. Andere Verbindungen mit anderen Gates für die Signalleitung 1603a liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Mengen von Strukturen in dem Satz von Stromschienen 702 oder dem Satz von Signalleitungen 1603 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung. In einigen Ausführungsformen koppelt der Satz von Signalleitungen 1603 Source- oder Drain-Elemente eines Transistors in 16 elektrisch mit Gate-Elementen eines anderen Transistors in 16. In einigen Ausführungsformen koppelt der Satz von Signalleitungen 1603 Source- oder Drain-Elemente eines Transistors in 16 elektrisch mit Source- oder Drain-Elementen eines anderen Transistors in 16.
  • Der Satz von Kontakten 1606 umfasst einen oder mehrere Kontakte 1606a, 1606b, 1606c, 1606d, 1606e oder 1606f. Mindestens einer der Kontakte 1606a, 1606b, 1606c, 1606d, 1606e und 1606f ähnelt mindestens einem der Kontakte 406a, 406b, 406c, 406d, 406e, 406f, 406g und 406h, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • In einigen Ausführungsformen entspricht der Kontakt 1606a dem Source-Anschluss des PMOS-Transistors P13. In einigen Ausführungsformen entspricht der Kontakt 1606b dem Source-Anschluss der PMOS-Transistoren P12 und P11. In einigen Ausführungsformen entspricht der Kontakt 1606c dem Source-Anschluss der PMOS-Transistoren P11 und P12. In einigen Ausführungsformen entspricht der Kontakt 1606d dem Source-Anschluss des PMOS-Transistors P13.
  • In einigen Ausführungsformen entspricht der Kontakt 1606e dem Source-Anschluss des NMOS-Transistors N19, und der Kontakt 1606f entspricht dem Source-Anschluss des NMOS-Transistors N22.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Mengen von Kontakten in dem Satz von Kontakten 1606 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Der Satz von Durchkontaktierungen 1608 umfasst eine oder mehrere Durchkontaktierungen 1608a, 1608b, 1608c, 1608d, 1608e oder 1608f. Mindestens eine der Durchkontaktierungen 1608a, 1608b, 1608c, 1608d, 1608e und 1608f ähnelt mindestens einer der Durchkontaktierungen 208a und 208b, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • In einigen Ausführungsformen koppelt die Durchkontaktierung 1608a die Stromschiene 702a und den Kontakt 1606a elektrisch miteinander, wodurch der Source-Anschluss des PMOS-Transistors P13 mit der Versorgungsspannung VDD gekoppelt wird. In einigen Ausführungsformen koppelt die Durchkontaktierung 1608b die Stromschiene 702a und den Kontakt 1606b elektrisch miteinander, wodurch der Source-Anschluss mindestens des PMOS-Transistors P12 oder P11 mit der Versorgungsspannung VDD gekoppelt wird. In einigen Ausführungsformen koppelt die Durchkontaktierung 1608c die Stromschiene 702a und den Kontakt 1606c elektrisch miteinander, wodurch der Source-Anschluss mindestens des PMOS-Transistors P11 oder P12 mit der Versorgungsspannung VDD gekoppelt wird. In einigen Ausführungsformen koppelt die Durchkontaktierung 1608d die Stromschiene 702a und den Kontakt 1606d elektrisch miteinander, wodurch der Source-Anschluss des PMOS-Transistors P13 mit der Versorgungsspannung VDD gekoppelt wird.
  • In einigen Ausführungsformen koppelt die Durchkontaktierung 1608e die Stromschiene 702b und den Kontakt 1606e elektrisch miteinander, wodurch der Source-Anschluss des NMOS-Transistors N19 mit der Referenzversorgungsspannung VSS gekoppelt wird. In einigen Ausführungsformen koppelt die Durchkontaktierung 1608f die Stromschiene 702b und den Kontakt 1606f elektrisch miteinander, wodurch der Source-Anschluss des NMOS-Transistors N22 mit der Referenzversorgungsspannung VSS gekoppelt wird.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Mengen von Durchkontaktierungen in dem Satz von Durchkontaktierungen 1608 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Der Satz von Durchkontaktierungen 1610 umfasst eine oder mehrere Durchkontaktierungen 1610a oder 1610b. Mindestens eine der Durchkontaktierungen 1610a und 1610b ähnelt mindestens einer der Durchkontaktierungen 410a und 410b, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Die Durchkontaktierung 1610a koppelt die Signalleitung 1603a und das Gate 1616c elektrisch miteinander, wodurch der Gate-Anschluss des PMOS-Transistors P12 und der Gate-Anschluss des NMOS-Transistors N18 mit der Signalleitung 1603a gekoppelt werden. Die Durchkontaktierung 1610b koppelt die Signalleitung 1603a und das Gate 1616f elektrisch miteinander, wodurch der Gate-Anschluss des PMOS-Transistors P12 und der Gate-Anschluss des NMOS-Transistors N21 mit der Signalleitung 1603a gekoppelt werden. Somit koppeln die Signalleitung 1603a und die Durchkontaktierungen 1610a und 1610b die Gates 1616c und 1616f elektrisch miteinander, wodurch der Gate-Anschluss des PMOS-Transistors P12, der Gate-Anschluss des NMOS-Transistors N18 und der Gate-Anschluss des NMOS-Transistors N21 miteinander gekoppelt werden, was im Vergleich zu anderen Ansätzen zu zusätzlichen Routungsressourcen auf der anderen Metallschicht führt.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Mengen von Durchkontaktierungen in dem Satz von Durchkontaktierungen 1610 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung. Andere Überlappungspositionen oder Anzahlen von Überlappungspositionen zwischen einem oder mehreren des Satzes von Kontakten 1606, des Satzes von Durchkontaktierungen 1610, des Satzes von Signalleitungen 1603 und des Satzes von Gates 1616 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung, und daher liegen andere elektrische Verbindungen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Der Satz leitfähiger Merkmale 1612 umfasst eines oder mehrere der leitfähigen Merkmale 1612a, 1612b, 1612c oder 1612d. Mindestens eines der leitfähigen Merkmale 1612a, 1612b, 1612c und 1612d ähnelt mindestens einem der leitfähigen Merkmale 212a, 212b, 212c, 212d und 212e, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • Das leitfähige Merkmal 1612a koppelt jeden der Drain-Anschlüsse der PMOS-Transistoren P11, P12 und P13 elektrisch miteinander. Das leitfähige Merkmal 1612c koppelt die Gate-Anschlüsse der NMOS-Transistoren N19 und N22 elektrisch miteinander.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Mengen von leitfähigen Merkmalen in dem Satz leitfähiger Merkmale 1612 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • Der Satz von Gates 1616 umfasst ein oder mehrere Gates 1616a, 1616b, 1616c, 1616d, 1616e, 1616f, 1616g oder 1616h. Die Gates 1616a, 1616b, 1616d-1616e und 1616g-1616h sind in den 16A-16B im Interesse einer besseren Übersichtlichkeit nicht beschriftet. Mindestens eines der Gates 1616a, 1616b, 1616c, 1616d, 1616e, 1616f, 1616g und 1616h ähnelt mindestens einem der Gates 416a, 416b, 416c, 416d und 416e, weshalb auf eine ähnliche detaillierte Beschreibung verzichtet wird.
  • In einigen Ausführungsformen entspricht das Gate 1616c dem Gate des PMOS-Transistors P12 und des NMOS-Transistors N18. In einigen Ausführungsformen entspricht das Gate 1616f dem Gate des PMOS-Transistors P12 und des NMOS-Transistors N21.
  • In einigen Ausführungsformen entspricht das Gate 1616b dem Gate des PMOS-Transistors P13 und des NMOS-Transistors N19. In einigen Ausführungsformen entspricht das Gate 1616g dem Gate des PMOS-Transistors P13 und des NMOS-Transistors N22.
  • In einigen Ausführungsformen entspricht das Gate 1616d dem Gate des PMOS-Transistors P11 und des NMOS-Transistors N17. In einigen Ausführungsformen entspricht das Gate 1616e dem Gate des PMOS-Transistors P11 und des NMOS-Transistors N20.
  • Das Gate 1616c und das Gate 1616f sind über die Signalleitung 1603a und die Durchkontaktierungen 1610a und 1610b elektrisch miteinander gekoppelt.
  • Die Signalleitung 1603a koppelt die Gates 1616c und 1616f elektrisch miteinander, wodurch mindestens eine obere Metallschichtbahn weniger in dem Satz leitfähiger Merkmale 1612 verwendet wird, was im Vergleich zu anderen Ansätzen zu einem integrierten Schaltkreis 1600 mit mindestens einer kleineren Höhe, einer kleineren Fläche, einer besseren IR-, EM- und RC-Metallleistung oder zusätzlichen Routungsressourcen auf anderen Metallschichten führt.
  • Andere Konfigurationen, Anordnungen auf anderen Layout-Ebenen oder Mengen von leitfähigen Merkmalen in dem Satz von Gates 1616 liegen ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung.
  • 17 ist ein Flussdiagramm eines Verfahrens 1700 zum Bilden oder Herstellen eines integrierten Schaltkreises gemäß einigen Ausführungsformen. Es versteht sich, dass zusätzliche Operationen vor, während und/oder nach dem in 17 gezeigten Verfahren 1700 durchgeführt werden können und dass einige andere Operationen im vorliegenden Text möglicherweise nur kurz beschrieben werden. In einigen Ausführungsformen kann das Verfahren 1700 zum Bilden integrierter Schaltkreise, wie zum Beispiel 200, 400, 500B, 500D, 600-1600 oder 1900B, verwendet werden. In einigen Ausführungsformen kann das Verfahren 1700 zum Bilden integrierter Schaltkreise verwendet werden, die ähnliche strukturelle Beziehungen wie eines oder mehrere der Layout-Designs 100, 300, 500A oder 500C aufweisen.
  • In Operation 1702 des Verfahrens 1700 wird ein Layout-Design eines integrierten Schaltkreises generiert. Operation 1702 wird durch eine Verarbeitungsvorrichtung (zum Beispiel den Prozessor 2002 (20)) ausgeführt, die dafür eingerichtet ist, Instruktionen zum Generieren eines Layout-Designs auszuführen. In einigen Ausführungsformen umfasst das Layout-Design des Verfahrens 1700 eine oder mehrere Strukturen mindestens des Layout-Designs 100, 300, 500A oder 500C oder Merkmale, die mindestens den integrierten Schaltkreisen 700-900, 1100, 1300-1400 oder 1600 ähneln. In einigen Ausführungsformen liegt das Layout-Design der vorliegenden Anmeldung in einem Graphic Database System-Dateiformat (GDSII-Dateiformat) vor.
  • In Operation 1704 des Verfahrens 1700 wird der integrierte Schaltkreis auf der Grundlage des Layout-Designs hergestellt. In einigen Ausführungsformen umfasst die Operation 1704 des Verfahrens 1700 die Herstellung mindestens einer Maske auf der Grundlage des Layout-Designs und die Herstellung des integrierten Schaltkreises auf der Grundlage der mindestens einen Maske.
  • 18 ist ein Flussdiagramm eines Verfahrens 1800 zum Generieren eines Layout-Designs eines integrierten Schaltkreises gemäß einigen Ausführungsformen. Es versteht sich, dass zusätzliche Operationen vor, während und/oder nach dem in 18 gezeigten Verfahren 1800 durchgeführt werden können und dass einige andere Prozesse im vorliegenden Text möglicherweise nur kurz beschrieben werden. In einigen Ausführungsformen ist das Verfahren 1800 eine Ausführungsform der Operation 1702 des Verfahrens 1700. In einigen Ausführungsformen kann das Verfahren 1800 zum Generieren einer oder mehrerer Layout-Strukturen mindestens des Layout-Designs 100, 300, 500A oder 500C oder einer oder mehrerer Strukturen, die mindestens dem integrierten Schaltkreis 200, 400, 500B, 500D, 700-900, 1100, 1300-1400 oder 1600 ähneln, verwendet werden. In einigen Ausführungsformen kann das Verfahren 1800 zum Generieren einer oder mehrerer Layout-Strukturen, die strukturelle Beziehungen, einschließlich Ausrichtung, Längen und Breiten, sowie Konfigurationen und Schichten mindestens des Layout-Designs 100, 300, 500A oder 500C aufweisen, oder einer oder mehrerer Strukturen, die mindestens dem integrierten Schaltkreis 200, 400, 500B, 500D, 700-900, 1100, 1300-1400 oder 1600 ähneln, verwendet werden, und auf eine ähnliche detaillierte Beschreibung wird der Kürze halber in 18 verzichtet.
  • In Operation 1802 des Verfahrens 1800 wird ein Satz von Stromschienenstrukturen generiert oder auf dem Layout-Design platziert. In einigen Ausführungsformen umfasst der Satz von Stromschienenstrukturen des Verfahrens 1800 mindestens Abschnitte einer oder mehrerer Strukturen des Satzes von Stromschienenstrukturen 102. In einigen Ausführungsformen umfasst der Satz von Stromschienenstrukturen des Verfahrens 1800 mindestens Abschnitte von Merkmalen, die dem Satz von Stromschienen 702 ähneln.
  • In Operation 1804 des Verfahrens 1800 wird ein Satz von Signalleitungsstrukturen generiert oder auf dem Layout-Design platziert. In einigen Ausführungsformen umfasst der Satz von Signalleitungsstrukturen des Verfahrens 1800 mindestens Abschnitte einer oder mehrerer Strukturen des Satzes von Signalleitungsstrukturen 103. In einigen Ausführungsformen umfasst der Satz von Signalleitungsstrukturen des Verfahrens 1800 mindestens Abschnitte von Merkmalen, die dem Satz von Signalleitungen 703, 1103, 1303, 1403 oder 1603 ähneln.
  • In Operation 1806 des Verfahrens 1800 wird ein Satz von Aktivregionsstrukturen generiert oder auf einem Layout-Design platziert. In einigen Ausführungsformen umfasst der Satz von Aktivregionsstrukturen des Verfahrens 1800 mindestens Abschnitte einer oder mehrerer Strukturen des Satzes von Aktivregionsstrukturen 104. In einigen Ausführungsformen umfasst der Satz von Aktivregionsstrukturen des Verfahrens 1800 mindestens Abschnitte von Merkmalen, die dem Satz aktiver Regionen 704 ähneln.
  • In Operation 1808 des Verfahrens 1800 wird ein Satz von Kontaktstrukturen generiert oder auf dem Layout-Design platziert. In einigen Ausführungsformen umfasst der Satz von Kontaktstrukturen des Verfahrens 1800 mindestens Abschnitte einer oder mehrerer Strukturen des Satzes von Kontaktstrukturen 106 oder 306. In einigen Ausführungsformen umfasst der Satz von Kontaktstrukturen des Verfahrens 1800 mindestens Abschnitte von Merkmalen, die dem Satz von Kontaktstrukturen 706, 1106, 1306 oder 1606 ähneln.
  • In Operation 1810 des Verfahrens 1800 wird ein Satz von Gate-Strukturen generiert oder auf dem Layout-Design platziert. In einigen Ausführungsformen umfasst der Satz von Gate-Strukturen des Verfahrens 1800 mindestens Abschnitte einer oder mehrerer Strukturen des Satzes von Gate-Strukturen 116 oder 316. In einigen Ausführungsformen umfasst der Satz von Gate-Strukturen des Verfahrens 1800 mindestens Abschnitte von Merkmalen, die dem Satz von Gates 716, 1116, 1316 oder 1616 ähneln.
  • In Operation 1812 des Verfahrens 1800 wird ein erster Satz von Durchkontaktierungsstrukturen generiert oder auf dem Layout-Design platziert. In einigen Ausführungsformen umfasst der erste Satz von Durchkontaktierungsstrukturen des Verfahrens 1800 mindestens Abschnitte einer oder mehrerer Strukturen des Satzes von Durchkontaktierungsstrukturen 108. In einigen Ausführungsformen umfasst der erste Satz von Durchkontaktierungsstrukturen des Verfahrens 1800 mindestens Abschnitte von Merkmalen, die dem Satz von Durchkontaktierungsstrukturen 708, 1108, 1308 oder 1608 ähneln.
  • In Operation 1814 des Verfahrens 1800 wird ein zweiter Satz von Durchkontaktierungsstrukturen generiert oder auf dem Layout-Design platziert. In einigen Ausführungsformen umfasst der zweite Satz von Durchkontaktierungsstrukturen des Verfahrens 1800 mindestens Abschnitte einer oder mehrerer Strukturen des Satzes von Durchkontaktierungsstrukturen 110 oder 310. In einigen Ausführungsformen umfasst der zweite Satz von Durchkontaktierungsstrukturen des Verfahrens 1800 mindestens Abschnitte von Merkmalen, die dem Satz von Durchkontaktierungen 710, 1110, 1310, 1410 oder 1610 ähneln.
  • In Operation 1816 des Verfahrens 1800 wird ein erster Satz leitfähiger Elementstrukturen generiert oder auf dem Layout-Design platziert. In einigen Ausführungsformen umfasst der erste Satz leitfähiger Elementstrukturen des Verfahrens 1800 mindestens Abschnitte einer oder mehrerer Strukturen des Satzes leitfähiger Elementstrukturen 112, 512 oder 514. In einigen Ausführungsformen umfasst der erste Satz leitfähiger Elementstrukturen des Verfahrens 1800 mindestens Abschnitte von Strukturen, die dem Satz leitfähiger Elementstrukturen 712, 812, 912, 1112, 1312, 1412 oder 1612 ähneln.
  • 19 ist ein Funktionsflussdiagramm eines Verfahrens zum Herstellen einer IC-Vorrichtung gemäß einigen Ausführungsformen. Es versteht sich, dass zusätzliche Operationen vor, während und/oder nach dem in 19 gezeigten Verfahren 1900 durchgeführt werden können und dass einige andere Prozesse im vorliegenden Text möglicherweise nur kurz beschrieben werden.
  • In einigen Ausführungsformen ist das Verfahren 1900 eine Ausführungsform der Operation 1704 des Verfahrens 1700. In einigen Ausführungsformen kann das Verfahren 1900 zum Herstellen oder Fertigen von mindestens des integrierten Schaltkreises 200, 400, 500B, 500D, 700-1600 oder eines integrierten Schaltkreises mit ähnlichen Merkmalen wie mindestens das Layout-Design 100, 300, 500A oder 500C verwendet werden. In einigen Ausführungsformen liegt eine andere Reihenfolge der Operationen des Verfahrens 1900 ebenfalls im Umfang der vorliegenden Offenbarung. Das Verfahren 1900 umfasst beispielhafte Operationen, aber die Operationen werden nicht unbedingt in der dargestellten Reihenfolge ausgeführt. Operationen können gemäß dem Wesen und Umfang der offenbarten Ausführungsformen hinzugefügt, ersetzt, in der Reihenfolge geändert und/oder weggelassen werden.
  • In Operation 1902 des Verfahrens 1900 wird ein erster Satz von Transistoren in einem Halbleiterwafer oder Substrat gefertigt. In einigen Ausführungsformen umfasst der erste Satz von Transistoren des Verfahrens 1900 einen oder mehrere der NMOS-Transistoren N1-N22, die NMOS-Transistoren von 15, die PMOS-Transistoren P1-P13 oder die PMOS-Transistoren von 15.
  • In einigen Ausführungsformen umfasst die Operation 1902 das Fertigen von Source- und Drain-Regionen des ersten Satzes von Transistoren in einem ersten Well. In einigen Ausführungsformen umfasst der erste Well p-Dotanden. In einigen Ausführungsformen gehören zu den p-Dotanden Bor, Aluminium oder andere geeignete p-Dotanden. In einigen Ausführungsformen weist der erste Well eine über einem Substrat gezüchtete Epi-Schicht auf. In einigen Ausführungsformen wird die Epi-Schicht durch Hinzufügen von Dotanden während des epitaxialen Prozesses dotiert. In einigen Ausführungsformen wird die Epi-Schicht durch Ionenimplantation dotiert, nachdem die Epi-Schicht gebildet wurde. In einigen Ausführungsformen wird die erste Well durch Dotieren des Substrats gebildet. In einigen Ausführungsformen wird das Dotieren durch Ionenimplantation durchgeführt. In einigen Ausführungsformen hat der erste Well eine Dotandenkonzentration im Bereich von 1 × 1012 Atomen/cm3 bis 1 × 1014 Atomen/cm3.
  • In einigen Ausführungsformen umfasst die erste Well Dotanden vom n-Typ. In einigen Ausführungsformen umfassen die n-Dotanden Phosphor, Arsen oder andere geeignete n-Dotanden. In einigen Ausführungsformen liegt die n-Dotandenkonzentration im Bereich von etwa 1 × 1012 Atomen/cm2 bis etwa 1 × 1014 Atomen/cm2.
  • In einigen Ausführungsformen umfasst das Bilden der Source/Drain-Elemente, dass ein Abschnitt des Substrats entfernt wird, um Aussparungen an einem Rand von Abstandshaltern zu bilden, woraufhin ein Füllprozess ausgeführt wird, indem die Aussparungen in dem Substrat gefüllt werden. In einigen Ausführungsformen werden die Aussparungen zum Beispiel mittels eines Nassätzens oder eines Trockenätzens geätzt, nachdem eine Pad-Oxidschicht oder eine Opfer-Oxidschicht entfernt wurde. In einigen Ausführungsformen wird der Ätzprozess ausgeführt, um einen Oberseitenabschnitt der aktiven Region neben einer Isolationsregion, wie zum Beispiel einer STI-Region, zu entfernen. In einigen Ausführungsformen wird der Füllprozess durch einen Epitaxie- oder epitaxialen Prozess (Epi-Prozess) ausgeführt. In einigen Ausführungsformen werden die Aussparungen unter Verwendung eines Wachstumsprozesses gefüllt, der gleichzeitig mit einem Ätzprozess abläuft, wobei eine Wachstumsrate des Wachstumsprozesses größer ist als eine Ätzrate des Ätzprozesses. In einigen Ausführungsformen werden die Aussparungen unter Verwendung einer Kombination aus Wachstumsprozess und Ätzprozess gefüllt. Zum Beispiel wird eine Materialschicht in der Aussparung gezüchtet, und dann wird das gezüchtete Material einem Ätzprozess unterzogen, um einen Abschnitt des Materials zu entfernen. Dann wird ein anschließender Wachstumsprozess auf dem geätzten Material ausgeführt, bis eine gewünschte Dicke des Materials in der Aussparung erreicht ist. In einigen Ausführungsformen wird der Wachstumsprozess fortgesetzt, bis eine Oberseite des Materials über der Oberseite des Substrats liegt. In einigen Ausführungsformen wird der Wachstumsprozess fortgesetzt, bis die Oberseite des Materials koplanar mit der Oberseite des Substrats ist. In einigen Ausführungsformen wird ein Abschnitt der ersten Well durch einen isotropen oder einen anisotropen Ätzprozess entfernt. Der Ätzprozess ätzt selektiv die erste Well, ohne eine Gate-Struktur und Abstandshalter zu ätzen. In einigen Ausführungsformen wird der Ätzprozess unter Verwendung eines reaktiven Ionenätzens (RIE), Nassätzens oder anderer geeigneter Techniken ausgeführt. In einigen Ausführungsformen wird ein Halbleitermaterial in den Aussparungen abgeschieden, um die Source/Drain-Elemente zu bilden. In einigen Ausführungsformen wird ein Epi-Prozess ausgeführt, um das Halbleitermaterial in den Aussparungen abzuscheiden. In einigen Ausführungsformen umfasst der Epi-Prozess einen selektiven Epitaxiewachstumsprozess (Selective Epitaxy Growth, SEG), einen CVD-Prozess, einen Molekularstrahlepitaxieprozess (Molecular Beam Epitaxy, MBE), andere geeignete Prozesse und/oder eine Kombination davon. Der Epi-Prozess verwendet gasförmige und/oder flüssige Vorläufer, die mit einer Zusammensetzung des Substrats interagieren. In einigen Ausführungsformen enthalten die Source/Drain-Elemente epitaxial gezüchtetes Silizium (epi-Si), Siliziumcarbid oder Siliziumgermanium. Source/Drain-Elemente der IC-Vorrichtung, die zu der Gate-Struktur gehören, werden in einigen Fällen während des Epi-Prozesses in-situ dotiert oder bleiben undotiert. Wenn die Source/Drain-Elemente während des Epi-Prozesses undotiert bleiben, so werden die Source/Drain-Elemente in einigen Fällen während eines anschließenden Prozesses dotiert. Der anschließende Dotierungsprozess wird durch Ionenimplantation, Plasmaimmersions-Ionenimplantation, Gas- und/oder Feststoffquellendiffusion, andere geeignete Prozesse und/oder Kombinationen davon realisiert. In einigen Ausführungsformen werden die Source/Drain-Elemente nach dem Bilden der Source/Drain-Elemente und/oder nach dem anschließenden Dotierungsprozess des Weiteren Temperungsprozessen unterzogen.
  • In einigen Ausführungsformen umfasst die Operation 1902 des Weiteren das Bilden von Kontakten des ersten Satzes von Transistoren. In einigen Ausführungsformen umfasst die Operation 1902 des Weiteren das Bilden einer Gate-Region des ersten Satzes von Transistoren. In einigen Ausführungsformen liegt die Gate-Region zwischen der Drain-Region und der Source-Region. In einigen Ausführungsformen liegt die Gate-Region über der ersten Well und dem Substrat. In einigen Ausführungsformen umfasst das Fertigen der Gate-Regionen von Operation 1902 das Ausführen eines oder mehrerer Abscheidungsprozesse, um eine oder mehrere dielektrische Materialschichten zu bilden. In einigen Ausführungsformen umfasst ein Abscheidungsprozess eine chemische Aufdampfung (CVD), eine plasmaunterstützte CVD (PECVD), eine Atomschichtabscheidung (ALD) oder einen anderen Prozess, der für das Abscheiden einer oder mehrerer Materialschichten geeignet ist. In einigen Ausführungsformen umfasst das Fertigen der Gate-Regionen das Ausführen eines oder mehrerer Abscheidungsprozesse, um eine oder mehrere leitfähige Materialschichten zu bilden. In einigen Ausführungsformen umfasst das Fertigen der Gate-Regionen das Bilden von Gate-Elektroden oder Dummy-Gate-Elektroden. In einigen Ausführungsformen umfasst das Fertigen der Gate-Regionen das Abscheiden oder Züchten mindestens einer dielektrischen Schicht, zum Beispiel eines Gate-Dielektrikums. In einigen Ausführungsformen werden die Gate-Regionen unter Verwendung eines dotierten oder nicht-dotierten polykristallinen Siliziums (oder Polysiliziums) gebildet. In einigen Ausführungsformen enthalten die Gate-Regionen ein Metall, wie zum Beispiel Al, Cu, W, Ti, Ta, TiN, TaN, NiSi, CoSi, andere geeignete leitfähige Materialien oder Kombinationen davon.
  • In Operation 1904 des Verfahrens 1900 wird auf der Rückseite des Wafers oder Substrats ein Ausdünnen ausgeführt. In einigen Ausführungsformen umfasst die Operation 1904 einen Ausdünnungsprozess, der auf der Rückseite des Halbleiterwafers oder Substrats ausgeführt wird. In einigen Ausführungsformen umfasst der Ausdünnungsprozess eine Schleifoperation und eine Polieroperation (wie zum Beispiel ein chemisch-mechanisches Polieren (CMP)) oder andere geeignete Prozesse. In einigen Ausführungsformen wird nach dem Ausdünnungsprozess eine Nassätzoperation ausgeführt, um Defekte zu entfernen, die auf der Rückseite des Halbleiterwafers oder Substrats entstanden sind.
  • In Operation 1906 des Verfahrens 1900 wird ein erster Satz von Durchkontaktierungen auf einer Rückseite des Wafers oder Substrats gegenüber der Vorderseite gefertigt. In einigen Ausführungsformen umfasst die Operation 1906 das Bilden eines ersten Satzes selbstjustierender Kontakte (Self-Aligned Contacts, SACs) in einer Isolierschicht über der Rückseite des Wafers. In einigen Ausführungsformen umfasst der erste Satz von Durchkontaktierungen des Verfahrens 1900 mindestens Abschnitte einer oder mehrerer des Satzes von Durchkontaktierungen 208, 708, 1108, 1308 und 1608.
  • In Operation 1908 des Verfahrens 1900 wird ein erster Satz leitfähiger Strukturen auf der Rückseite des Wafers oder Substrats abgeschieden, wodurch ein Satz von Stromschienen gebildet wird. In einigen Ausführungsformen umfasst die Operation 1906 mindestens das Abscheiden eines ersten Satzes leitfähiger Regionen über der Rückseite des integrierten Schaltkreises, wodurch ein Satz rückseitiger Stromschienen gebildet wird, die über den ersten Satz von Durchkontaktierungen elektrisch mit einem ersten Satz von Kontakten des Satzes von Transistoren gekoppelt sind.
  • In einigen Ausführungsformen umfasst der Satz von Stromschienen des Verfahrens 1900 mindestens Abschnitte einer oder mehrerer des Satzes von Stromschienen 202 oder 702. In einigen Ausführungsformen umfasst der erste Satz von Kontakten des Verfahrens 1900 mindestens Abschnitte eines oder mehrerer der Sätze von Kontakten 206, 406, 706, 1106, 1306 und 1606.
  • In Operation 1910 des Verfahrens 1900 wird ein zweiter Satz von Durchkontaktierungen auf der Rückseite des Wafers oder Substrats gefertigt. In einigen Ausführungsformen umfasst die Operation 1910 das Bilden eines zweiten Satzes selbstjustierender Kontakte (Self-Aligned Contacts, SACs) in einer Isolierschicht über der Rückseite des Wafers. In einigen Ausführungsformen umfasst der zweite Satz von Durchkontaktierungen des Verfahrens 1900 mindestens Abschnitte eines oder mehrerer der Sätze von Durchkontaktierungen 210 oder 710. In einigen Ausführungsformen umfasst der zweite Satz von Durchkontaktierungen des Verfahrens 1900 mindestens Abschnitte einer oder mehrerer des Satzes von Durchkontaktierungen 410, 1110, 1310, 1410 und 1610.
  • In Operation 1912 des Verfahrens 1900 wird ein zweiter Satz leitfähiger Strukturen auf der Rückseite des Wafers oder Substrats abgeschieden, wodurch ein Satz von Signalleitungen gebildet wird.
  • In einigen Ausführungsformen umfasst die Operation 1912 mindestens das Abscheiden eines zweiten Satzes leitfähiger Regionen über der Rückseite des integrierten Schaltkreises, wodurch ein Satz rückseitiger Signalleitungen gebildet wird, die durch den zweiten Satz von Durchkontaktierungen elektrisch mit einem zweiten Satz von Kontakten des Satzes von Transistoren gekoppelt sind. In einigen Ausführungsformen umfasst der Satz von Signalleitungen des Verfahrens 1900 mindestens Abschnitte einer oder mehrerer des Satzes von Signalleitungen 203 oder 703. In einigen Ausführungsformen umfasst der zweite Satz von Kontakten des Verfahrens 1900 mindestens Abschnitte eines oder mehrerer des Satzes von Kontakten 206, 406, 706, 1106, 1306 und 1606.
  • In einigen Ausführungsformen umfasst die Operation 1912 mindestens das Abscheiden des zweiten Satzes leitfähiger Regionen auf der Rückseite des integrierten Schaltkreises, wodurch ein Satz rückseitiger Signalleitungen gebildet wird, die durch den zweiten Satz von Durchkontaktierungen elektrisch mit einem Satz von Gates des Satzes von Transistoren gekoppelt sind.
  • In einigen Ausführungsformen umfasst der Satz von Signalleitungen des Verfahrens 1900 mindestens Abschnitte einer oder mehrerer des Satzes von Signalleitungen 203, 1103, 1303, 1403 oder 1603. In einigen Ausführungsformen umfasst der Satz von Gates des Verfahrens 1900 mindestens Abschnitte einer oder mehrerer des Satzes von Gates 216, 416, 716, 1116, 1316 und 1616.
  • In einigen Ausführungsformen umfasst die Operation 1912 des Weiteren mindestens das elektrische Verbinden des Satzes von Signalleitungen mit dem zweiten Satz von Kontakten des Satzes von Transistoren durch den zweiten Satz von Durchkontaktierungen oder das elektrische Verbinden des Satzes von Signalleitungen mit mindestens dem Satz von Gates des Satzes von Transistoren durch den zweiten Satz von Durchkontaktierungen.
  • In Operation 1914 des Verfahrens 1900 wird ein dritter Satz leitfähiger Strukturen über dem ersten Satz von Transistoren abgeschieden. In einigen Ausführungsformen umfasst der dritte Satz leitfähiger Strukturen des Verfahrens 1900 mindestens Abschnitte eines oder mehrerer des Satzes von leitfähigen Merkmalen 212, 512', 514', 712, 812, 912, 1112, 1312, 1412 und 1612.
  • In einigen Ausführungsformen umfassen einer oder mehrere der Operationen 1906, 1908, 1910, 1912 oder 1914 des Verfahrens 1900 die Verwendung einer Kombination aus Photolithografie- und Materialabtragsprozessen, um Öffnungen in einer Isolierschicht (nicht gezeigt) über dem Substrat zu bilden. In einigen Ausführungsformen umfasst der Photolithografieprozess das Strukturieren eines Photoresists, wie zum Beispiel eines positiven Photoresists oder eines negativen Photoresists. In einigen Ausführungsformen umfasst der Photolithografieprozess das Bilden einer Hartmaske, einer Antireflexionsstruktur oder einer anderen geeigneten Photolithografiestruktur. In einigen Ausführungsformen umfasst der Materialabtragsprozess einen Nassätzprozess, einen Trockenätzprozess, einen RIE-Prozess, Laserbohren oder einen anderen geeigneten Ätzprozess. Die Öffnungen werden dann mit leitfähigem Material gefüllt, zum Beispiel Kupfer, Aluminium, Titan, Nickel, Wolfram, oder einem anderen geeigneten leitfähigen Material. In einigen Ausführungsformen werden die Öffnungen mittels CVD, PVD, Sputtern, ALD oder einem anderen geeigneten Bildungsverfahren gefüllt.
  • In einigen Ausführungsformen werden eine oder mehrere Operationen des Verfahrens 1900 durch das System 2100 von 21 ausgeführt. In einigen Ausführungsformen werden ein oder mehrere Verfahren, wie zum Beispiel das oben besprochene Verfahren 1900, ganz oder teilweise durch mindestens ein Fertigungssystem, einschließlich des Systems 2100, ausgeführt. Eine oder mehrere der Operationen des Verfahrens 1900 werden durch das IC-Fab 2140 (21) ausgeführt, um die IC-Vorrichtung 2160 zu fertigen. In einigen Ausführungsformen werden eine oder mehrere der Operationen des Verfahrens 1900 durch die Fertigungswerkzeuge 2152 ausgeführt, um den Wafer 2142 zu fertigen.
  • In einigen Ausführungsformen werden eine oder mehrere der Operationen des Verfahrens 1700, 1800 oder 1900 nicht ausgeführt. Eine oder mehrere der Operationen des Verfahrens 1700-1800 werden durch eine Verarbeitungsvorrichtung ausgeführt, die dafür eingerichtet ist, Instruktionen zum Herstellen eines integrierten Schaltkreises auszuführen, wie zum Beispiel des integrierten Schaltkreises 200, 400, 500B, 500D oder 700-1600. In einigen Ausführungsformen werden eine oder mehrere Operationen der Verfahren 1700-1800 unter Verwendung derselben Verarbeitungsvorrichtung ausgeführt, die in einer anderen der einen oder mehreren Operationen der Verfahren 1700-1800 verwendet wird. In einigen Ausführungsformen wird eine andere Verarbeitungsvorrichtung zum Ausführen einer oder mehrerer Operationen der Verfahren 1700-1800 verwendet als die, die zum Ausführen einer anderen der einen oder mehreren Operationen der Verfahren 1700-1800 verwendet wird. In einigen Ausführungsformen liegt eine andere Reihenfolge der Operationen des Verfahrens 1700, 1800 oder 1900 ebenfalls innerhalb des Umfangs der vorliegenden Offenbarung. Das Verfahren 1700, 1800 oder 1900 umfasst beispielhafte Operationen, aber die Operationen werden nicht unbedingt in der gezeigten Reihenfolge ausgeführt. Operationen in dem Verfahren 1700, 1800 oder 1900 können gemäß dem Wesen und Umfang der offenbarten Ausführungsformen hinzugefügt, ersetzt, in der Reihenfolge geändert und/oder weggelassen werden.
  • 20 ist eine schematische Ansicht eines Systems 2000 zum Entwerfen eines IC-Layout-Designs und zur Herstellung eines IC-Schaltkreises gemäß einigen Ausführungsformen. In einigen Ausführungsformen generiert oder platziert das System 2000 ein oder mehrere im vorliegenden Text beschriebene IC-Layout-Designs. Das System 2000 weist einen Prozessor 2002 und ein nicht-transitorisches, computerlesbares Speichermedium 2004 (zum Beispiel Speicher 2004) auf, das mit dem Computerprogrammcode 2006, das heißt einem Satz ausführbarer Instruktionen 2006, codiert ist, das heißt, diesen speichert. Das computerlesbare Speichermedium 2004 ist dafür eingerichtet, mit Herstellungsmaschinen zur Herstellung des integrierten Schaltkreises gekoppelt zu werden. Der Prozessor 2002 ist über einen Bus 2008 elektrisch mit dem computerlesbaren Speichermedium 2004 gekoppelt. Der Prozessor 2002 ist ebenfalls über den Bus 2008 elektrisch mit einer E/A-Schnittstelle 2010 gekoppelt. Eine Netzwerkschnittstelle 2012 ist ebenfalls über einen Bus 2008 elektrisch mit dem Prozessor 2002 verbunden. Die Netzwerkschnittstelle 2012 ist mit einem Netzwerk 2014 verbunden, so dass der Prozessor 2002 und das computerlesbare Speichermedium 2004 in der Lage sind, sich über das Netzwerk 2014 mit externen Elementen zu verbinden. Der Prozessor 2002 ist dafür eingerichtet, den in dem computerlesbaren Speichermedium 2004 codierten Computerprogrammcode 2006 auszuführen, um das System 2000 zu veranlassen, für die vollständige oder teilweise Ausführung der in dem Verfahren 1800 beschriebenen Operationen verwendbar zu sein.
  • In einigen Ausführungsformen ist der Prozessor 2002 eine zentrale Verarbeitungseinheit (CPU), ein Multiprozessor, ein verteiltes Verarbeitungssystem, ein anwendungsspezifischer integrierter Schaltkreis (ASIC) und/oder eine geeignete Verarbeitungseinheit.
  • In einigen Ausführungsformen ist das computerlesbare Speichermedium 2004 ein elektronisches, magnetisches, optisches, elektromagnetisches, Infrarot- und/oder Halbleitersystem (oder eine solche Vorrichtung oder ein solches Gerät). So enthält beispielsweise das computerlesbare Speichermedium 2004 einen Halbleiter- oder Festkörperspeicher, ein Magnetband, eine wechselfähige Computerdiskette, einen Direktzugriffsspeicher (RAM), einen Nurlesespeicher (ROM), eine starre magnetische Disk und/oder eine optische Disk. In einigen Ausführungsformen, die mit optischen Disks arbeiten, umfasst das computerlesbare Speichermedium 2004 ein Compact-Disk-Read-Only-Memory (CD-ROM), eine Compact-Disk-Read/Write (CD-R/W) und/oder eine digitale Video-Disk (DVD).
  • In einigen Ausführungsformen speichert das Speichermedium 2004 den Computerprogrammcode 2006, der dafür eingerichtet ist, das System 2000 zu veranlassen, das Verfahren 1800 auszuführen. In einigen Ausführungsformen speichert das Speichermedium 2004 auch Informationen, die für die Durchführung des Verfahrens 1800 benötigt werden, sowie Informationen, die während der Durchführung des Verfahrens 1800 generiert werden, wie zum Beispiel das Layout-Design 2016, die Benutzerschnittstelle 2018 und die Fertigungseinheit 2020, und/oder einen Satz ausführbarer Instruktionen, um den Ablauf des Verfahrens 1800 auszuführen. In einigen Ausführungsformen umfasst das Layout-Design 2016 eine oder mehrere Layout-Strukturen mindestens des Layout-Designs 100, 300, 500A oder 500C, oder Merkmale, die mindestens dem integrierten Schaltkreises 700-900, 1100, 1300-1400, 1600 oder 1900B ähneln.
  • In einigen Ausführungsformen speichert das Speichermedium 2004 Instruktionen (zum Beispiel Computerprogrammcode 2006) zum Verbinden mit Herstellungsmaschinen. Die Instruktionen (zum Beispiel der Computerprogrammcode 2006) setzen den Prozessor 2002 in die Lage, Herstellungsinstruktionen zu generieren, die durch die Herstellungsmaschinen gelesen werden können, um das Verfahren 1800 während eines Herstellungsprozesses effektiv zu implementieren.
  • Das System 2000 weist eine E/A-Schnittstelle 2010 auf. Die E/A-Schnittstelle 2010 ist mit externen Schaltungen gekoppelt. In einigen Ausführungsformen umfasst die E/A-Schnittstelle 2010 eine Tastatur, ein Tastenfeld, eine Maus, einen Trackball, ein Trackpad und/oder Cursor-Richtungstasten zum Übermitteln von Informationen und Befehlen an den Prozessor 2002.
  • Das System 2000 umfasst ebenfalls eine Netzwerkschnittstelle 2012, die mit dem Prozessor 2002 gekoppelt ist. Die Netzwerkschnittstelle 2012 ermöglicht es dem System 2000, mit dem Netzwerk 2014 zu kommunizieren, an das ein oder mehrere weitere Computersysteme angeschlossen sind. Die Netzwerkschnittstelle 2012 umfasst drahtlose Netzwerkschnittstellen wie zum Beispiel BLUETOOTH, WIFI, WIMAX, GPRS oder WCDMA, oder eine drahtgebundene Netzwerkschnittstelle wie zum Beispiel ETHERNET, USB oder IEEE-2094. In einigen Ausführungsformen wird das Verfahren 1800 in zwei oder mehr Systemen 2000 implementiert, und Informationen wie zum Beispiel das Layout-Design und eine Benutzeroberfläche werden zwischen verschiedenen Systemen 2000 über das Netzwerk 2014 ausgetauscht.
  • Das System 2000 ist dafür eingerichtet, Informationen in Bezug auf ein Layout-Design über die E/A-Schnittstelle 2010 oder die Netzwerkschnittstelle 2012 zu empfangen. Die Informationen werden durch den Bus 2008 an den Prozessor 2002 übertragen, um ein Layout-Design für die Herstellung mindestens des integrierten Schaltkreises 200, 400, 500B, 500D, 600-1600 oder 1900B zu bestimmen. Das Layout-Design wird dann in einem computerlesbaren Medium 2004 als Layout-Design 2016 gespeichert. Das System 2000 ist dafür eingerichtet, Informationen in Bezug auf eine Benutzerschnittstelle über die E/A-Schnittstelle 2010 oder die Netzwerkschnittstelle 2012 zu empfangen. Die Informationen werden auf dem computerlesbaren Medium 2004 als Benutzeroberfläche 2018 gespeichert. Das System 2000 ist dafür eingerichtet, Informationen in Bezug auf eine Fertigungseinheit über die E/A-Schnittstelle 2010 oder die Netzwerkschnittstelle 2012 zu empfangen. Die Informationen werden auf dem computerlesbaren Medium 2004 als Fertigungseinheit 2020 gespeichert. In einigen Ausführungsformen umfasst die Fertigungseinheit 2020 Fertigungsinformationen, die durch das System 2000 verwendet werden. In einigen Ausführungsformen entspricht die Fertigungseinheit 2020 der Maskenfertigung 2134 von 21.
  • In einigen Ausführungsformen wird das Verfahren 1800 als eine eigenständige Softwareanwendung zur Ausführung durch einen Prozessor implementiert. In einigen Ausführungsformen wird das Verfahren 1800 als eine Softwareanwendung implementiert, die Teil einer zusätzlichen Softwareanwendung ist. In einigen Ausführungsformen wird das Verfahren 1800 als ein Plug-in für eine Softwareanwendung implementiert. In einigen Ausführungsformen wird das Verfahren 1800 als eine Softwareanwendung implementiert, die ein Abschnitt eines EDA-Tools ist. In einigen Ausführungsformen wird das Verfahren 1800 als eine Softwareanwendung implementiert, die durch ein EDA-Tool verwendet wird. In einigen Ausführungsformen wird das EDA-Tool verwendet, um ein Layout des integrierten Schaltkreises zu generieren. In einigen Ausführungsformen wird das Layout auf einem nichttransitorischen computerlesbaren Medium gespeichert. In einigen Ausführungsformen wird das Layout unter Verwendung eines Werkzeugs wie zum Beispiel VIRTUOSO® von CADENCE DESIGN SYSTEMS, Inc. oder eines anderen geeigneten Layoutgenerierungstools erstellt. In einigen Ausführungsformen wird das Layout auf der Basis einer Netzliste generiert, die auf der Grundlage des Schaltplandesigns erstellt wird. In einigen Ausführungsformen wird das Verfahren 1800 durch eine Herstellungsvorrichtung implementiert, um einen integrierten Schaltkreis unter Verwendung eines Satzes Masken herzustellen, die auf der Grundlage eines oder mehrerer durch das System 2000 generierter Layout-Designs hergestellt werden. In einigen Ausführungsformen ist das System 2000 eine Herstellungsvorrichtung, die dafür eingerichtet ist, einen integrierten Schaltkreis unter Verwendung eines Satzes von Masken herzustellen, die auf der Grundlage eines oder mehrerer Layout-Designs der vorliegenden Offenbarung hergestellt wurden. In einigen Ausführungsformen generiert das System 2000 von 20 Layout-Designs eines integrierten Schaltkreises, die kleiner sind als bei anderen Herangehensweisen. In einigen Ausführungsformen generiert das System 2000 von 20 Layout-Designs einer Integrierten-Schaltkreis-Struktur, die weniger Fläche einnehmen und bessere Routungsressourcen bieten als andere Herangehensweisen.
  • 21 ist ein Blockschaubild eines Herstellungssystems 2100 für integrierte Schaltkreise (ICs) und eines damit verbundenen IC-Herstellungsflusses gemäß mindestens einer Ausführungsform der vorliegenden Offenbarung. In einigen Ausführungsformen werden, auf der Basis eines Layout-Schaubildes, (A) eine oder mehrere Halbleitermasken und/oder (B) mindestens eine Komponente in einer Schicht eines integrierten Halbleiterschaltkreises mittels des Herstellungssystems 2100 gefertigt.
  • In 21 umfasst das IC-Herstellungssystem 2100 (im Folgenden ein „System 2100“) Entitäten wie beispielsweise ein Designhaus 212c, ein Maskenhaus 2130 und einen IC-Hersteller/Fertiger („Fab“) 2140, die in den Design-, Entwicklungs- und Herstellungszyklen und/oder den Dienstleistungen im Zusammenhang mit der Herstellung einer IC-Vorrichtung 2160 miteinander interagieren. Die Entitäten in dem System 2100 sind über ein Kommunikationsnetzwerk verbunden. In einigen Ausführungsformen ist das Kommunikationsnetzwerk ein einziges Netzwerk. In einigen Ausführungsformen ist das Kommunikationsnetzwerk eine Vielzahl verschiedener Netzwerke, wie beispielsweise ein Intranet und das Internet. Das Kommunikationsnetzwerk umfasst drahtgebundene und/oder drahtlose Kommunikationskanäle. Jede Entität interagiert mit einer oder mehreren der anderen Entitäten und erbringt Dienstleistungen für eine oder mehrere der anderen Entitäten und/oder erhält Dienstleistungen von ihnen. In einigen Ausführungsformen sind eines oder mehrere des Designhauses 212c, des Maskenhauses 2130 und des IC-Fab 2140 im Besitz eines einzigen größeren Unternehmens. In einigen Ausführungsformen existieren eines oder mehrere des Designhauses 212c, des Maskenhauses 2130 und des IC-Fab 2140 in einer gemeinsamen Einrichtung nebeneinander und nutzen gemeinsame Ressourcen.
  • Das Designhaus (oder Designteam) 2120 generiert ein IC-Designlayout-Schaubild 2122. Das IC-Designlayout 2122 enthält verschiedene geometrische Strukturen, die für eine IC-Vorrichtung 2160 entworfen wurden. Die geometrischen Strukturen entsprechen Strukturen von Metall-, Oxid- oder Halbleiterschichten, aus denen sich die verschiedenen Komponenten der zu fertigenden IC-Vorrichtung 2160 zusammensetzen. Die verschiedenen Schichten kombinieren sich zu verschiedenen IC-Merkmalen. So enthält beispielsweise ein Abschnitt des IC-Designlayouts 2122 verschiedene IC-Merkmale, wie beispielsweise eine aktive Region, eine Gate-Elektrode, Source-Elektrode und Drain-Elektrode, Metallleitungen oder Durchkontaktierungen einer Zwischenschicht-Interconnect-Verbindung, und Öffnungen für Bondungs-Pads, die in einem Halbleitersubstrat (zum Beispiel einem Siliziumwafer) und verschiedenen auf dem Halbleitersubstrat angeordneten Materialschichten gebildet werden sollen. Das Designhaus 2120 implementiert ein geeignetes Designverfahren, um das IC-Designlayout 2122 zu bilden. Das Designverfahren umfasst eines oder mehrere von einem logischen Design, einem physischen Design, und Platzieren und Routen. Das IC-Designlayout 2122 wird in einer oder mehreren Dateien präsentiert, die Informationen über die geometrischen Strukturen enthalten. Zum Beispiel kann das IC-Designlayout 2122 in einem GDSII-Dateiformat oder einem DFII-Dateiformat ausgedrückt werden.
  • Das Maskenhaus 2130 umfasst die Datenvorbereitung 2132 und die Maskenfertigung 2134. Das Maskenhaus 2130 verwendet das IC-Designlayout 2122 zum Herstellen einer oder mehrerer Masken 2145, die bei der Fertigung der verschiedenen Schichten der IC-Vorrichtung 2160 gemäß dem IC-Designlayout 2122 zu verwenden sind. Das Maskenhaus 2130 führt die Maskendatenvorbereitung 2132 aus, wobei das IC-Designlayout 2122 in eine repräsentative Datei (Representative Data File, RDF) übersetzt wird. Die Maskendatenvorbereitung 2132 übermittelt die RDF an die Maskenfertigung 2134. Die Maskenfertigung 2134 umfasst einen Maskenschreiber. Ein Maskenschreiber konvertiert die RDF zu einem Bild auf einem Substrat, wie zum Beispiel einer Maske (Retikel) 2145 oder einen Halbleiterwafer 2142. Das Designlayout 2122 wird durch die Maskendatenvorbereitung 2132 so verarbeitet, dass es mit bestimmten Eigenschaften des Maskenschreibers und/oder Anforderungen des IC-Fab 2140 kompatibel ist. In 21 sind die Maskendatenvorbereitung 2132 und die Maskenfertigung 2134 als getrennte Elemente veranschaulicht. In einigen Ausführungsformen können die Maskendatenvorbereitung 2132 und die Maskenfertigung 2134 zusammen als die Maskendatenvorbereitung bezeichnet werden.
  • In einigen Ausführungsformen enthält die Maskendatenvorbereitung 2132 eine optische Nähekorrektur (Optical Proximity Correction, OPC), die Lithografieoptimierungstechniken zum Kompensieren von Bildfehlern verwendet, wie zum Beispiel solchen, die durch Beugung, Interferenzen, andere Prozesseffekte und dergleichen entstehen. OPC justiert das IC-Designlayout 2122. In einigen Ausführungsformen enthält die Maskendatenvorbereitung 2132 weitere Auflösungsoptimierungstechniken (Resolution Enhancement Techniques, RET), wie zum Beispiel außeraxiale Beleuchtung, Sub-Auflösungs-Hilfsmerkmale, Phasenverschiebungsmasken, andere geeignete Techniken und dergleichen oder Kombinationen davon. In einigen Ausführungsformen wird auch inverse Lithografie-Technologie (ILT) verwendet, die OPC als ein inverses Bildgabeproblem behandelt.
  • In einigen Ausführungsformen enthält die Maskendatenvorbereitung 2132 einen Maskenregelprüfer (Mask Rule Checker, MRC), der das IC-Designlayout, das Prozesse in OPC durchlaufen hat, mit einem Satz Maskenerzeugungsregeln überprüft, die bestimmte geometrische und/oder Konnektivitätseinschränkungen enthalten, um ausreichende Margen sicherzustellen, um Schwankungen in Halbleiterherstellungsprozessen zu berücksichtigen, und dergleichen. In einigen Ausführungsformen modifiziert der MRC das IC-Designlayout, um Einschränkungen während der Maskenfertigung 2134 zu kompensieren, die einen Teil der Modifizierungen rückgängig machen können, die durch OPC vorgenommen wurden, um Maskenerzeugungsregeln zu erfüllen.
  • In einigen Ausführungsformen enthält die Maskendatenvorbereitung 2132 eine Lithografieprozessüberprüfung (Lithography Process Checking, LPC), die eine Verarbeitung simuliert, die durch den IC-Fab 2140 implementiert wird, um die IC-Vorrichtung 2160 zu fertigen. Die LPC simuliert diese Verarbeitung anhand des IC-Designlayouts 2122, um eine simulierte hergestellte Vorrichtung zu erzeugen, wie zum Beispiel eine IC-Vorrichtung 2160. Die Verarbeitungsparameter in der LPC-Simulation können Parameter, die mit verschiedenen Prozessen des IC-Herstellungszyklus verknüpft sind, Parameter, die mit Werkzeugen verknüpft sind, die zur Herstellung des IC verwendet werden, und/oder andere Aspekte des Herstellungsprozesses enthalten. LPC berücksichtigt verschiedene Faktoren, wie zum Beispiel Zwischenbildkontrast, Tiefenschärfe (Depth of Focus DOF), Maskenfehleroptimierungsfaktor (Mask Error Enhancement Factor MEEF), andere geeignete Faktoren und dergleichen, oder Kombinationen davon. In einigen Ausführungsformen werden, nachdem durch die LPC eine simulierte hergestellte Vorrichtung gebildet wurde, wenn die simulierte Vorrichtung nicht genau genug die Form hat, um Designregeln zu erfüllen, OPC und/oder MRC wiederholt, um das IC-Designlayout 2122 weiter zu verfeinern.
  • Es versteht sich, dass die obige Beschreibung der Maskendatenvorbereitung 2132 zum Zweck der Klarheit vereinfacht wurde. In einigen Ausführungsformen umfasst die Datenvorbereitung 2132 zusätzliche Merkmale, wie zum Beispiel eine Logik-Operation (LOP) zum Modifizieren des IC-Designlayouts gemäß Herstellungsregeln. Außerdem können die Prozesse, die auf das IC-Designlayout 2122 während der Datenvorbereitung 2132 angewendet werden, in einer Vielzahl verschiedener Reihenfolgen ausgeführt werden.
  • Nach der Maskendatenvorbereitung 2132 und während der Maskenfertigung 2134 werden eine Maske 2145 oder eine Gruppe von Masken 2145 anhand des modifizierten IC-Designlayouts 2122 gefertigt. In einigen Ausführungsformen umfasst die Maskenfertigung 2134 die Ausführung einer oder mehrerer lithografischer Belichtungen auf der Basis des IC-Designlayouts 2122. In einigen Ausführungsformen wird ein Elektronenstrahl (E-Strahl) oder ein Mechanismus aus mehreren E-Strahlen verwendet, um eine Struktur auf einer Maske (Fotomaske 2145 oder Retikel) 845 anhand des modifizierten IC-Designlayouts 2122 zu bilden. Die Maske 2145 kann in verschiedenen Technologien gebildet werden. In einigen Ausführungsformen wird die Maske 2145 unter Verwendung von binärer Technologie gebildet. In einigen Ausführungsformen weist eine Maskenstruktur opake Regionen und transparente Regionen auf. Ein Strahl, wie zum Beispiel ein ultravioletter Strahl (UV-Strahl), der verwendet wird, um die bildempfindliche Materialschicht (zum Beispiel den Photoresist) zu belichten, die auf einen Wafer aufbeschichtet wurde, wird durch die opake Region blockiert und wird durch die transparenten Regionen durchgelassen. In einem Beispiel enthält eine binäre Version der Maske 2145 ein transparentes Substrat (zum Beispiel Quarzglas) und ein opakes Material (zum Beispiel Chrom), das in den opaken Regionen der binären Maske aufbeschichtet wird. In einem weiteren Beispiel wird die Maske 2145 unter Verwendung einer Phasenverschiebungstechnologie gebildet. In der Phasenverschiebungsmasken-Version (Phase Shift Mask, PSM-Version) der Maske 2145 sind verschiedene Merkmale in der Struktur, die auf der Maske gebildet wird, dafür eingerichtet, eine korrekte Phasendifferenz zu haben, um Auflösung und Bildgabequalität zu erhöhen. In verschiedenen Beispielen kann die Phasenverschiebungsmaske eine gedämpfte PSM oder eine alternierende PSM sein. Die eine oder die mehreren Masken, die durch die Maskenfertigung 2134 generiert werden, werden in einer Vielzahl verschiedener Prozesse verwendet. Zum Beispiel werden diese eine oder mehreren Masken in einem Ionenimplantierungsprozess, um verschiedene dotierte Regionen in dem Halbleiterwafer zu bilden, in einem Ätzprozess, um verschiedene Ätzregionen in dem Halbleiterwafer zu bilden, und/oder in anderen geeigneten Prozessen verwendet.
  • Der IC-Fab 2140 ist eine IC-Fertigungsentität, die eine oder mehrere Produktionsstätten für die Fertigung einer Vielzahl verschiedener IC-Produkte umfasst. In einigen Ausführungsformen ist der IC-Fab 2140 eine Halbleitergießerei. Zum Beispiel kann es eine Produktionsstätte für die Frontend-Fertigung mehrerer IC-Produkte (Front-End-of-Line-Fertigung (FEOL-Fertigung)) geben, während eine zweite Produktionsstätte für die Backend-Fertigung der Interconnect-Verbindungen und der Verkapselung der IC-Produkte (Back-End-of-Line-Fertigung (BEOL-Fertigung)) zuständig ist, und einen dritte Produktionsstätte kann andere Dienstleistungen für die Gießerei-Entität erbringen.
  • Der IC-Fab 2140 umfasst Waferfertigungswerkzeuge 2152 (im Folgenden „Fertigungswerkzeuge 2152“), die dafür eingerichtet sind, verschiedene Herstellungsoperationen auf dem Halbleiterwafer 2142 ausführen, dergestalt, dass die IC-Vorrichtung 2160 gemäß der einen oder den mehreren Masken, zum Beispiel der Maske 2145, gefertigt wird. In verschiedenen Ausführungsformen umfassen die Fertigungswerkzeuge 2152 eines oder mehrere von einem Wafer-Stepper, einem Ionen-Implantierer, einem Photoresist-Beschichter, einer Prozesskammer, zum Beispiel eine CVD-Kammer oder einen LPCVD-Ofen, einem CMP-System, einem Plasmaätzsystem, einem Waferreinigungssystem oder sonstiger Herstellungsausrüstung, die in der Lage ist, einen oder mehrere geeignete Herstellungsprozesse, wie im vorliegenden Text besprochen, auszuführen.
  • Der IC-Fab 2140 verwendet die eine oder die mehreren Masken 2145, die durch das Maskenhaus 2130 hergestellt wurden, um eine IC-Vorrichtung 2160 zu fertigen. Somit verwendet der IC-Fab 2140 mindestens indirekt das IC-Designlayout 2122 zum Fertigen der IC-Vorrichtung 2160. In einigen Ausführungsformen wird ein Halbleiterwafer 2142 durch den IC-Fab 2140 unter Verwendung einer oder mehrerer Masken 2145 gefertigt, um die IC-Vorrichtung 2160 zu bilden. In einigen Ausführungsformen umfasst die IC-Fertigung die Ausführung einer oder mehrerer lithografischer Belichtungen, die mindestens indirekt auf dem IC-Designlayout 2122 basieren. Der Halbleiterwafer 2142 umfasst ein Siliziumsubstrat oder ein anderes zweckmäßiges Substrat, auf dem Materialschichten ausgebildet sind. Der Halbleiterwafer 2142 umfasst des Weiteren eines oder mehrere von verschiedenen dotierten Regionen, dielektrischen Merkmalen, Interconnect-Verbindungen auf mehreren Ebenen und dergleichen (die in anschließenden Herstellungsschritten gebildet werden).
  • Das System 2100 ist so gezeigt, dass das Designhaus 212c, das Maskenhaus 2130 oder der IC-Fab 2140 separate Komponenten oder Entitäten sind. Es versteht sich jedoch, dass eines oder mehrere des Designhauses 212c, des Maskenhauses 2130 oder des IC-Fab 2140 Teil derselben Komponente oder Entität sind.
  • Details bezüglich eines Herstellungssystems für integrierte Schaltkreise (ICs) (zum Beispiel das System 2100 von 21) und eines damit verbundenen IC-Herstellungsflusses finden sich zum Beispiel im US-Patent Nr. 9,256,709 , erteilt am 9. Februar 2016, in der US-Vorerteilungspublikation Nr. 20150278429 , veröffentlicht am 1. Oktober 2015, in der US-Vorerteilungspublikation Nr. 20100040838 , veröffentlicht am 6. Februar 2014, und im US-Patent Nr. 7,260,442 , erteilt am 21. August 2007, die hiermit durch Bezugnahme in vollem Umfang in den vorliegenden Text aufgenommen werden.
  • Ein Aspekt dieser Beschreibung betrifft einen integrierten Schaltkreis. In einigen Ausführungsformen umfasst der integrierte Schaltkreis eine erste Stromschiene, eine zweite Stromschiene, eine Signalleitung und eine erste aktive Region eines ersten Satzes von Transistoren. Die erste Stromschiene befindet sich auf einer Rückseite eines Substrats und erstreckt sich in einer ersten Richtung. Die zweite Stromschiene befindet sich auf der Rückseite des Substrats, erstreckt sich in der ersten Richtung und ist von der ersten Stromschiene in einer zweiten, von der ersten Richtung verschiedenen Richtung getrennt. Die Signalleitung befindet sich auf der Rückseite des Substrats, erstreckt sich in der ersten Richtung, und befindet sich zwischen der ersten Stromschiene und der zweiten Stromschiene. Die erste aktive Region des ersten Satzes von Transistoren erstreckt sich in der ersten Richtung und befindet sich auf einer ersten Ebene einer Vorderseite des Substrats gegenüber der Rückseite.
  • Ein weiterer Aspekt dieser Beschreibung betrifft einen integrierten Schaltkreis. In einigen Ausführungsformen umfasst der integrierte Schaltkreis eine erste Stromschiene, eine zweite Stromschiene, eine erste leitfähige Struktur, ein erstes Gate und ein zweites Gate. In einigen Ausführungsformen befindet sich die erste Stromschiene auf einer Rückseite eines Substrats und erstreckt sich in einer ersten Richtung. In einigen Ausführungsformen befindet sich die zweite Stromschiene auf der Rückseite des Substrats, erstreckt sich in der ersten Richtung und ist von der ersten Stromschiene in einer zweiten Richtung, die sich von der ersten Richtung unterscheidet, getrennt. In einigen Ausführungsformen befindet sich die erste leitfähige Struktur auf der Rückseite des Substrats, erstreckt sich in der ersten Richtung und befindet sich zwischen der ersten Stromschiene und der zweiten Stromschiene. In einigen Ausführungsformen erstreckt sich das erste Gate in der zweiten Richtung, überlappt mindestens die erste leitfähige Struktur und befindet sich auf einer ersten Ebene einer Vorderseite des Substrats gegenüber der Rückseite. In einigen Ausführungsformen erstreckt sich das zweite Gate in der zweiten Richtung, überlappt mindestens die erste leitfähige Struktur, befindet sich auf der ersten Ebene und ist von dem ersten Gate in der ersten Richtung getrennt. In einigen Ausführungsformen koppelt die erste leitfähige Struktur das erste Gate elektrisch mit dem zweiten Gate.
  • Ein weiterer Aspekt dieser Beschreibung betrifft ein Verfahren zum Fertigen eines integrierten Schaltkreises In einigen Ausführungsformen umfasst das Verfahren: Fertigen eines Satzes von Transistoren auf einer Vorderseite eines Substrats, Fertigen eines ersten Satzes von Durchkontaktierungen auf einer der Vorderseite gegenüberliegenden Rückseite des Substrats, Abscheiden eines ersten Satzes leitfähiger Strukturen auf der Rückseite des Substrats, wodurch ein Satz von Stromschienen gebildet wird, die über den ersten Satz von Durchkontaktierungen elektrisch mit einem ersten Satz von Kontakten des Satzes von Transistoren gekoppelt sind, Fertigen eines zweiten Satzes von Durchkontaktierungen auf der Rückseite des Substrats, und Abscheiden eines zweiten Satzes leitfähiger Strukturen auf der Rückseite des Substrats, wodurch ein Satz von Signalleitungen auf der Rückseite des Substrats gebildet wird.
  • Das oben Dargelegte umreißt Merkmale verschiedener Ausführungsformen, so dass der Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Dem Fachmann ist klar, dass er die vorliegende Offenbarung ohne Weiteres als Basis für das Entwerfen oder Modifizieren anderer Prozesse und Strukturen verwenden kann, um die gleichen Zwecke und/oder die gleichen Vorteile wie bei den im vorliegenden Text vorgestellten Ausführungsformen zu erreichen. Dem Fachmann sollte auch klar sein, dass solche äquivalenten Bauformen nicht das Wesen und den Umfang der vorliegenden Offenbarung verlassen, und dass er verschiedene Änderungen, Substituierungen und Modifizierungen an der vorliegenden Erfindung vornehmen kann, ohne vom Wesen und Umfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 63024972 [0001]
    • US 9256709 [0414]
    • US 20150278429 [0414]
    • US 20100040838 [0414]
    • US 7260442 [0414]

Claims (20)

  1. Integrierter Schaltkreis, der umfasst: eine erste Stromschiene auf einer Rückseite eines Substrats, die sich in einer ersten Richtung erstreckt, eine zweite Stromschiene auf der Rückseite des Substrats, die sich in der ersten Richtung erstreckt und von der ersten Stromschiene in einer zweiten, von der ersten Richtung verschiedenen Richtung getrennt ist; eine Signalleitung auf der Rückseite des Substrats, die sich in der ersten Richtung erstreckt und sich zwischen der ersten Stromschiene und der zweiten Stromschiene befindet; und eine erste aktive Region eines ersten Satzes von Transistoren, wobei sich die erste aktive Region in der ersten Richtung erstreckt und sich auf einer ersten Ebene einer Vorderseite des Substrats, die der Rückseite entgegengesetzt ist, befindet.
  2. Integrierter Schaltkreis nach Anspruch 1, der des Weiteren umfasst: eine zweite aktive Region eines zweiten Satzes von Transistoren, wobei sich die zweite aktive Region in der ersten Richtung erstreckt, sich auf der ersten Ebene der Vorderseite des Substrats befindet und in der zweiten Richtung von der ersten aktiven Region getrennt ist.
  3. Integrierter Schaltkreis nach Anspruch 2, der des Weiteren umfasst: einen ersten Kontakt, der sich in der zweiten Richtung erstreckt, die erste aktive Region überlappt und sich auf einer zweiten Ebene befindet, die sich von der ersten Ebene unterscheidet; und einen zweiten Kontakt, der sich in der zweiten Richtung erstreckt, die zweite aktive Region überlappt, sich auf der zweiten Ebene befindet, elektrisch mit dem ersten Kontakt gekoppelt ist und in der ersten Richtung von dem ersten Kontakt getrennt ist.
  4. Integrierter Schaltkreis nach Anspruch 3, der des Weiteren umfasst: eine erste Durchkontaktierung zwischen der Signalleitung und dem ersten Kontakt, wobei die erste Durchkontaktierung die Signalleitung elektrisch mit dem ersten Kontakt koppelt; und eine zweite Durchkontaktierung zwischen der Signalleitung und dem zweiten Kontakt, wobei die zweite Durchkontaktierung die Signalleitung elektrisch mit dem zweiten Kontakt koppelt.
  5. Integrierter Schaltkreis nach Anspruch 2, der des Weiteren umfasst: einen ersten Kontakt, der sich in der zweiten Richtung erstreckt, die erste aktive Region überlappt und sich auf einer zweiten Ebene befindet, die sich von der ersten Ebene unterscheidet; und einen zweiten Kontakt, der sich in der zweiten Richtung erstreckt, die zweite aktive Region überlappt, sich auf der zweiten Ebene befindet und in der ersten Richtung von dem zweiten Kontakt getrennt ist.
  6. Integrierter Schaltkreis nach Anspruch 3, der des Weiteren umfasst: eine erste Durchkontaktierung zwischen der ersten Stromschiene und dem ersten Kontakt, wobei die erste Durchkontaktierung die erste Stromschiene elektrisch mit dem ersten Kontakt verbindet; und eine zweite Durchkontaktierung zwischen der zweiten Stromschiene und dem zweiten Kontakt, wobei die zweite Durchkontaktierung die zweite Stromschiene elektrisch mit dem zweiten Kontakt koppelt.
  7. Integrierter Schaltkreis nach einem der vorangehenden Ansprüche, der des Weiteren umfasst: einen Satz von Gates, die sich in der zweiten Richtung erstrecken, die erste aktive Region überlappen und sich auf einer zweiten Ebene befinden, die sich von der ersten Ebene unterscheidet, wobei jedes Gate des Satzes von Gates von einem benachbarten Gate des Satzes von Gates in der ersten Richtung durch einen ersten Abstand getrennt ist.
  8. Integrierter Schaltkreis nach Anspruch 7, der des Weiteren umfasst: einen Satz leitfähiger Strukturen, die sich in der ersten Richtung erstrecken, überlappen und sich auf einer dritten Ebene befinden, die sich von der ersten Ebene und der zweiten Ebene unterscheidet, wobei mindestens eine leitfähige Struktur des Satzes leitfähiger Strukturen von einer benachbarten leitfähigen Struktur des Satzes leitfähiger Strukturen in der zweiten Richtung durch einen zweiten Abstand getrennt ist.
  9. Integrierter Schaltkreis nach einem der vorangehenden Ansprüche, wobei der erste Satz von Transistoren Teil eines AND-OR-INVERT-Logikschaltkreises ist.
  10. Integrierter Schaltkreis, der umfasst: eine erste Stromschiene auf einer Rückseite eines Substrats, die sich in einer ersten Richtung erstreckt, eine zweite Stromschiene auf der Rückseite des Substrats, die sich in der ersten Richtung erstreckt und von der ersten Stromschiene in einer zweiten, von der ersten Richtung verschiedenen Richtung getrennt ist; eine erste leitfähige Struktur auf der Rückseite des Substrats, die sich in der ersten Richtung erstreckt und sich zwischen der ersten Stromschiene und der zweiten Stromschiene befindet; ein erstes Gate, das sich in der zweiten Richtung erstreckt, mindestens die erste leitfähige Struktur überlappt und auf einer ersten Ebene einer Vorderseite des Substrats, die der Rückseite entgegengesetzt ist, angeordnet ist; und ein zweites Gate, das sich in der zweiten Richtung erstreckt, mindestens die erste leitfähige Struktur überlappt, sich auf der ersten Ebene befindet und von dem ersten Gate in der ersten Richtung getrennt ist, wobei die erste leitfähige Struktur das erste Gate elektrisch mit dem zweiten Gate koppelt.
  11. Integrierter Schaltkreis nach Anspruch 10, der des Weiteren umfasst: eine erste Durchkontaktierung zwischen der ersten leitfähigen Struktur und dem ersten Gate, wobei die erste Durchkontaktierung die erste leitfähige Struktur elektrisch mit dem ersten Gate koppelt; und eine zweite Durchkontaktierung zwischen der ersten leitfähigen Struktur und dem zweiten Gate, wobei die zweite Durchkontaktierung die erste leitfähige Struktur elektrisch mit dem zweiten Gate koppelt.
  12. Integrierter Schaltkreis nach Anspruch 11, der des Weiteren umfasst: eine zweite leitfähige Struktur auf der Rückseite des Substrats, die sich in der ersten Richtung erstreckt und sich zwischen der ersten leitfähigen Struktur und der zweiten Stromschiene befindet; ein drittes Gate, das sich in der zweiten Richtung erstreckt, mindestens die erste leitfähige Struktur und die zweite leitfähige Struktur überlappt, sich auf der ersten Ebene befindet und von dem ersten Gate in der ersten Richtung getrennt ist; und ein viertes Gate, das sich in der zweiten Richtung erstreckt, mindestens die erste leitfähige Struktur und die zweite leitfähige Struktur überlappt, sich auf der ersten Ebene befindet und von dem dritten Gate in der ersten Richtung getrennt ist, wobei die zweite leitfähige Struktur das dritte Gate elektrisch mit dem vierten Gate koppelt.
  13. Integrierter Schaltkreis nach Anspruch 12, der des Weiteren umfasst: eine dritte Durchkontaktierung zwischen der zweiten leitfähigen Struktur und dem dritten Gate, wobei die dritte Durchkontaktierung die zweite leitfähige Struktur elektrisch mit dem dritten Gate koppelt; und eine vierte Durchkontaktierung zwischen der zweiten leitfähigen Struktur und dem vierten Gate, wobei die vierte Durchkontaktierung die zweite leitfähige Struktur elektrisch mit dem vierten Gate koppelt.
  14. Integrierter Schaltkreis nach einem der Ansprüche 10 bis 13, der des Weiteren umfasst: eine erste aktive Region eines ersten Satzes von Transistoren, wobei sich die erste aktive Region in der ersten Richtung erstreckt und sich auf einer zweiten Ebene befindet, die sich von der ersten Ebene unterscheidet; und eine zweite aktive Region eines zweiten Satzes von Transistoren, wobei sich die zweite aktive Region in der ersten Richtung erstreckt, sich auf der zweiten Ebene befindet und in der zweiten Richtung von der ersten aktiven Region getrennt ist.
  15. Integrierter Schaltkreis nach Anspruch 14, der des Weiteren umfasst: einen ersten Kontakt, der sich in der zweiten Richtung erstreckt, die erste aktive Region überlappt und sich auf einer dritten Ebene befindet, die sich von mindestens der zweiten Ebene unterscheidet; und einen zweiten Kontakt, der sich in der zweiten Richtung erstreckt, die zweite aktive Region überlappt, sich auf der dritten Ebene befindet und in der ersten Richtung von dem zweiten Kontakt getrennt ist.
  16. Integrierter Schaltkreis nach Anspruch 15, der des Weiteren umfasst: eine erste Durchkontaktierung zwischen der ersten Stromschiene und dem ersten Kontakt, wobei die erste Durchkontaktierung die erste Stromschiene elektrisch mit dem ersten Kontakt verbindet; und eine zweite Durchkontaktierung zwischen der zweiten Stromschiene und dem zweiten Kontakt, wobei die zweite Durchkontaktierung die zweite Stromschiene elektrisch mit dem zweiten Kontakt verbindet.
  17. Integrierter Schaltkreis nach einem der Ansprüche 10 bis 16, wobei der integrierte Schaltkreis Teil eines NAND-Logikschaltkreises ist.
  18. Verfahren zum Fertigen eines integrierten Schaltkreises, wobei das Verfahren umfasst: Fertigen eines Satzes von Transistoren auf einer Vorderseite eines Substrats; Fertigen eines ersten Satzes von Durchkontaktierungen auf einer der Vorderseite entgegengesetzten Rückseite des Substrats; Abscheiden eines ersten Satzes leitfähiger Strukturen auf der Rückseite des Substrats, wodurch ein Satz von Stromschienen gebildet wird, die über den ersten Satz von Durchkontaktierungen elektrisch mit einem ersten Satz von Kontakten des Satzes von Transistoren gekoppelt sind, Fertigen eines zweiten Satzes von Durchkontaktierungen auf der Rückseite des Substrats; und Abscheiden eines zweiten Satzes leitfähiger Strukturen auf der Rückseite des Substrats, wodurch ein Satz von Signalleitungen auf der Rückseite des Substrats gebildet wird.
  19. Verfahren nach Anspruch 18, wobei das Abscheiden des zweiten Satzes leitfähiger Strukturen auf der Rückseite des Substrats umfasst: elektrisches Verbinden des Satzes von Signalleitungen mit einem zweiten Satzes von Kontakten des Satzes von Transistoren durch den zweiten Satz von Durchkontaktierungen; oder elektrisches Verbinden des Satzes von Signalleitungen mit mindestens einem Satz von Gates des Satzes von Transistoren durch den zweiten Satz von Durchkontaktierungen.
  20. Verfahren nach Anspruch 18 oder 19, das des Weiteren umfasst: Durchführen eines Ausdünnens auf der Rückseite des Substrats entgegengesetzt zu der Vorderseite.
DE102021106180.6A 2020-05-14 2021-03-15 Integrierter schaltkreis, system, und verfahren zu seiner herstellung Pending DE102021106180A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063024972P 2020-05-14 2020-05-14
US63/024,972 2020-05-14
US17/195,868 2021-03-09
US17/195,868 US11569168B2 (en) 2020-05-14 2021-03-09 Integrated circuit, system and method of forming the same

Publications (1)

Publication Number Publication Date
DE102021106180A1 true DE102021106180A1 (de) 2021-11-18

Family

ID=77526767

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102021106180.6A Pending DE102021106180A1 (de) 2020-05-14 2021-03-15 Integrierter schaltkreis, system, und verfahren zu seiner herstellung

Country Status (4)

Country Link
US (1) US20230114558A1 (de)
CN (1) CN113363258A (de)
DE (1) DE102021106180A1 (de)
TW (1) TWI810562B (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114899187A (zh) * 2022-05-09 2022-08-12 成都海光微电子技术有限公司 版图设计方法、系统及设备、电路版图以及存储介质

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20240120256A1 (en) * 2022-10-06 2024-04-11 International Business Machines Corporation Backside BPR/BSPDN Intergration with Backside Local Interconnect.

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7260442B2 (en) 2004-03-03 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for mask fabrication process control
US20100040838A1 (en) 2008-08-15 2010-02-18 Abdallah David J Hardmask Process for Forming a Reverse Tone Image
US20150278429A1 (en) 2014-04-01 2015-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. System and Method for Integrated Circuit Manufacturing
US9256709B2 (en) 2014-02-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit mask patterning

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007014053A2 (en) * 2005-07-22 2007-02-01 Nanopower Technologies, Inc. High sensitivity rfid tag integrated circuits
TW202315049A (zh) * 2010-02-16 2023-04-01 凡 歐貝克 製造3d半導體晶圓的方法
WO2017052626A1 (en) * 2015-09-25 2017-03-30 Intel Corporation Power gate with metal on both sides
US10325840B2 (en) * 2015-09-25 2019-06-18 Intel Corporation Metal on both sides with power distributed through the silicon
EP3324436B1 (de) * 2016-11-21 2020-08-05 IMEC vzw Chip mit integrierter schaltung mit stromversorgungsnetzwerk auf der rückseite des chips
CN107302008B (zh) * 2017-06-06 2020-01-10 上海集成电路研发中心有限公司 增强近红外感光性能的背照式像素单元结构及形成方法
US10586765B2 (en) * 2017-06-22 2020-03-10 Tokyo Electron Limited Buried power rails
US10700207B2 (en) * 2017-11-30 2020-06-30 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device integrating backside power grid and related integrated circuit and fabrication method
US11133254B2 (en) * 2018-09-28 2021-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid power rail structure
US11048849B2 (en) * 2018-10-31 2021-06-29 Taiwan Semiconductor Manufacturing Company Ltd. Integrated circuit and method of manufacturing the same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7260442B2 (en) 2004-03-03 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for mask fabrication process control
US20100040838A1 (en) 2008-08-15 2010-02-18 Abdallah David J Hardmask Process for Forming a Reverse Tone Image
US9256709B2 (en) 2014-02-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit mask patterning
US20150278429A1 (en) 2014-04-01 2015-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. System and Method for Integrated Circuit Manufacturing

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114899187A (zh) * 2022-05-09 2022-08-12 成都海光微电子技术有限公司 版图设计方法、系统及设备、电路版图以及存储介质

Also Published As

Publication number Publication date
TW202143091A (zh) 2021-11-16
CN113363258A (zh) 2021-09-07
US20230114558A1 (en) 2023-04-13
TWI810562B (zh) 2023-08-01

Similar Documents

Publication Publication Date Title
DE102017118336B4 (de) Standardzellen-layout, halbleiter-bauelement mit technische-änderungsanweisungs(eco)-zellen und verfahren
DE102019121157B4 (de) Transfer-gate-struktur, layout, verfahren und system
DE102019117778A1 (de) Speicherzellenschaltung und verfahren zu derer herstellung
DE102021106180A1 (de) Integrierter schaltkreis, system, und verfahren zu seiner herstellung
DE102020119280A1 (de) Platzbedarf für multi-bit-flip-flop
DE102019128571B4 (de) Verfahren zum erzeugen eines layoutdiagramms mit zelle mit darauf basierenden stiftmustern und halbleitervorrichtung
DE102019118660A1 (de) Integrierter Schaltkreis und Verfahren zu seiner Herstellung
DE102021110414A1 (de) Vier-cpp-breite speicherzelle mit vergrabenem leistungsgitter und verfahren zu deren herstellung
DE102021110387A1 (de) Integrierte schaltungsanlage und verfahren
DE102020106252A1 (de) Integrierte schaltung
DE102020119415A1 (de) Integrierte schaltung mit rückseitiger stromschiene und rückseitigem interconnect
DE102020127331B4 (de) Integrierte Schaltung und Verfahren zur Herstellung einer integrierten Schaltung
DE102020115617B4 (de) Halbleitervorrichtung, integrierte Schaltung und darauf basierendes System
DE102020109326A1 (de) Ic-vorrichtung, verfahren, layout und system
DE102023100725A1 (de) Ic-logikbauteil, layout, system und verfahren
DE102020109522A1 (de) Integrierter schaltkreis
DE102022110640A1 (de) Integrierte schaltung und herstellungsverfahren davon
DE102019125461A1 (de) Halbleiterstruktur, vorrichtung und verfahren
DE102021100870B4 (de) Hybridschicht-layout, -verfahren, -system und -struktur
DE102021105450B4 (de) Integrierte Schaltungsvorrichtung, System und Verfahren
DE102021119369B4 (de) Pegelverschiebungsschaltkreis und verfahren
DE102021108836A1 (de) Halbleiterbauelement mit v2v-schiene und herstellungsverfahren davon
DE102021100627A1 (de) Integrierte schaltungsvorrichtung und verfahren
KR102494319B1 (ko) 집적 회로, 시스템 및 그 형성 방법
DE102019125632B4 (de) Integrierte schaltung, system und verfahren zum ausbilden derselben

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0027085000

Ipc: H01L0023528000