DE102017118336B4 - Standardzellen-layout, halbleiter-bauelement mit technische-änderungsanweisungs(eco)-zellen und verfahren - Google Patents

Standardzellen-layout, halbleiter-bauelement mit technische-änderungsanweisungs(eco)-zellen und verfahren Download PDF

Info

Publication number
DE102017118336B4
DE102017118336B4 DE102017118336.1A DE102017118336A DE102017118336B4 DE 102017118336 B4 DE102017118336 B4 DE 102017118336B4 DE 102017118336 A DE102017118336 A DE 102017118336A DE 102017118336 B4 DE102017118336 B4 DE 102017118336B4
Authority
DE
Germany
Prior art keywords
structures
conductive
eco
creating
layout
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102017118336.1A
Other languages
English (en)
Other versions
DE102017118336A1 (de
Inventor
Li-Chun Tien
Ting-Wei Chiang
Shun Li CHEN
Ting Yu CHEN
Xinyong Wang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102017118336A1 publication Critical patent/DE102017118336A1/de
Application granted granted Critical
Publication of DE102017118336B4 publication Critical patent/DE102017118336B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • Manufacturing & Machinery (AREA)
  • Architecture (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

Verfahren zum Erzeugen eines ECO-Layouts (ECO: engineering change order; technische Änderungsanweisung) einer ECO-Basiszelle (108A), wobei das Layout auf einem nichtflüchtigen maschinenlesbaren Medium gespeichert wird, wobei das Verfahren die folgenden Schritte aufweist:Erzeugen einer ersten und einer zweiten Aktiver-Bereich-Struktur (110A), die entsprechende Längsachsen haben, die in einer ersten Richtung angeordnet sind, die parallel zu einer ersten Symmetrieachse (105) ist;Anordnen der ersten und der zweiten Aktiver-Bereich-Struktur auf gegenüberliegenden Seiten der ersten Achse (105);Erzeugen von nicht-überdeckenden ersten, zweiten und dritten leitenden Strukturen (114A, 116A, 118A), die entsprechende Längsachsen in einer zweiten Richtung haben, die senkrecht zu der ersten Richtung (105) und parallel zu einer zweiten Symmetrieachse (107A) ist;Anordnen der ersten, zweiten und dritten leitenden Struktur (114A, 116A, 118A) jeweils so, dass sie die erste und die zweite Aktiver-Bereich-Struktur (110A) entsprechend überdecken;Positionieren der ersten leitenden Struktur (114A) zwischen der zweiten und der dritten leitenden Struktur (116A, 118A);Erzeugen einer ersten Schneidestruktur (120A), die entsprechende mittlere Bereiche der zweiten und der dritten leitenden Struktur (116A, 118A) überdeckt und die anzeigt, dass die mittleren Bereiche später entfernt werden;Justieren der ersten Schneidestruktur in Bezug zu der ersten Symmetrieachse (105);Erzeugen einer vierten leitenden Struktur (128A);Positionieren der vierten leitenden Struktur über einem Bereich, der von der ersten Schneidestruktur (120A) begrenzt wird; undDehnen der vierten leitenden Struktur (128A) so, dass sie eine Fläche einnimmt, die ein erstes Segment der ersten leitenden Struktur (114A) und ein erstes Segment der zweiten oder der dritten leitenden Struktur (116A, 118A) wesentlich überdeckt, wodurch das ECO-Layout entsteht,wobei mindestens einer der Schritte Erzeugen, Anordnen, Positionieren und Dehnen von einem Prozessor eines Computers ausgeführt wird;Positionieren der vierten leitenden Struktur (128A) asymmetrisch um die erste Symmetrieachse (105);Erzeugen einer zweiten Schneidestruktur (122A), die ein zweites Segment der ersten leitenden Struktur (114A) entsprechend überdeckt und die anzeigt, dass das zweite Segment der ersten leitenden Struktur später entfernt wird; undPositionieren der zweiten Schneidestruktur (122A) asymmetrisch zu der ersten Symmetrieachse (105), wodurch ein korrigiertes ECO-Layout entsteht.

Description

  • Hintergrund der Erfindung
  • Ein Halbleiter-Bauelement, das allein oder zusammen mit anderen HalbleiterBauelementen in einem integrierten Schaltkreis (IC) verwendet werden, umfasst eine Anzahl von elektronischen Bauelementen. Eine Möglichkeit, ein Halbleiter-Bauelement darzustellen, ist mittels einer Draufsicht, die als Layout-Diagramm (nachstehend „Layout“) bezeichnet wird. Ein Layout ist hierarchisch und wird in Module zerlegt, die übergeordnete Funktionen ausführen, die von den Entwurfsspezifikationen für Halbleiter-Bauelemente gefordert werden. Unter Umständen werden bei einem SCD-Projekt (SCD: semicustom design; Universal-Entwurf) die Module in Makrozellen, Standardzellen und kundenspezifische Zellen zerlegt.
  • Für ein gegebenes SCD-Projekt wird eine kundenspezifische Zelle mit einer Anordnung entworfen, die für das gegebene SCD-Projekt spezifisch ist, um (bei Betrieb) eine übergeordnete logische Funktion bereitzustellen, die für das SCD-Projekt spezifisch ist. Im Gegensatz dazu wird eine Bibliothek von Standardzellen ohne Rücksicht auf ein spezielles Projekt entworfen, und sie umfasst Standardzellen, die (bei Betrieb) übliche untergeordnete logische Funktionen bereitstellen. Hinsichtlich der Grundfläche in einem Layout (aus der Perspektive einer Draufsicht) sind kundenspezifische Zellen größer (normalerweise viel größer) als Standardzellen. Darüber hinaus haben bei einer gegebenen Bibliothek alle Standardzellen mindestens eine Dimension, die die gleiche Größe hat (wobei normalerweise die Größe ein Vielfaches einer Bibliotheks-spezifischen feststehenden Dimension ist), um die Platzierung der Standardzellen in einem Layout zu erleichtern. Typischerweise ist die Richtung der feststehenden Dimension parallel zu der vertikalen Richtung oder y-Achse, sodass die feststehende Dimension als die Höhe der Standardzelle bezeichnet wird. An sich werden Standardzellen als Zellen bezeichnet, die für ein gegebenes SCD-Projekt vordefiniert sind. Kundenspezifische Zellen können mindestens eine Dimension haben, oder auch nicht, die die gleiche Größe wie die entsprechende Dimension der Standardzellen hat.
  • Wenn sich die Technologie verbessert, nimmt die Dichte eines Halbleiter-Bauelements (ausgedrückt als Anzahl von elektronischen Bauelementen je Flächeneinheit oder je Volumeneinheit) zu. Eine Möglichkeit für die Erhöhung der Dichte eines Halbleiter-Bauelements ist die Reduzierung der Größe der feststehenden Dimension aller Standardzellen in einer gegebenen Bibliothek.
  • Die US 2015 / 0 048 424 A1 betrifft ein Layout einer Standard-Zelle, das auf einem nicht transitorischen computerlesbaren Medium gespeichert wird und ein erstes leitfähiges Muster, ein zweites leitfähiges Muster, eine Vielzahl von Mustern aktiver Flächen und ein erstes zentrales leitfähiges Muster umfasst.
  • Figurenliste
  • Es werden eine oder mehrere Ausführungsformen beispielhaft, und nicht beschränkend, in den Figuren der beigefügten Zeichnungen erläutert, wobei Elemente, die mit dem gleichen Bezugssymbol bezeichnet sind, durchgehend ähnliche Elemente darstellen. Wenn nicht anders angegeben, sind die Zeichnungen nicht maßstabsgerecht.
    • Die 1A bis 1L sind entsprechende Layouts verschiedener ECO-Basiszellen für ein Halbleiter-Bauelement, gemäß einigen Ausführungsformen.
    • Die 2A bis 2F sind Schnittansichten von ersten Teilen von HalbleiterBauelementen, die ECO-Basiszellen aufweisen, gemäß einigen Ausführungsformen.
    • Die 3A bis 3F sind Schnittansichten von zweiten Teilen der Halbleiter-Bauelemente, die ECO-Basiszellen aufweisen, gemäß einigen Ausführungsformen.
    • 4A ist eine vereinfachte Variante eines Layouts gemäß einigen Ausführungsformen. 4B ist eine vereinfachte Variante eines Layouts, das dem Layout von 4A entspricht, gemäß einigen Ausführungsformen. 4C ist eine komplexere Variante des Layouts von 4A gemäß einigen Ausführungsformen. 4D ist eine komplexere Variante des Layouts von 4C gemäß einigen Ausführungsformen.
    • 5A ist eine Schnittansicht eines ersten Teils des Layouts, das bei einem Halbleiter-Bauelement verwendet wird, gemäß einigen Ausführungsformen.
    • 5B ist eine Schnittansicht eines zweiten Teils des Layouts, das bei dem Halbleiter-Bauelement verwendet wird, gemäß einigen Ausführungsformen.
    • Die 6A bis 6F sind entsprechende Ablaufdiagramme eines Verfahrens zum Erzeugen eines Layouts einer ECO-Basiszelle, gemäß mindestens einer Ausführungsform.
    • 7 ist ein Blockdiagramm eines Computersystems, gemäß einigen Ausführungsformen.
    • 8 ist ein Blockdiagramm eines IC-Herstellungssystems (IC: integrierter Schaltkreis) und eines zugehörigen IC-Herstellungsablaufs, gemäß einigen Ausführungsformen.
  • Detaillierte Beschreibung
  • Die Erfindung ist durch die unabhängigen Ansprüche offenbart. Die abhängigen Ansprüche betreffen entsprechende Weiterbildungen. Die nachstehende Beschreibung liefert viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale des bereitgestellten Gegenstands. Nachstehend werden spezielle Beispiele für Komponenten, Materialien, Werte, Schritte, Prozesse, Anordnungen oder dergleichen beschrieben, um die vorliegende Erfindung zu vereinfachen. Es werden auch andere Komponenten, Materialien, Werte, Schritte, Anordnungen usw. in Betracht gezogen. Zum Beispiel kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt ausgebildet werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element so ausgebildet werden können, dass das erste und das zweite Element nicht in direktem Kontakt sind. Darüber hinaus können in der vorliegenden Erfindung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Übersichtlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • Darüber hinaus können hier räumlich relative Begriffe, wie etwa „darunter befindlich“, „unter“, „untere(r)“/„unteres“, „darüber befindlich“, „obere(r)“/„oberes“ und dergleichen, zur einfachen Beschreibung der Beziehung eines Elements oder einer Struktur zu einem oder mehreren anderen Elementen oder Strukturen verwendet werden, die in den Figuren dargestellt sind. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren dargestellten Orientierung andere Orientierungen des in Gebrauch oder in Betrieb befindlichen Bauelements umfassen. Die Vorrichtung kann anders ausgerichtet werden (um 90 Grad gedreht oder in einer anderen Orientierung), und die räumlich relativen Deskriptoren, die hier verwendet werden, können ebenso entsprechend interpretiert werden.
  • Bei einigen Ausführungsformen weist ein Layout einer Standardzelle normalerweise Folgendes auf: eine Vielzahl von Aktiver-Bereich-Strukturen, die auf gegenüberliegenden Seiten einer Symmetrieachse (die imaginär ist und normalerweise parallel zu der horizontalen Richtung oder x-Achse verläuft) verteilt sind; darüber befindliche parallele leitende Strukturen (die z. B. als Gates, Sources oder Drains eines Transistors dienen), die im Wesentlichen senkrecht zu der Symmetrieachse ausgerichtet sind; und eine darüber befindliche Metallisierungsschicht, die einige Segmente hat, die Betriebsspannungen, z. B. VDD und VSS, bereitstellen und als Verbindungsbusse bezeichnet werden. Unter einer ersten Metallschicht, z. B. einer Metallschicht eins [M(i), wobei i eine nicht-negative ganze Zahl ist, z. B. i = 0 oder i = 1)], eines Halbleiter-Bauelements verbindet ein erster Typ einer Zwischenschicht-Verbindungsstruktur, der als ein MoPO-Kontakt (MoPO oder MP: metal-zero layer over polysilicon; Metall-null-Schicht über Polysilizium) bekannt ist, eine darunter befindliche leitende Struktur, wie etwa ein Gate, mit einer zweiten Zwischenschicht-Verbindungsstruktur, die als eine Durchkontaktierung bekannt ist. Die Durchkontaktierung ist mit einem entsprechenden darüber befindlichen Segment der M(i) verbunden.
  • Bei einigen Ausführungsformen werden zum Verringern der Höhe der Standardzellen einige Instanzen einer Änderung des MoPO-Kontakts verwendet. Durch die Änderung des MoPO-Kontakts wird die Größe (von der Perspektive einer Draufsicht, in der vertikalen und/oder horizontalen Richtung) in Bezug zu einer typischen Instanz des MoPO-Kontakts vergrößert. Die Änderung des MoPO-Kontakts wird hier als ein „Toleranzkontakt“ bezeichnet, da die Änderung des MoPO-Kontakts eine größere Toleranz (Justiertoleranz) beim Präzisionsgrad ermöglicht, mit dem eine gegebene Durchkontaktierung (die einen gegebenen Toleranzkontakt überdeckt) in Bezug zu der entsprechenden darunter befindlichen leitenden Struktur justiert werden soll. Ein gegebener Toleranzkontakt wird vergrößert, sodass ein oder mehrere Teile des Toleranzkontakts über die entsprechende darunter befindliche leitende Struktur hinaus reichen. Dadurch wird die Lage der gegebenen Durchkontaktierung nicht mehr von der Lage der entsprechenden darunter befindlichen leitenden Struktur beschränkt. Weiterhin kann die Größe des gegebenen Metallisierungssegments proportional in den entsprechenden Richtungen reduziert werden. Unterstellt man, dass die Längsachsen der Metallisierungssegmente parallel zu den horizontalen Achsen sind, wenn die Größe der Metallisierungssegmente in der vertikalen Richtung reduziert wird, so wird die Gesamthöhe der Standardzelle reduziert, und die Dichte eines Halbleiter-Bauelements, das diese Standardzellen (die Toleranzkontakte aufweisen) verwendet, nimmt zu.
  • Aus der Perspektive einer Draufsicht werden mit dem Ziel der Reduzierung des elektrischen Widerstands Instanzen des MoPO-Kontakts sowie Instanzen eines ähnlichen Typs einer Zwischenschicht-Verbindungsstruktur, der als ein MoOD-Kontakt (MoOD oder MD: metal-zero over oxide diffusions; Metall-null-Schicht über Oxiddiffusionen) bekannt ist, so dimensioniert, dass sie in die Grundfläche der entsprechenden darunter befindlichen leitenden Strukturen passen, dass die Grundflächen von Durchkontaktierungen nicht größer als die Grundflächen der Toleranzkontakte sind, auf denen die Durchkontaktierungen angeordnet sind, und/oder dass Durchkontaktierungen vollständig von den entsprechenden darüber befindlichen Metallisierungssegmenten überdeckt werden. Normalerweise haben die Durchkontaktierungen die Form (aus der Perspektive einer Draufsicht) von Quadraten, und sie sind (in der horizontalen Richtung) so dimensioniert, dass sie zu der horizontalen Größe der Toleranzkontakte passen und die horizontale Größe der Toleranzkontakte nicht größer als die horizontale Größe der entsprechenden darunter befindlichen leitenden Strukturen ist. Außerdem werden die Toleranzkontakte und die Durchkontaktierungen normalerweise zu den entsprechenden darunter befindlichen leitenden Strukturen in der horizontalen Richtung ausgerichtet. Daher ist die Lage einer gegebenen Durchkontaktierung bisher von der Lage der entsprechenden darunter befindlichen leitenden Struktur beschränkt worden.
  • Um eine vollständige Überdeckung einer gegebenen Durchkontaktierung und des entsprechenden darüber befindlichen Segments in der Metallschicht (dem „gegebenen Metallisierungssegment“) zu ermöglichen, ist bei anderen Methoden das gegebene Metallisierungssegment (in der vertikalen und/oder horizontalen Richtung) so dimensioniert worden, dass es größer als die Größe (in der entsprechenden Richtung) der gegebenen Durchkontaktierung ist. Dadurch reichen ein oder mehrere Teile des gegebenen Metallisierungssegments (in der vertikalen und/oder horizontalen Richtung) über die gegebene Durchkontaktierung hinaus. Durch eine Überdimensionierung des gegebenen Metallisierungssegments (in der vertikalen und/oder horizontalen Richtung) wird die Genauigkeit verringert, mit der das gegebene Metallisierungssegment über der gegebenen Durchkontaktierung (in der entsprechenden Richtung) justiert werden muss, was ein Vorteil ist. Ein Nachteil der Überdimensionierung des gegebenen Metallisierungssegments (in der vertikalen Richtung) besteht darin, dass die Gesamthöhe (in der vertikalen Richtung) der Standardzelle zunimmt.
  • Unterstellt man, dass die Längsachsen der Metallisierungssegmente parallel zu der horizontalen Achse sind, so besteht eine Möglichkeit, die Gesamthöhe (in der vertikalen Richtung) der Standardzelle zu verringern, darin, die vertikale Größe eines gegebenen Metallisierungssegments zu reduzieren. Die vertikale Größe des gegebenen Metallisierungssegments wird dann reduziert, wenn die Lage der gegebenen Durchkontaktierung in Bezug zu der Lage der entsprechenden darunter befindlichen leitenden Struktur weniger eingeschränkt wird. Bei einigen Ausführungsformen kann daher eine Anordnung, die die Lage der gegebenen Durchkontaktierung weniger eingeschränkt macht, einen geringeren Umfang der Überdimensionierung des gegebenen Metallisierungssegments zulassen. Durch eine solche Anordnung wird die Größe (in der vertikalen und/oder horizontalen Richtung) des Toleranzkontakts vergrößert, der zwischen der gegebenen Durchkontaktierung und der entsprechenden darunter befindlichen leitenden Struktur angeordnet ist. Das Vergrößern (in der vertikalen und/oder horizontalen Richtung) des Toleranzkontakts hat den Vorteil, dass die Lage der gegebenen Durchkontaktierung in Bezug zu der Lage der entsprechenden darunter befindlichen leitenden Struktur weniger eingeschränkt ist. Der Toleranzkontakt wird vergrößert (in der vertikalen und/oder horizontalen Richtung), sodass ein oder mehrere Teile des Toleranzkontakts über die gegebene Durchkontaktierung und ebenso über die entsprechende darunter befindliche leitende Struktur hinaus reichen. Dadurch kann der Umfang der Überdimensionierung des gegebenen Metallisierungssegments anteilig in den entsprechenden Richtungen reduziert werden. Wenn die Metallisierungssegmente in der vertikalen Richtung verkleinert werden, wird die Gesamthöhe der Standardzelle verringert, und die Dichte eines Halbleiter-Bauelements, das unter Verwendung dieser Standardzelle hergestellt wird, nimmt zu. Bei einigen Ausführungsformen verbessert sich die Dichte um etwa 13 % bis etwa 20 %.
  • Es gibt zwei Arten von Standardzellen, und zwar funktionelle Standardzellen und Reserve-Standardzellen, wobei letztere als ECO-Zellen (ECO: engineering change order; Technische Änderungsanweisung) bezeichnet werden. Funktionelle Standardzellen werden mit speziellen inneren Anordnungen von Komponenten definiert, um (bei Betrieb) entsprechende häufige untergeordnete Funktionen bereitzustellen, z. B. logische Funktionen, die einen Inverter, NAND, NOR, XOR, D-Latch, Entkopplungskondensator (DeCap), AND-OR-Invert (AOI), OR-AND-Invert (OAI), Multiplexer, Flipflop oder dergleichen umfassen.
  • ECO-Zellen umfassen ECO-Basiszellen und programmierte ECO-Zellen. Eine programmierte ECO-Zelle bezeichnet eine ECO-Basiszelle, die programmiert worden ist. Ähnlich wie eine funktionelle Zelle wird eine ECO-Basiszelle mit einer speziellen inneren Anordnung von Komponenten definiert. Anders als eine funktionelle Zelle ist eine ECO-Basiszelle nicht so eingerichtet, dass sie eine spezielle Funktion bereitstellt. Im Gegensatz zu Standardzellen, die arbeiten (betriebsfähig sind), arbeitet eine ECO-Basiszelle (die noch nicht programmiert worden ist) nicht (sie ist nicht betriebsfähig).
  • Eingedenk dessen, dass ECO-Basiszellen Reserve-Zellen sind, ist die Anordnung einer ECO-Basiszelle ausreichend, da sie im Bedarfsfall so „programmiert“ (umgewandelt) werden kann, dass sie arbeitet und eine der gleichen üblichen untergeordneten Funktionen bereitstellt, wie sie von einer entsprechenden funktionellen Standardzelle bereitgestellt werden. Bei einigen Ausführungsformen reicht die Anordnung einer ECO-Basiszelle aus, um sie so „programmieren“ (umwandeln) zu können, dass sie arbeitet und eine der logischen Funktionen bereitstellt, die einen Inverter, NAND, NOR, XOR, D-Latch, Entkopplungskondensator (DeCap), AND-OR-Invert (AOI), OR-AND-Invert (OAI), Multiplexer, Flipflop oder dergleichen umfassen. Bei einigen Ausführungsformen wird eine ECO-Basiszelle zu einer programmierten ECO-Zelle programmiert (umgewandelt), indem eine oder mehrere Verbindungen in mindestens einer ECO-Basiszelle (interne ECO-Basiszellen-Verbindungen), wie etwa Metall-Silizium-Kontakte und Metall-Polysilizium-Kontakte, geändert werden oder andere Metallschicht-Änderungen mit entsprechenden Durchkontaktierungen oder Kontakten vorgenommen werden.
  • Bei einem SCD-Projekt werden EDA-Tools (EDA: electronic design automation; Entwurfsautomatisierung elektronischer Systeme) dazu verwendet, um funktionelle Standardzellen aus Standardzellen-Bibliotheken auszuwählen und die funktionellen Standardzellen (gegebenenfalls) zusammen mit Nicht-Standardzellen in einem ersten Layout zu platzieren. EDA-Tools werden auch zum Ausführen einer Leitungsführung verwendet, mit der funktionelle Standardzellen mit Nicht-Standardzellen unter Verwendung einer oder mehrerer Metallschichten und entsprechender Durchkontaktierungen und Kontakte verbunden werden. EDA-Tools werden außerdem zum Prüfen der Leitungsführung verwendet. In Abhängigkeit von den Prüfergebnissen werden die Auswahl, Platzierung und Leitungsführung der Standard- und Nicht-Standardzellen überarbeitet. Bei zumindest einigen Ausführungsformen ist der gesamte Auswahl-, Platzierungs-, Leitungsführungs- und Prüfungsprozess (SPRT-Prozess) iterativ. Schließlich laufen die SPRT-Prozess-Iterationen zu einem abgeschlossenen Layout zusammen.
  • Aus verschiedenen Gründen (z. B. wegen einer Entwurfsänderung, eines inakzeptablen Zeitproblems, eines inakzeptablen Elektromigrationsproblems oder dergleichen) muss ein nahezu abgeschlossenes Layout (oder ein Layout, das andernfalls als ein endgültiges Layout angesehen worden wäre) normalerweise einer Überarbeitung unterzogen werden. In Erwartung von Umständen, bei denen die Überarbeitung einen relativ geringen Umfang haben würde, und als eine Schutzmaßnahme (oder Absicherung) dagegen, den iterativen SPRT-Prozess neu starten (erneut beginnen) zu müssen, werden EDA-Tools auch zum Platzieren einer oder mehrerer ECO-Basiszellen in das erste Layout verwendet.
  • Da die ECO-Basiszellen nicht arbeiten, sind sie nicht mit funktionellen Zellen verbunden. Wenn das fast abgeschlossene Layout überarbeitet werden soll, durchlaufen eine oder mehrere ECO-Basiszellen eine „Programmierung“, die die eine oder mehreren ECO-Basiszellen in eine oder mehrere „programmierte“ ECO-Zellen umwandelt. Dann wird die programmierte ECO-Zelle so geführt, dass sie funktionsfähig mit einer oder mehreren funktionellen Standardzellen verbunden wird.
  • Die 1A bis 1L sind entsprechende Layouts 100A bis 100L verschiedener ECO-Basiszellen für ein Halbleiter-Bauelement, gemäß einigen Ausführungsformen.
  • Es gibt mindestens zwei Arten von Layout-Diagrammen. Ein erstes (oder „Vorschneide-“)Layout-Diagramm stellt unvollständige Strukturen und entsprechende Schneidebereiche dar. Ein zweites (oder „Nachschneide-“)Layout-Diagramm stellt die Strukturen dar, die aus dem entsprechenden Vorschneide-Layout-Diagramm resultieren. Bei dem Vorschneide-Layout-Diagramm ist eine unvollständige Variante eine Variante, die noch nicht fertig oder vollständig entwickelt ist. Ein Schneidebereich zeigt an, dass ein Teil einer entsprechenden Struktur unter dem Schneidebereich entfernt (oder abgeschnitten) wird. Da hier ein Teil einer gegebenen Struktur, die sich unter einem entsprechenden Schneidebereich befindet, entfernt (oder abgeschnitten) wird, ist die gegebene Struktur noch nicht fertig oder vollständig entwickelt, und daher wird die gegebene Struktur hier als eine unvollständige Struktur bezeichnet.
  • Die 1A bis 1L beziehen sich wie folgt aufeinander. 1A ist ein vereinfachtes Vorschneide-Layout 100A, das verschiedene ECO-Basiszellen 108A bis 108H zeigt. 1H ist ein entsprechendes detailliertes Vorschneide-Layout 100H, das alle unvollständigen Strukturen und entsprechenden Schneidebereiche zeigt, die als Bestandteile des Layouts 100A von 1A aufzufassen sind. Da das Vorschneide-Layout 100H von 1H komplex ist, werden Vorschneide-Layouts 100B bis 100D und 100F der entsprechenden 1B bis 1D und 1F bereitgestellt. Die Vorschneide-Layouts 100B bis 100D und 100F führen schrittweise unvollständige Strukturen und entsprechende Schneidebereiche ein, die Bestandteile des Layouts 100H sind. 1E ist ein Nachschneide-Layout 100E, das dem Vorschneide-Layout 100D von 1D entspricht. 1G ist ein Nachschneide-Layout 100G, das dem Vorschneide-Layout 100F von 1F entspricht. 1I ist ein Nachschneide-Layout 100I, das dem Vorschneide-Layout 100H von 1H entspricht. Im Vergleich zu dem Vorschneide-Layout 100H ist 1J ein Vorschneide-Layout 100J, das weiterhin Durchkontaktierungen aufweist. 1K ist ein Nachschneide-Layout 100K, das dem Vorschneide-Layout 100J von 1J entspricht. Im Vergleich zu dem Vorschneide-Layout 100J ist Fig. iL ein Vorschneide-Layout 100L, das weiterhin Segmente von einer darüber befindlichen Metallschicht aufweist.
  • In 1A wird das Vorschneide-Layout 100A auf einem Substrat 102 hergestellt. Das Substrat 102 weist einen Logikbereich 104 auf, in dem funktionelle Standardzellen (nicht dargestellt) und Standard-ECO-Basiszellen hergestellt werden. Wie gezeigt ist, weist der Logikbereich 104 verschiedene ECO-Basiszellen 108A bis 108H auf. Es werden auch andere Anzahlen von ECO-Basiszellen in Erwägung gezogen. Der einfachen Darstellung halber sind die ECO-Basiszellen 108A bis 108H in 1A durch ihre entsprechenden Grenzen dargestellt. Der einfachen Darstellung halber sind Komponenten und interne ECO-Basiszellen-Verbindungen jeder der ECO-Basiszellen 108A bis 108H in 1A nicht dargestellt.
  • Wie vorstehend dargelegt worden ist, haben für eine gegebene Bibliothek alle Standardzellen mindestens eine Dimension, die die gleiche feststehende Größe hat, um die Platzierung der Standardzellen in ein Layout zu unterstützen. Bei einigen Ausführungsformen ist die feststehende Größe ein Vielfaches einer Bibliotheks-spezifischen feststehenden Dimension. Bei einigen Ausführungsformen ist die feststehende Größe ein Vielfaches des Mindestabstands PPOLY zwischen Polysilizium-Strukturelementen.
  • Bei einigen Ausführungsformen sind die Standardzellen (die funktionelle Zellen und ECO-Zellen umfassen) Polygone. Bei einigen Ausführungsformen sind die Standardzellen rechteckige Polygone. Bei einigen Ausführungsformen ist aus der Perspektive einer Draufsicht die x-Achse horizontal und die y-Achse ist vertikal, sodass die horizontale und die vertikale Dimension einer rechteckigen Standardzelle als die entsprechende Breite bzw. Höhe der Zelle bezeichnet werden. Bei einigen Ausführungsformen ist das Layout in Zeilen angeordnet, und die Höhe aller Standardzellen ist gleich, um die Platzierung der Standardzellen in die Zeilen des Layouts zu unterstützen.
  • Kommen wir zu 1A zurück, in der die ECO-Basiszellen 108A bis 108H in der horizontalen Richtung angeordnet sind. Alle ECO-Basiszellen 108A bis 108H haben die gleiche Größe in der vertikalen Richtung (die gleiche Höhe), aber alternativ sind auch andere Konfigurationen möglich
  • Um Verbindungen zwischen den Zellen zu ermöglichen, weist ein Layout einen Stapel von planaren „Metallisierungs"schichten auf, die mit planaren Zwischenschicht-Dielektrika(ILD)-Strukturen durchsetzt sind. Eine gegebene „Metallisierungs"schicht weist parallele leitende Leitungssegmente auf. Bei einigen Ausführungsformen bestehen die leitenden Leitungssegmente aus Metall. Bei einigen Ausführungsformen sind die parallelen Leitungssegmente in aufeinander folgenden Metallisierungsschichten senkrecht zueinander. Bei einigen Ausführungsformen verlaufen die parallelen Leitungssegmente in einer i-ten Metallisierungsschicht [M(i)] in einer ersten Richtung, die parallelen Leitungssegmente in einer (i+1)-ten Metallisierungsschicht [M(i+i)] verlaufen in einer zweiten Richtung, die senkrecht zu der ersten Richtung ist, die parallelen Leitungssegmente in einer (i+2)-ten Metallisierungsschicht [M(i+2)] verlaufen in der ersten Richtung, die parallelen Leitungssegmente in einer (i+3)-ten Metallisierungsschicht [M(i+3)] verlaufen in der zweiten Richtung, und so weiter. Bei einigen Ausführungsformen ist die erste Richtung parallel zu der x-Achse, und die zweite Richtung ist parallel zu der y-Achse.
  • Bei einigen Ausführungsformen ist die M(i)-Schicht die erste Metallisierungsschicht über Zwischenschicht-Verbindungsstrukturen, wie etwa Durchkontaktierungen, z. B. den Durchkontaktierungen, die den Strukturen 130A bis 130H entsprechen, der Durchkontaktierung 220, den Durchkontaktierungen, die den Strukturen 142A bis 142H entsprechen, der Durchkontaktierung 330 oder dergleichen. Gemäß einigen Metallisierungsschicht-Nummerierungsschemata ist i die nicht-negative ganze Zahl Null (i = 0), sodass M(i) = M(o) ist. Gemäß einigen anderen Metallisierungsschicht-Nummerierungsschemata ist i die nicht-negative ganze Zahl Eins (i = 1), sodass M(i) = M(i) ist. In der vorliegenden Erfindung wird ein Metallisierungsschicht-Nummerierungsschema angenommen, bei dem i die nicht-negative ganze Zahl Eins (i = 1) ist, sodass in den 1A bis 1L M(i) = M(i) ist.
  • Das Vorschneide-Layout 100A zeigt eine horizontale erste Symmetrieachse 105, die die ECO-Basiszellen 108A bis 108H jeweils gemeinsam haben. Das Layout 100A zeigt weiterhin eine vertikale zweite Symmetrieachse 106. Wie in der folgenden Erörterung der 1C bis iK besser verständlich werden wird, ist in Bezug zu der vertikalen Achse 106 die ECO-Basiszelle 108H spiegelsymmetrisch zu der ECO-Basiszelle 108A, die ECO-Basiszelle 108G ist spiegelsymmetrisch zu der ECO-Basiszelle 108B, die ECO-Basiszelle 108F ist spiegelsymmetrisch zu der ECO-Basiszelle 108C, und die ECO-Basiszelle 108E ist spiegelsymmetrisch zu der ECO-Basiszelle 108D. Weiterhin haben die ECO-Basiszellen 108A bis 108H entsprechende innere vertikale Symmetrieachsen 107A bis 107H. Das Layout 100A zeigt weiterhin die Symmetrieachsen 107A bis 107H, die sich in den entsprechenden ECO-Basiszellen 100A bis 100H befinden. Wie in der folgenden Erörterung der 1C bis 1K besser verständlich werden wird, ist die ECO-Basiszelle 108A symmetrisch zu der inneren Achse 107A, die ECO-Basiszelle 108B ist symmetrisch zu der inneren Achse 107B, und so weiter.
  • In 1B werden Strukturen, die aktive Bereiche (oder Oxid-Definitionsbereiche) in dem Substrat 102 (1A) darstellen, zu dem Vorschneide-Layout 100A von 1A hinzugefügt, um ein Vorschneide-Layout 100B zu erzeugen. Nimmt man die ECO-Basiszelle 108A als ein Beispiel für die ECO-Basiszellen 108A bis 108H, so weist die ECO-Basiszelle 108A Strukturen 110A und 112A auf, die aktive Bereiche in dem Substrat 102 (1A) darstellen. Die Strukturen 110A und 112A sind symmetrisch um die und von der ersten Achse 105 weg angeordnet.
  • In 1C werden Strukturen, die unvollständige leitende Strukturen darstellen, zu dem Vorschneide-Layout 100B von 1B hinzugefügt, um ein Vorschneide-Layout 100C zu erzeugen. Die ECO-Basiszellen 108A bis 108H weisen entsprechende Strukturen 114A bis 114H auf, die unvollständige Gate-Strukturen darstellen. Bei einigen Ausführungsformen bestehen die unvollständigen Gate-Strukturen, die den Strukturen 114A bis 114H entsprechen, aus einem dotierten Halbleitermaterial oder dergleichen. Bei einigen Ausführungsformen bestehen die unvollständigen Gate-Strukturen, die den Strukturen 114A bis 114H entsprechen, aus dotiertem Polysilizium. Die Strukturen 114A bis 114H sind in Bezug zu den entsprechenden inneren Symmetrieachsen 107A bis 107H kollinear.
  • Die ECO-Basiszellen 108A bis 108H weisen weiterhin Strukturen 116A bis 116H und 118A bis 118H auf, die unvollständige Source-/Drain-Strukturen darstellen. Bei einigen Ausführungsformen bestehen die unvollständigen Source-/Drain-Strukturen, die den Strukturen 116A bis 116H und 118A bis 118H entsprechen, aus einem dotierten Halbleitermaterial oder dergleichen. Bei einigen Ausführungsformen bestehen die unvollständigen Source-/Drain-Strukturen, die den Strukturen 116A bis 116H und 118A bis 118H entsprechen, aus dotiertem Polysilizium.
  • Die Strukturen 116A bis 116H und 118A bis 118H sind symmetrisch um die und von den entsprechenden inneren Symmetrieachsen 107A bis 107H weg angeordnet. In den ECO-Basiszellen 100A bis 100D sind die Strukturen 116A bis 116D links von den entsprechenden inneren Symmetrieachsen 107A bis 107D angeordnet, und die Strukturen 118A bis 118D sind rechts davon angeordnet. In den ECO-Basiszellen 100E bis 100H sind die Strukturen 118E bis 118H links von den entsprechenden inneren Symmetrieachsen 107E bis 107H angeordnet, und die Strukturen 116E bis 116H sind rechts davon angeordnet.
  • Bezüglich der vertikalen Achse 106 sind die folgenden Paare von leitenden Strukturen spiegelsymmetrisch: 118D und 118E; 116D und 116E; 118C und 118F; 116C und 116F; 118B und 118G; 116B und 116G; 118A und 118H; und 116A und 116H. Die ECO-Basiszelle 108H ist spiegelsymmetrisch zu der ECO-Basiszelle 108A, die ECO-Basiszelle 108G ist spiegelsymmetrisch zu der ECO-Basiszelle 108B, die ECO-Basiszelle 108F ist spiegelsymmetrisch zu der ECO-Basiszelle 108C, und die ECO-Basiszelle 108E ist spiegelsymmetrisch zu der ECO-Basiszelle 108D.
  • Bei einigen Ausführungsformen sind die leitenden Strukturen in einer gegebenen ECO-Basiszelle regelmäßig voneinander beabstandet. Nimmt man die ECO-Basiszelle 108A als ein Beispiel, so sind die Strukturen 114A, 116A und 118A regelmäßig voneinander beabstandet. Nimmt man die ECO-Basiszelle 108A als ein Beispiel, so beträgt bei einigen Ausführungsformen ein horizontaler Abstand zwischen einer Mittellinie (die in der vertikalen Richtung verläuft) der Struktur 116A und einer Mittellinie (die in der vertikalen Richtung verläuft) der Struktur 118A ein Vielfaches des Mindestabstands PPOLY zwischen den Polysilizium-Strukturelementen, und er stellt eine Breite der ECO-Basiszelle 108A dar. Nimmt man die ECO-Basiszelle 108A als ein Beispiel, so ist bei einigen Ausführungsformen das Vielfache von PPOLY, das die Breite der ECO-Basiszelle 108A darstellt, gleich eins, sodass die Breite der ECO-Basiszelle 108A gleich PPOLY ist.
  • In 1D werden Strukturen, die erste Schneidebereiche darstellen, zu dem Vorschneide-Layout 100C von 1C hinzugefügt, um ein Vorschneide-Layout 100D zu erzeugen. Die ECO-Basiszellen 108A bis 108H weisen entsprechende Strukturen 120A bis 120H auf, die Schneidebereiche für die entsprechenden Strukturen 116A bis 116H und 118A bis 118H darstellen (die unvollständige Source-/Drain-Strukturen darstellen). Die Strukturen 120A bis 120H zeigen an, dass entsprechende darunter befindliche Teile der Source-/Drain-Strukturen (die den Strukturen 116A bis 116H und 118A bis 118H entsprechen) abgeschnitten werden. Daher werden Strukturen wie die Strukturen 120A bis 120H hier als Schneidestrukturen bezeichnet. Obwohl sich die Schneidestrukturen 120A bis 120H über den entsprechenden Strukturen 114A bis 114H (die unvollständige Gate-Strukturen darstellen) befinden, zeigen die Schneidestrukturen 120A bis 120H nicht an, dass die entsprechenden darunter befindlichen Teile der Strukturen 114A bis 114H abgeschnitten werden. Die Schneidestrukturen 120A bis 120H sind zu der Achse 105 symmetrisch, sodass mittlere Teile der entsprechenden darunter befindlichen Source-/Drain-Strukturen (die den Strukturen 116A bis 116H und 118A bis 118H entsprechen) abgeschnitten werden.
  • 1E ist ein Nachschneide-Layout 100E, das dem Vorschneide-Layout 100D entspricht. In dem Layout 100E sind die mittleren Teile der Source-/Drain-Strukturen (die den Strukturen 116A bis 116H und 118A bis 118H entsprechen) gemäß den entsprechenden Schneidestrukturen 120A bis 120H (von 1D, die Schneidebereiche darstellen) abgeschnitten/entfernt worden. Betrachten wie zum Beispiel die Ergebnisse der Schneidestruktur 120A, die Folgendes umfassen: Source-/Drain-Strukturen 116A' und 116A'', die bestehen bleiben, aber durch einen Spalt 116A''' getrennt sind; und Source-/Drain-Strukturen 116A' und 118A'', die bestehen bleiben, aber durch einen Spalt 118A'' getrennt sind. Allgemein ausgedrückt, bleiben als ein Ergebnis der Schneidestrukturen 120A bis 120H übrige Source-/Drain-Strukturen bestehen, die den Strukturen 116A' bis 116H', 116A'' bis 116H'', 118A' bis 118H' und 118A'' bis 118H'' entsprechen. Als ein weiteres Ergebnis bleiben die Spalte 116A''' bis 116H''' und 118A''' bis 118H''' zwischen den Source-/Drain-Strukturen bestehen, die den Strukturen 116A' bis 116H', 116A'' bis 116H'', 118A' bis 1138H' und 118A'' bis 118H'' entsprechen.
  • In 1F werden Strukturen, die zweite, dritte und vierte Schneidebereiche darstellen, zu dem Vorschneide-Layout 100D von 1D hinzugefügt, um ein Vorschneide-Layout 100F zu erzeugen. Die ECO-Basiszellen 108A bis 108H weisen entsprechende Schneidestrukturen 122A bis 122H und 124A bis 124H auf, die entsprechende zweite und dritte Schneidebereiche für entsprechende Strukturen 114A bis 114H darstellen (die unvollständige Gate-Strukturen darstellen). Die ECO-Basiszellen 108A bis 108H weisen weiterhin entsprechende Schneidestrukturen 126A bis 126D auf, die entsprechende vierte Schneidebereiche für entsprechende Strukturen 114C bis 114F darstellen.
  • Betrachten wir zum Beispiel die Basiszelle 108A, zu der Folgendes hinzugefügt worden ist: eine Schneidestruktur 122A, die einen zweiten Schneidebereich darstellt, der einen darunter befindlichen Teil der Struktur 114A (siehe 1E) beeinflusst; und eine Schneidestruktur 124A, die einen dritten Schneidebereich darstellt, der einen darunter befindlichen Teil der Struktur 114A (siehe 1E) beeinflusst. Die Schneidestruktur 122A zeigt an, dass ein Teil der Gate-Struktur (die der Struktur 114A entspricht), der sich unter der Schneidestruktur 122A befindet, abgeschnitten wird, sodass ein Spalt 114A''' entsteht (siehe 1G, die später erörtert wird). Die Schneidestruktur 124A zeigt an, dass ein Teil der Gate-Struktur (die der Struktur 114A entspricht), der sich unter der Schneidestruktur 124A befindet, abgeschnitten wird, sodass ein Spalt 114A''' entsteht (siehe 1G, die später erörtert wird). Ebenso zeigen die Schneidestrukturen 122B bis 122H und 124B bis 124H an, dass Teile der Gate-Strukturen (die den Strukturen 114A bis 114H entsprechen), die sich unter den Schneidestrukturen 122B bis 122H und 124B bis 124H befinden, abgeschnitten werden. Kommen wir zu dem Beispiel zurück, in dem sich Teile der Strukturen 116A' und 118A' unter der Schneidestruktur 122A befinden. Die Schneidestruktur 122A zeigt jedoch nicht an, dass die darunter befindlichen Teile der Strukturen 116A' und 118A' abgeschnitten werden. Außerdem befinden sich Teile der Strukturen 116A'' und 118A'' unter der Schneidestruktur 124A. Die Schneidestruktur 124A zeigt jedoch nicht an, dass die darunter befindlichen Teile der Strukturen 116A'' und 118A'' abgeschnitten werden. Ebenso sind in Bezug zu der horizontalen Achse 105 die Schneidestrukturen 122B bis 122H und 124B bis 124H nicht nur über den Enden der entsprechenden Strukturen 114B bis 114H angeordnet, sondern auch über den Enden der entsprechenden Strukturen 116B' bis 116H', 116B'' bis 116H'', 118B' bis 1138H' und 118B'' bis 118H'', sodass die Endteile der darunter befindlichen Gate-Strukturen (die den Strukturen 114A bis 114H entsprechen) abgeschnitten werden.
  • In Bezug zu der horizontalen Achse 105 sind die folgenden Paare von Schneidestrukturen spiegelsymmetrisch: 122A und 124A; 122B und 124B; 122C und 124C; 122D und 124D; 122E und 124E; 122F und 124F; 122G und 124G; und 122H und 124H. Anders ausgedrückt, obwohl sich die Schneidestrukturen 122A bis 122H und 124A bis 124H über den entsprechenden Strukturen 116A' bis 116H', 116A'' bis 116H'', 118A' bis 1138H' und 118A'' bis 118H'' (die Source-/Drain-Strukturen darstellen) befinden, zeigen die Schneidestrukturen 122A bis 122H und 124A bis 124H nicht an, dass die entsprechenden darunter befindlichen Teile der Strukturen 116A' bis 116H', 116A'' bis 116H'', 118A' bis 1138H' und 118A'' bis 118H'' abgeschnitten werden.
  • Die Schneidestrukturen 126A bis 126D zeigen an, dass darunter befindliche Teile von entsprechenden Gate-Strukturen (die den Strukturen 114C bis 114F entsprechen) abgeschnitten werden. Die Schneidestrukturen 126A bis 126D befinden sich über Bereichen, die von entsprechenden Strukturen 120C bis 120F begrenzt werden. In Bezug zu der vertikalen Richtung sind die Strukturen 126A und 126D entsprechend über der horizontalen Achse 105 angeordnet, und die Strukturen 126B und 126C sind entsprechend unter der horizontalen Achse 105 angeordnet. In Bezug zu der vertikalen Achse 106 sind die folgenden Paare von Schneidestrukturen spiegelsymmetrisch: 126A und 126D; und 126B und 126C. Betrachten wir zum Beispiel die Basiszelle 108C, zu der die Schneidestruktur 126A hinzugefügt worden ist, die einen vierten Schneidebereich darstellt, der einen darunter befindlichen Teil der Struktur 114 (siehe 1E) beeinflusst. Die Schneidestruktur 126A zeigt an, dass ein Teil der Gate-Struktur (die der Struktur 114C entspricht), der sich unter der Schneidestruktur 126A befindet, abgeschnitten wird, sodass ein Spalt 114A''''' entsteht (siehe 1G, die nachstehend erörtert wird).
  • Fig. iG ist ein Nachschneide-Layout 100G, das dem Vorschneide-Layout 100F entspricht, das (unter anderem) zu den Strukturen 114A', 114B', 114C', 114C'', 114D', 114D'', 114E', 114E'', 114F', 114F'', 114G' und 114H' geführt hat. Betrachten wir zum Beispiel die Basiszelle 108A, bei der die Endteile der Gate-Struktur (die der früheren Struktur 114A entspricht) entsprechend den Schneidestrukturen 122A und 124A (siehe 1F) abgeschnitten/entfernt worden sind, sodass die Struktur 114A' zurückbleibt. Die Spalte 114A''' und 114A''' zeigen sich jetzt an entsprechenden Enden der Struktur 114A'. Betrachten wir außerdem zum Beispiel die Basiszelle 108C, bei der die Endteile der Gate-Struktur (die der früheren Struktur 114C entspricht) entsprechend den Schneidestrukturen 122C und 124C (siehe 1F) abgeschnitten/entfernt worden sind und ein mittlerer Teil der Gate-Struktur entsprechend der Schneidestruktur 126A (siehe 1F) abgeschnitten/entfernt worden ist, sodass ein Strukturenpaar 114C' und 114C'' zurückbleibt. Die proximalen Enden der Strukturen 114C' und 114C'' sind durch einen Spalt 11,4C ..... getrennt. Der Spalt 114A'''' zeigt sich jetzt an einem distalen Ende der Struktur 114A''.
  • In ähnlicher Weise sind in dem Layout 100G die Endteile der Gate-Strukturen (die den früheren Strukturen 114B bis 114H entsprechen) gemäß den entsprechenden Schneidestrukturen 122B bis 122H (siehe 1F, die Schneidebereiche darstellen) abgeschnitten/entfernt worden. Ebenso sind in ähnlicher Weise in dem Layout 100G mittlere Teile einiger der Gate-Strukturen (die den Strukturen 114D bis 114F entsprechen) gemäß den entsprechenden Schneidestrukturen 126B bis 126D abgeschnitten/entfernt worden. Die ECO-Basiszellen 108A, 108B, 108G und 108H weisen einzelne (oder unitäre) übrige Gate-Strukturen auf (die den Strukturen 114A', 114B', 114G' und 114H' entsprechen.) Die ECO-Basiszellen 108C bis 108F weisen Paare von restlichen Gate-Strukturen (die den Strukturpaaren 114C' und 114C'', 114D' und 114D'', 114E' und 114E'', 114F' und 114F'' entsprechen) auf, die als die Reste zurückbleiben, die aus den Schnitten resultieren, die den folgenden Gruppen von Schneidestrukturen entsprechen: 122C, 126A und 124C; 122D, 126B und 124D; 122E, 126C und 124E; und 122F, 126D und 124F.
  • Als ein Ergebnis der Schnitte, die entsprechend den Schneidestrukturen 124A bis 124H durchgeführt wurden, zeigen sich unterhalb der Achse 105 in der vertikalen Richtung nun Spalte 114A''' bis 114H'''' an entsprechenden Enden der ECO-Basiszellen 108A bis 108H. Insbesondere zeigen sich die Spalte 114A'''' bis 114H'''' nun an Enden der Gate-Strukturen (die den Strukturen 114A', 114B', 114C'', 114D'', 114E'', 114F'', 114G' und 114G' entsprechen), die sich unterhalb der Achse 105 in der vertikalen Richtung befinden. Als ein Ergebnis der Schnitte, die entsprechend den Schneidestrukturen 122A bis 122H durchgeführt wurden, zeigen sich oberhalb der Achse 105 in der vertikalen Richtung nun die Spalte 114A''' bis 114H''' an entsprechenden Enden der ECO-Basiszellen 108A bis 108H. Insbesondere zeigen sich nun die Spalte 114A''' bis 114H''' an Enden der Gate-Strukturen (die den Strukturen 114A' bis 114H' entsprechen), die sich oberhalb der Achse 105 in der vertikalen Richtung befinden.
  • Betrachten wir zum Beispiel die Basiszelle 108C, bei der der mittlere Teil der Gate-Struktur entsprechend der Schneidestruktur 126A (siehe 1F) abgeschnitten/entfernt worden ist. Dadurch bleibt das Strukturenpaar 114C' und 114C'' zurück, das durch den Spalt 11,4C ..... getrennt ist. Bezüglich der vertikalen Richtung ist die Länge der Struktur 114C' kleiner als die Länge der Struktur 114C'', sodass das Strukturenpaar 114C' und 114C'' als asymmetrisch in der vertikalen Richtung in Bezug zu der Achse 105 bezeichnet wird. In ähnlicher Weise zeigen sich als Folge der Schnitte, die entsprechend den Schneidestrukturen 126B bis 126D vorgenommen wurden, nun Spalte 114D''' bis 114F'''' zwischen Gate-Strukturen (die den Strukturenpaaren 114D' und 114D'', 114E' und 114E'' sowie 114F' und 114F'' entsprechen). Als Folge der Schnitte, die entsprechend den Schneidestrukturen 126B bis 126D vorgenommen wurden, sind diejenigen Gate-Strukturen asymmetrisch, die den Strukturenpaaren 114D' und 114D'', 114E' und 114E'' sowie 114F' und 114F'' entsprechen.
  • In 1H werden Strukturen, die ersten und zweiten Toleranzkontakten entsprechen, zu dem Vorschneide-Layout 100F von 1F hinzugefügt, um ein Vorschneide-Layout 100H zu erzeugen. Zum Beispiel weist die ECO-Basiszelle 108A eine Struktur 128A auf, die einen ersten Toleranzkontakt darstellt. Die Struktur 128A überschneidet sich mit der Achse 105. Die Struktur 128A ist in einem Bereich angeordnet, der von der Struktur 120A begrenzt wird. Bezüglich der vertikalen Richtung befindet sich der größte Teil des Bereichs der Struktur 128A unterhalb der Achse 105. Daher ist der erste Toleranzkontakt, der der Struktur 128A entspricht, asymmetrisch um die Achse 105 angeordnet. In ähnlicher Weise weisen die ECO-Basiszellen 108B bis 108H entsprechende Strukturen 128B bis 128H auf, die erste Toleranzkontakte darstellen. Die Strukturen 128B bis 128H überschneiden sich jeweils mit der Achse 105. Die Strukturen 128B bis 128H sind über Bereichen angeordnet, die von entsprechenden Strukturen 120B bis 120H begrenzt werden. Bezüglich der vertikalen Richtung befindet sich der größte Teil des Bereichs jeder der Strukturen 128C, 128F und 128H unterhalb der Achse 105, während sich der größte Teil des Bereichs jeder der Strukturen 128B, 128D, 128E und 128G oberhalb der Achse 105 befindet. Daher sind die ersten Toleranzkontakte, die den Strukturen 128B bis 128H entsprechen, asymmetrisch um die Achse 105 angeordnet.
  • Bei einigen Ausführungsformen haben die Strukturen 128A bis 128H die Form von Quadraten. Zum Beispiel hat die Struktur 128A die Form eines Quadrats. Bezüglich der horizontalen Richtung nimmt der erste Toleranzkontakt (der der Struktur 128A entspricht) eine Fläche ein, die einen Teil der Gate-Struktur, der der Struktur 114A' entspricht, wesentlich überdeckt. Ebenfalls bezüglich der horizontalen Richtung verläuft der erste Toleranzkontakt (der der Struktur 128A entspricht) so, dass er einen Teil des entsprechenden Spalts 116A''' (siehe 1G) wesentlich überdeckt, wobei der Spalt 116A''' (wiederum) die Strukturen 116A' und 116A'' trennt. In ähnlicher Weise nehmen bezüglich der horizontalen Richtung die ersten Toleranzkontakte (die den Strukturen 128B bis 128H entsprechen) Flächen ein, die Teile der Gate-Strukturen, die den Strukturen 114B', 114C'', 114D', 114E', 114F'', 114G' und 114H' entsprechen, wesentlich überdecken. In ähnlicher Weise verlaufen ebenfalls bezüglich der horizontalen Richtung die ersten Toleranzkontakte (die den Strukturen 128B bis 128H entsprechen) so, dass sie Teile der entsprechenden Spalte 116B''' bis 116H''' (siehe 1G) wesentlich überdecken. Bei einigen Ausführungsformen nehmen die Strukturen 128A bis 128H Flächen ein, die den größten Teil der Breite der Teile der Gate-Strukturen überdecken, die den Strukturen 114A', 114B', 114C'', 114D', 114E', 114F'', 114G' und 114H' entsprechen. Bei einigen Ausführungsformen nehmen die Strukturen 128A bis 128H Flächen ein, die etwa 95 % der Breite der Teile der Gate-Strukturen überdecken, die den Strukturen 114A', 114B', 114C'', 114D', 114E', 114F'', 114G' und 114H' entsprechen. In ähnlicher Weise nehmen bei einigen Ausführungsformen die Strukturen 128A bis 128H Flächen ein, die den größten Teil der Breite der entsprechenden Spalte 114A''' bis 114H''' überdecken. Bei einigen Ausführungsformen nehmen die Strukturen 128A bis 128H Flächen ein, die etwa 95 % der Breite der entsprechenden Spalte 114A''' bis 114H''' überdecken.
  • In dem Layout 100H von 1H bezeichnen die Bezugssymbole 132A bis 132H Enden (die sich oberhalb der Achse 105 befinden) von Source-/Drain-Strukturen, die den Strukturen 118A bis 118H entsprechen; die Bezugssymbole 134A bis 134H bezeichnen Enden (die sich oberhalb der Achse 105 befinden) von Source-/Drain-Strukturen, die den Strukturen 116A bis 116H entsprechen; die Bezugssymbole 136A bis 136H bezeichnen Enden (die sich unterhalb der Achse 105 befinden) von Source-/Drain-Strukturen, die den Strukturen 116A bis 116H entsprechen; und die Bezugssymbole 138A bis 138H bezeichnen Enden (die sich unterhalb der Achse 105 befinden) von Source-/Drain-Strukturen, die den Strukturen 118A bis 118H entsprechen. Die Enden 132A bis 132H, 134A bis 134H, 136A bis 136H und 138A bis 138H haben jeweils zwei Ecken, und zwar eine Einwärts-Ecke und eine Auswärts-Ecke. Die Einwärts-Ecken befinden sich dichter (in der horizontalen Richtung) an entsprechenden Achsen 107A bis 107H als die Auswärts-Ecken.
  • Die ECO-Basiszellen 108A bis 108H weisen weiterhin entsprechende Strukturen 129A bis 129H auf, die zweiten Toleranzkontakten entsprechen. Bei einigen Ausführungsformen überdecken die zweiten Toleranzkontakte (die den Strukturen 129A bis 129H entsprechen) Auswärts-Ecken von entsprechenden Enden 136A, 132B, 136C, 132D, 134E, 138F, 134G und 128H von Source-/Drain-Strukturen, die den Strukturen 116A, 118B, 116C, 118D, 118E, 116F, 118G und 116H entsprechen. Es werden auch andere und/oder zusätzliche Positionen der zweiten Toleranzkontakte (die den Strukturen 129A bis 129H entsprechen) in Erwägung gezogen. Bei einigen Ausführungsformen hat eine gegebene ECO-Basiszelle mehrere Auswärts-Ecken, die von Strukturen überdeckt werden, die zweite Kontakte darstellen. Zum Beispiel weist bei einigen Ausführungsformen die ECO-Basiszelle 102A zusätzlich zu der Struktur 129A, die eine erste Instanz eines zweiten Toleranzkontakts darstellt, der die Auswärts-Ecke des Endes 136A überdeckt, mindestens eine weitere Instanz der Struktur 129A (nicht dargestellt) auf, die eine entsprechende mindestens eine Auswärts-Ecke von entsprechenden Enden 132A, 134A und 138A überdeckt.
  • Bei einigen Ausführungsformen haben die Strukturen 129A bis 129H die Form von Quadraten. Es werden auch andere Formen für die Strukturen 129A bis 129H in Erwägung gezogen. Bei einigen Ausführungsformen sind die Strukturen 129A bis 129H über den Auswärts-Ecken von entsprechenden Enden 136A, 132B, 136C, 132D, 134E, 138F, 134G und 138H von Source-/Drain-Strukturen zentriert, die den Strukturen 116A, 118B, 116C, 118D, 118E, 116F, 118G und 116H entsprechen. Bei einigen Ausführungsformen sind die Breiten der Strukturen 129A bis 129H im Wesentlichen gleich den Breiten der entsprechenden Strukturen 116A, 118B, 116C, 118D, 118E, 116F, 118G und 116H.
  • 1I ist ein Layout 100I, das ein Nachschneide-Layout ist, das dem Vorschneide-Layout 100H von 1H entspricht. Daher zeigt das Layout 100I von 1I die Ergebnisse des Layouts 100H von 1H.
  • In 1J werden Strukturen, die erste und zweite Durchkontaktierungen darstellen, zu dem Vorschneide-Layout 100H von 1H hinzugefügt, um ein Vorschneide-Layout 100J zu erzeugen. Zum Beispiel wird in der Basiszelle 108A eine erste Durchkontaktierung (die der Struktur 130A entspricht) über und in einem Bereich angeordnet, der von dem ersten Toleranzkontakt (der der Struktur 128A entspricht) begrenzt wird. Außerdem wird eine zweite Durchkontaktierung (die der Struktur 142A entspricht) über und in einem Bereich angeordnet, der von dem zweiten Toleranzkontakt (der der Struktur 129A entspricht) begrenzt wird. Bei einigen Ausführungsformen wird die erste Durchkontaktierung (die der Struktur 130A entspricht) mit einem Segment 144A (siehe 1L) in der unmittelbar darüber befindlichen Metallisierungsschicht verbunden. In ähnlicher Weise werden die Strukturen 130B bis 130H, die die ersten Durchkontaktierungen darstellen, auf die ECO-Basiszellen 108B bis 108H aufgelegt. Bei einigen Ausführungsformen werden die ersten Durchkontaktierungen (die den Strukturen 130B bis 130H entsprechen) mit entsprechenden Segmenten 144B bis 144H (siehe 1L) in der unmittelbar darüber befindlichen Metallisierungsschicht M(i) verbunden.
  • Die ersten Durchkontaktierungen (die den Strukturen 130A bis 130H entsprechen) werden über und in Bereichen angeordnet, die von den ersten Toleranzkontakten (die den Strukturen 128A bis 128H entsprechen) begrenzt werden. Die zweiten Durchkontaktierungen (die den Strukturen 142A bis 142H entsprechen) werden über und in Bereichen angeordnet, die von den zweiten Toleranzkontakten (die den Strukturen 129A bis 129H entsprechen) begrenzt werden. Die ersten Durchkontaktierungen (die den Strukturen 128A bis 128H entsprechen) überschneiden sich jeweils mit der Achse 105. Bezüglich der vertikalen Richtung befindet sich der größte Teil des Bereichs jeder der Strukturen 130A, 130C, 130F und 130H unterhalb der Achse 105, während sich der größte Teil des Bereichs jeder der Strukturen 130B, 130D, 130E und 130G oberhalb der Achse 105 befindet. Somit sind die ersten Durchkontaktierungen (die den Strukturen 130A bis 130H entsprechen) asymmetrisch um die Achse 105 angeordnet.
  • Da die Bereiche der Toleranzkontakte (die den Strukturen 130A bis 130H entsprechen) größer als die Bereiche der ersten Durchkontaktierungen (die den Strukturen 130A bis 130H entsprechen) sind, wird die Genauigkeit verringert, mit der die ersten Durchkontaktierungen in Bezug zu den darunter befindlichen Gate-Strukturen (die den Strukturen 114A bis 114H entsprechen) justiert werden müssen. Zum Beispiel wird bei der ECO-Basiszelle 108A die Genauigkeit für die Justierung der ersten Durchkontaktierung (die der Struktur 130A entspricht) in Bezug zu der darunter befindlichen Gate-Struktur (die der Struktur 114A entspricht) als Justiertoleranz bezeichnet. Da der Toleranzkontakt (der der Struktur 128A entspricht) größer als die erste Durchkontaktierung (die der Struktur 130A entspricht) ist, vergrößert sich die Justiertoleranz, was ein Vorteil ist, z. B. hinsichtlich der Herstellbarkeit. Dadurch wird die Größe des ersten Metallisierungssegments 144A (siehe 1L), das sich direkt über der ersten Durchkontaktierung (die der Struktur 130A entspricht) befindet, in der Breiten- und/oder Höhenrichtung proportional zu der Änderung der Justiertoleranz verringert. In ähnlicher Weise vergrößern die Toleranzkontakte (die den Strukturen 128B bis 128H entsprechen) die Justiertoleranzen für die darunter befindlichen Gate-Strukturen (die den Strukturen 114A bis 114H entsprechen). Dadurch wird die Größe der ersten Metallisierungssegmente 144B bis 144H (siehe 1L), die sich direkt über den ersten Durchkontaktierungen (die den Strukturen 130B bis 130H entsprechen) befinden, in der Breiten- und/oder Höhenrichtung proportional zu der Änderung der Justiertoleranz verringert. Wenn die Größe der darüber befindlichen ersten Metallisierungssegmente 144A bis 144H (siehe 1L) in der vertikalen Richtung verringert wird, wird auch die Gesamthöhe der entsprechenden ECO-Basiszellen verringert.
  • Die ECO-Basiszellen 108A bis 108H weisen weiterhin entsprechende Strukturen 142A bis 142H auf, die die zweiten Durchkontaktierungen darstellen. Bei einigen Ausführungsformen sind die zweiten Durchkontaktierungen (die den Strukturen 142A bis 142H entsprechen) mit entsprechenden Segmenten in der unmittelbar darüber befindlichen MTJ-Schicht M(i) verbunden.
  • 1K ist ein Layout 100K, das ein Nachschneide-Layout ist, das dem Vorschneide-Layout 100J von 1J entspricht. Daher zeigt das Layout 100K von 1K die Ergebnisse des Layouts 100J von 1J.
  • In Fig. iL werden Strukturen, die unmittelbar darüber befindlichen ersten, zweiten und dritten Metallisierungssegmenten entsprechen, zu dem Vorschneide-Layout 100J von 1J hinzugefügt, um ein Vorschneide-Layout 100L zu erzeugen. Strukturen 144A bis 144H, die die ersten Metallisierungssegmente in M(i) (die den Strukturen 144A bis 144H entsprechen) darstellen, werden entsprechend auf die ersten Durchkontaktierungen (die den Strukturen 130A bis 130H entsprechen) aufgelegt. Strukturen 146A bis 146H, die die zweiten Metallisierungssegmente in M(i) darstellen, werden entsprechend über Enden 136A bis 136H und 138A bis 138H der Source-/Drain-Strukturen angeordnet, die den Strukturen 116A bis 116H und 118A bis 118H entsprechen. Strukturen 148A bis 148H, die die dritten Metallisierungssegmente in M(i) darstellen, werden entsprechend über Enden 134A bis 134H und 132A bis 132H der Source-/Drain-Strukturen angeordnet, die den Strukturen 116A bis 116H und 118A bis 118H entsprechen. Bei einigen Ausführungsformen werden die zweiten Metallisierungssegmente in M(i) (die den Strukturen 146A, 146C, 146F und 146H entsprechen) entsprechend auf die zweiten Durchkontaktierungen (die den Strukturen 142A, 142C, 142F und 142H entsprechen) aufgelegt. Bei einigen Ausführungsformen werden die dritten Metallisierungssegmente in M(i) (die den Strukturen 148B, 148D, 148E und 148F entsprechen) entsprechend auf die zweiten Durchkontaktierungen (die den Strukturen 142B, 142D, 142E und 142G entsprechen) aufgelegt.
  • Da bei einigen Ausführungsformen zusätzliche zweite Toleranzkontakte (nicht dargestellt) vorgesehen werden, werden folgerichtig auch entsprechende zusätzliche zweite Durchkontaktierungen (nicht dargestellt) vorgesehen. Da die zusätzlichen zweiten Durchkontaktierungen vorgesehen werden, werden auch entsprechende zusätzliche zweite Metallisierungssegmente (nicht dargestellt) in M(i) vorgesehen, und zwar so, dass sie auf eine erste Gruppe von zusätzlichen zweiten Durchkontaktierungen (nicht dargestellt) aufgelegt werden. Da die zusätzlichen zweiten Durchkontaktierungen vorgesehen werden, werden auch entsprechende zusätzliche dritte Metallisierungssegmente (nicht dargestellt) in M(i) vorgesehen, und zwar so, dass sie auf eine zweite Gruppe von zusätzlichen zweiten Durchkontaktierungen (nicht dargestellt) aufgelegt werden.
  • Bei einigen Ausführungsformen stellen einige Segmente der Metallisierungsschicht M(i) Betriebsspannungen, z. B. VDD und VSS, bereit und werden als Verbindungsbusse bezeichnet. Bei einigen Ausführungsformen sind die zweiten Metallisierungssegmente in M(i) (die den Strukturen 146A, 146C, 146F und 146H entsprechen) Verbindungsbusse, die eine VDD bereitstellen. Bei einigen Ausführungsformen sind die dritten Metallisierungssegmente in M(i) (die den Strukturen 148B, 148D, 148E und 148G entsprechen) Verbindungsbusse, die eine VDD bereitstellen. Bei einigen Ausführungsformen sind die ersten Metallisierungssegmente in M(i) (die den Strukturen 144A bis 144H entsprechen) Verbindungsbusse, die eine VSS bereitstellen.
  • Die 2A bis 2F sind Schnittansichten von ersten Teilen 200A bis 200F von Halbleiter-Bauelementen, die ECO-Basiszellen 108A aufweisen, die den 1C, 1E, 1G, 1I, 1K und 1L entsprechen, gemäß einigen Ausführungsformen. Die 3A bis 3F sind Schnittansichten von zweiten Teilen 300A bis 300F der Halbleiter-Bauelemente, die ECO-Basiszellen 108A aufweisen, die den 1C, 1E, 1G, 1I, 1K und 1L entsprechen, gemäß einigen Ausführungsformen.
  • 2A entspricht 3A. In ähnlicher Weise entsprechen die 2B bis 2F den 3B bis 3F. Daher werden die 2A bis 2F und 3A bis 3F paarweise erörtert, beginnend mit den 2A und 3A, gefolgt von 2B und 3B, und so weiter.
  • Das Figurenpaar 2A und 3A bezieht sich auf 1C. In 2A werden eine Gate-Struktur 204 (die der Struktur 114A von 1C entspricht) und Source-/Drain-Strukturen 206 und 208 (die den Strukturen 116A und 118A von 1C entsprechen) auf einem Substrat 202 hergestellt.
  • In ähnlicher Weise werden in 3A eine Gate-Struktur 304 (die der Struktur 114A von 1C entspricht) und Source-/Drain-Strukturen 206 und 208 (die den Strukturen 116A und 118A von 1C entsprechen) auf einem Substrat 302 hergestellt, wobei das Substrat 302 dem Substrat 202 entspricht. Bei einigen Ausführungsformen bestehen die Substrate 202 und 302 aus einem Halbleitermaterial. Bei einigen Ausführungsformen bestehen die Substrate 202 und 302 aus Silizium oder dergleichen.
  • Das Figurenpaar 2B und 3B bezieht sich auf 1E. In 2B (die sich an 2A anschließt) sind die Source-/Drain-Strukturen 206 und 208 (siehe 2A) entsprechend der Schneidestruktur 120A (siehe 1F) abgeschnitten/entfernt worden. Statt der nun abgeschnittenen Source-/Drain-Strukturen 206 und 208 sind „Geisterbilder“ 206' und 208' gezeigt. Die Geisterbilder 206' und 208' entsprechen den Spalten 116A''' und 118A''' in 1E. In 3B (die sich an 3A anschließt) sind keine Änderungen gegenüber 3A vorgenommen worden.
  • Das Figurenpaar 2C und 3B bezieht sich auf 1G. In 2C (die sich an 2B anschließt) sind keine Änderungen gegenüber 2B vorgenommen worden. In 3C (die sich an 3B anschließt) ist die Gate-Struktur 304 (siehe 3B) entsprechend der Schneidestruktur 124A (siehe 1F) abgeschnitten/entfernt worden. Statt der nun abgeschnittenen Gate-Struktur 304 ist ein Geisterbild 304' gezeigt. Das Geisterbild 304' entspricht dem Spalt 114A'''' von 1G.
  • Das Figurenpaar 2D und 3D bezieht sich auf 11. In 2D (die sich an 2C anschließt) wird eine Zwischenschicht-Dielektrikum(ILD)-Struktur 209 um die Gate-Struktur 204 und auf dem Substrat 202 hergestellt. Bei einigen Ausführungsformen ist das ILD 209 Siliziumnitrid, Si3N4 oder dergleichen. Auf der Gate-Struktur 204 und dem ILD 209 wird ein erster Toleranzkontakt 210 (der der Struktur 128A von 1I entspricht) hergestellt. Der erste Toleranzkontakt 210 reicht so weit, dass er das Geisterbild 206' überdeckt. Der erste Toleranzkontakt 210 überdeckt die Gate-Struktur 204 nicht vollständig, sodass ein Breitenbereich 214 der Gate-Struktur 204 nicht von dem ersten Toleranzkontakt 210 bedeckt wird. Bei einigen Ausführungsformen macht der Breitenbereich 214 etwa 12,5 % der Gesamtbreite der Gate-Struktur 204 aus. Um den ersten Toleranzkontakt 210 und auf der ILD-Struktur 209 wird eine weitere ILD-Struktur 212 hergestellt. Bei einigen Ausführungsformen ist das ILD 212 Siliziumnitrid, Si3N4 oder dergleichen.
  • In 3D (die sich an 3C anschließt) wird eine ILD-Struktur 309 um Source-/Drain-Strukturen 306 und 308 hergestellt. Die ILD-Struktur 309 entspricht der ILD-Struktur 209. Auf der Source-/Drain-Struktur 306 und dem ILD 309 wird ein zweiter Toleranzkontakt 310 (der der Struktur 129A von 1I entspricht) hergestellt. Bei einigen Ausführungsformen hat der zweite Toleranzkontakt 310 im Wesentlichen die gleiche Breite wie die Source-/Drain-Struktur 306. Bei einigen Ausführungsformen wird der zweite Toleranzkontakt 310 so justiert, dass er im Wesentlichen die Hälfte der Source-/Drain-Struktur 306 überdeckt, sodass ein Breitenbereich 316 der Source-/Drain-Struktur 306, der nicht von dem zweiten Toleranzkontakt 310 bedeckt wird, und ein Breitenbereich 318 des zweiten Toleranzkontakts 310 zurückbleiben, der das ILD 309 bedeckt. Um den zweiten Toleranzkontakt 310 und auf der ILD-Struktur 309 wird eine weitere ILD-Struktur 312 hergestellt. Die ILD-Struktur 312 entspricht der ILD-Struktur 212.
  • Was das Figurenpaar 2E und 3E betrifft, so wird in 2E (die sich an 2D anschließt) eine erste Durchkontaktierung 220 (die der Struktur 130A entspricht) auf dem ersten Toleranzkontakt 210 hergestellt. Die erste Durchkontaktierung 220 ist kleiner als der erste Toleranzkontakt 210, sodass ein Breitenbereich 224 und ein Breitenbereich 226 des ersten Toleranzkontakts 210 auf der rechten bzw. linken Seite der ersten Durchkontaktierung 220 unbedeckt bleiben. Bei einigen Ausführungsformen macht der Breitenbereich 224 etwa 41 % der Gesamtbreite des ersten Toleranzkontakts 210 aus. Bei einigen Ausführungsformen macht der Breitenbereich 226 ebenfalls etwa 41 % der Gesamtbreite des ersten Toleranzkontakts 210 aus.
  • In 3E wird eine zweite Durchkontaktierung 320 (die der Struktur 142A entspricht) auf dem zweiten Toleranzkontakt 310 hergestellt. Die zweite Durchkontaktierung 320 ist kleiner als der zweite Toleranzkontakt 310, sodass ein Breitenbereich 328 und ein Breitenbereich 330 des zweiten Toleranzkontakts 310 auf der rechten bzw. linken Seite der zweiten Durchkontaktierung 320 unbedeckt bleiben. Bei einigen Ausführungsformen macht der Breitenbereich 328 etwa 25 % der Gesamtbreite des zweiten Toleranzkontakts 310 aus. Bei einigen Ausführungsformen macht der Breitenbereich 330 ebenfalls etwa 25 % der Gesamtbreite des zweiten Toleranzkontakts 310 aus.
  • Was das Figurenpaar 2F und 3F betrifft, so wird in 2F (die sich an 2E anschließt) ein Segment 232 der Metallisierungsschicht M(i) auf der ersten Durchkontaktierung 220 und dem ILD 222 hergestellt. In ähnlicher Weise wird in 3F ein Segment 332 der Metallisierungsschicht M(i) auf der zweiten Durchkontaktierung 320 und dem ILD 322 hergestellt.
  • Es sei daran erinnert, dass Toleranzkontakte eine Variante des MoPO-Kontakts sind. Weitere Einzelheiten zu der Struktur und Herstellung von MoPO-Kontakten sowie eine ähnliche Art von Zwischenschicht-Verbindungsstruktur, die als ein MoOD-Kontakt (MoOD oder MD: metal-zero over oxide diffusions; Metall-null-Schicht über Oxiddiffusionen) bekannt ist, sind in der gemeinsam abgetretenen US-Patentanmeldung mit dem Aktenzeichen 13/965.648, die am 13. August 2013 eingereicht wurde und das Vorerteilungsveröffentlichungs-Aktenzeichen US 2015 / 0 048 424 A1 hat, und in der gemeinsam abgetretenen US-Patentanmeldung mit dem Aktenzeichen 13/939.201 beschrieben, die am 11. Juli 2013 eingereicht wurde und das Vorerteilungsveröffentlichungs-Aktenzeichen US 2015 / 0 015 335 A1 hat.
  • 4A ist eine vereinfachte Variante eines Layouts 400A gemäß einigen Ausführungsformen. 4B ist eine vereinfachte Variante eines entsprechenden Layouts 400B gemäß einigen Ausführungsformen. 4C ist eine komplexere Variante des Layouts 400A gemäß einigen Ausführungsformen. 4D ist eine komplexere Variante des Layouts 400B gemäß einigen Ausführungsformen.
  • Gemeinsam zeigen die 4A bis 4D, wie Ecken der ECO-Basiszellen 108A, 108H, 108B und 108G überdeckt werden, sodass sie einen zweiten Toleranzkontakt 440, eine zweite Durchkontaktierung 442 und ein Segment 446 in der Metallisierungsschicht M(i) gemeinsam haben. Die 4A und 4C zeigen die ECO-Basiszellen 108A, 108H, 108B und 108G, jedoch bevor die Ecken der ECO-Basiszellen 108A, 108H, 108B und 108G überdeckt worden sind. Die 4A und 4D zeigen die ECO-Basiszellen 108A, 108H, 108B und 108G, jedoch nachdem die Ecken der ECO-Basiszellen 108A, 108H, 108B und 108G überdeckt worden sind. Insbesondere ist in den 4A und 4C jeweils gezeigt, dass die ECO-Basiszellen 108A, 108H, 108B und 108G dicht nebeneinander angeordnet sind. Somit grenzen Auswärts-Ecken der entsprechenden Enden 136A, 138H, 132B und 134G von Source-/Drain-Strukturen, die den Strukturen 116A'', 116H'', 118B' und 118G' entsprechen, entsprechend aneinander. Dadurch können, wie jeweils in den 4B und 4D gezeigt ist, Auswärts-Ecken der entsprechenden Enden 136A, 138H, 132B und 134G der Source-/Drain-Strukturen, die den Strukturen 116A'', 116H'', 118B' und 118G' entsprechen, einen zweiten Toleranzkontakt 440, eine zweite Durchkontaktierung 442 und ein Segment 446 in der Metallisierungsschicht M(i) gemeinsam haben.
  • 5A ist eine Schnittansicht eines ersten Teils des Layouts 400B, das bei einem Halbleiter-Bauelement verwendet wird, gemäß einigen Ausführungsformen. Der Querschnitt von 5A entspricht den ECO-Basiszellen 108A bis 108H von 4B. 5B ist eine Schnittansicht eines zweiten Teils des Layouts 400B, das bei dem Halbleiter-Bauelement verwendet wird, gemäß einigen Ausführungsformen. Der Querschnitt von 5B entspricht den ECO-Basiszellen 108B und 108G von 4B.
  • In den 5A und 5B werden Source-/Drain-Strukturen 508LU, 506LU, 506RU und 508RU auf einem Substrat 502 hergestellt, und sie entsprechen den Strukturen 118H'', 116H'', 116A'' und 118A'' von 4B. Das Suffix „LU“ bedeutet „links oben“, und das Suffix „RU“ bedeutet „rechts oben“. Eine ILD-Struktur 510 wird um die Source-/Drain-Strukturen 508LU, 506LU, 506RU und 508RU und auf einem Substrat 502 hergestellt. In 5A, jedoch nicht in 5B, sind Geisterbilder 504LU und 504RU von früher vorhandenen Gate-Strukturen, die den Strukturen 114H und 114A entsprechen, in dem ILD 309 gezeigt.
  • Ein zweiter Toleranzkontakt 510 wird auf den Source-/Drain-Strukturen 506LU und 506RU hergestellt, sodass die Source-/Drain-Strukturen 506LU und 506RU den zweiten Toleranzkontakt 510 gemeinsam haben. Eine ILD-Struktur 512 wird um den zweiten Toleranzkontakt 510 und auf dem ILD 512 hergestellt. Eine zweite Durchkontaktierung 520 wird auf dem zweiten Toleranzkontakt 510 hergestellt, sodass die Source-/Drain-Strukturen 506LU und 506RU die zweite Durchkontaktierung 520 indirekt durch den zweiten Toleranzkontakt 510 gemeinsam haben. Eine ILD-Struktur 522 wird um die zweite Durchkontaktierung 520 und auf dem ILD 512 hergestellt. Ein Segment 536 der Metallisierungsschicht M(i) wird auf der zweiten Durchkontaktierung 520 und auf dem ILD 522 hergestellt.
  • Die 6A bis 6F sind entsprechende Ablaufdiagramme 600A bis 600E von Verfahren zum Erzeugen eines Layouts einer ECO-Basiszelle, gemäß einigen Ausführungsformen.
  • In 6A werden in einem Block 602 eine erste und eine zweite Aktiver-Bereich-Struktur erzeugt, die z. B. den Aktiver-Bereich-Strukturen 110A und 112A der ECO-Basiszelle 108A von 1B entsprechen. Von dem Block 602 geht der Ablauf zu einem Block 604 weiter. In dem Block 604 werden die erste und die zweite Aktiver-Bereich-Struktur (in einer zweiten Richtung) auf gegenüberliegenden Seiten einer ersten Achse (die parallel zu einer ersten Richtung ist, die senkrecht zu der zweiten Richtung ist) angeordnet, wobei z. B. die erste Richtung parallel zu der Achse 105 von 1B ist. Von dem Block 604 geht der Ablauf zu einem Block 606 weiter. In dem Block 606 werden nicht-überdeckende erste, zweite und dritte leitende Strukturen erzeugt, die z. B. den Strukturen 114A, 116A und 118A der ECO-Basiszelle 108A von 1C entsprechen. Von dem Block 606 geht der Ablauf zu einem Block 608 weiter. In dem Block 608 werden die erste, die zweite und die dritte leitende Struktur jeweils so angeordnet, dass sie die erste und die zweite Aktiver-Bereich-Struktur entsprechend überdecken. Von dem Block 608 geht der Ablauf zu einem Block 610 weiter.
  • In dem Block 610 wird die erste leitende Struktur, z. B. zentriert, zwischen der zweiten und der dritten leitenden Struktur angeordnet. Von dem Block 610 geht der Ablauf zu einem Block 612 weiter. In dem Block 612 wird eine erste Schneidestruktur erzeugt, die entsprechende mittlere Bereiche der zweiten und der dritten leitenden Struktur überdeckt. Die erste Schneidestruktur entspricht z. B. der Struktur 120A von 1D und zeigt an, dass die mittleren Bereiche später entfernt werden. Von dem Block 612 geht der Ablauf zu einem Block 614 weiter. In dem Block 614 wird die erste Schneidestruktur in Bezug zu der ersten Symmetrieachse ausgerichtet, z. B. an der Achse zentriert. Von dem Block 614 geht der Ablauf zu einem Block 616 weiter.
  • In dem Block 616 wird eine vierte leitende Struktur erzeugt. Die vierte leitende Struktur entspricht z. B. der Struktur 128A von 1H. Von dem Block 616 geht der Ablauf zu einem Block 618 weiter. In dem Block 618 wird die vierte leitende Struktur über einem Bereich angeordnet, der von der ersten Schneidestruktur begrenzt wird. Von dem Block 618 geht der Ablauf zu einem Block 620 weiter. In dem Block 620 wird die vierte leitende Struktur gedehnt, damit sie eine Fläche einnimmt, die ein erstes Segment der ersten leitenden Struktur (die z. B. der Struktur 114A von 1H entspricht) und ein erstes Segment entweder der zweiten leitenden Struktur (die z. B. der Struktur 116A von 1H entspricht) oder der dritten leitenden Struktur (die z. B. der Struktur 118A von 1H entspricht) wesentlich überdeckt. Das Ergebnis des Blocks 620 ist ein ECO-Layout einer ECO-Basiszelle. Von dem Block 620 geht der Ablauf zu einem Block 622 in 6B weiter.
  • In 6B wird in dem Block 622 eine erste Durchkontaktierungsstruktur (die z. B. der Struktur 130A in 1J entspricht) erzeugt. Von dem Block 622 geht der Ablauf zu einem Block 624 weiter. In dem Block 624 wird die erste Durchkontaktierungsstruktur über und in einem Bereich angeordnet, der von der vierten leitenden Struktur (die z. B. der Struktur 128A von 1J entspricht) begrenzt wird. Von dem Block 624 geht der Ablauf zu einem Block 626 weiter. In dem Block 626 wird eine fünfte leitende Struktur (die z. B. der Struktur 144A von Fig. iL entspricht) erzeugt. Von dem Block 626 geht der Ablauf zu einem Block 628 weiter. In dem Block 628 wird die fünfte leitende Struktur über der ersten Durchkontaktierungsstruktur angeordnet. Von dem Block 628 geht der Ablauf zu einem Block 630 weiter. In dem Block 630 wird die fünfte leitende Struktur so dimensioniert, dass sie die erste Durchkontaktierungsstruktur im Wesentlichen vollständig überdeckt. Das Ergebnis des Blocks 630 ist ein korrigiertes ECO-Layout. Von dem Block 630 geht der Ablauf zu einem Block 632 weiter. In dem Block 632 werden auf der Grundlage des ECO-Layouts (A) eine oder mehrere Halbleiter-Masken, die dem ECO-Layout entsprechen, und/oder (B) mindestens eine Komponente in einer Schicht eines unvollständigen integrierten Halbleiter-Schaltkreises hergestellt.
  • In 6C bezieht sich ein Block 640 zumindest teilweise z. B. auf den Block 608 von 6A. In dem Block 640 werden die Enden der ersten, zweiten und dritten leitenden Struktur (z. B. der Strukturen 114A, 116A und 118A der ECO-Basiszelle 108A von 1F) in der zweiten Richtung (die senkrecht zu der ersten Richtung ist, wobei die erste Richtung z. B. parallel zu der Achse 105 von 1B ist) entsprechend über die erste und die zweite Aktiver-Bereich-Struktur hinaus von der ersten Symmetrieachse weg verlängert. Von dem Block 640 geht der Ablauf zu einem Block 642 weiter. In dem Block 642 werden eine zweite und eine dritte Schneidestruktur (z. B. die Strukturen 122A und 124A von 1F) erzeugt, die Endbereiche der ersten leitenden Struktur entsprechend überdecken und die anzeigen, dass die Endbereiche der ersten leitenden Struktur später entfernt werden. Das Ergebnis des Blocks 642 ist ein korrigiertes ECO-Layout.
  • In 6D bezieht sich ein Block 650 zumindest teilweise z. B. auf den Block 640 von 6C. In dem Block 650 werden die Enden der ersten, zweiten und dritten leitenden Struktur (z. B. der Strukturen 114A, 116A und 118A der ECO-Basiszelle 108A von 1K) in der zweiten Richtung entsprechend über die erste und die zweite Aktiver-Bereich-Struktur hinaus von der ersten Symmetrieachse weg verlängert. Von dem Block 650 geht der Ablauf zu einem Block 652 weiter. In dem Block 652 wird mindestens eine Instanz einer fünften leitenden Struktur (z. B. eine Instanz einer zweiten Durchkontaktierung, die der Struktur 142A von 1K entspricht) erzeugt. Von dem Block 652 geht der Ablauf zu einem Block 654 weiter. In dem Block 654 wird die mindestens eine Instanz der fünften leitenden Struktur so angeordnet, dass sie eine entsprechende Ecke (z. B. eine Auswärts-Ecke 136A in 1K) des entsprechenden Endes der entsprechenden zweiten oder dritten leitenden Struktur teilweise überdeckt. Das Ergebnis des Blocks 654 ist ein korrigiertes ECO-Layout. Von dem Block 654 geht der Ablauf zu einem Block 656 weiter. In dem Block 656 wird die mindestens eine Instanz der fünften leitenden Struktur so dimensioniert, dass sie über die entsprechende Ecke des entsprechenden Endes der entsprechenden zweiten oder dritten leitenden Struktur zumindest in der zweiten Richtung hinaus reicht (siehe z. B. den Toleranzkontakt 310 von 3E). Das Ergebnis des Blocks 656 ist ein korrigiertes ECO-Layout.
  • In 6E bezieht sich ein Block 660 z. B. auf den Block 618. In dem Block 660 wird die vierte leitende Struktur (die z. B. der Struktur 128C von 1H entspricht) asymmetrisch um die erste Achse (z. B. die Achse 105) angeordnet. Das Ergebnis des Blocks 660 ist ein korrigiertes ECO-Layout.
  • In 6F bezieht sich ein Block 670 z. B. auf den Block 618 oder den Block 660. In dem Block 670 wird eine zweite Schneidestruktur (die z. B. der Struktur 126A von 1H entspricht) erzeugt, wobei die zweite Schneidestruktur ein zweites Segment der ersten leitenden Struktur (die z. B. der Struktur 114C entspricht) entsprechend überdeckt. Die zweite Schneidestruktur zeigt an, dass das zweite Segment der ersten leitenden Struktur später entfernt wird. Von dem Block 670 geht der Ablauf zu einem Block 672 weiter. In dem Block 672 wird die zweite Schneidestruktur asymmetrisch in Bezug zu der ersten Achse (z. B. der Achse 105 von 1H) angeordnet. Das Ergebnis des Blocks 672 ist ein korrigiertes ECO-Layout.
  • 7 ist ein Blockdiagramm eines EDA-Systems 700 (EDA: electronic design automation; Entwurfsautomatisierung elektronischer Systeme), gemäß einigen Ausführungsformen.
  • Das Verfahren der Ablaufdiagramme 600A und 600B der 6A und 6B wird bei einigen Ausführungsformen zum Beispiel unter Verwendung des EDA-Systems 700 implementiert.
  • Bei einigen Ausführungsformen ist das EDA-System 700 ein Universalrechner mit einem Hardware-Prozessor 702 und einem nichtflüchtigen maschinenlesbaren Speichermedium 704. Das Speichermedium 704 wird unter anderem mit einem Computerprogrammcode 706 codiert (d. h. es speichert diesen), wobei der Computerprogrammcode 706 eine Gruppe von ausführbaren Befehlen ist. Bei einer oder mehreren Ausführungsformen werden die Befehle 706 von dem Hardware-Prozessor 702 (zumindest teilweise) mit einem EDA-Tool ausgeführt, das einen Teil der, oder alle, Verfahren zum Erzeugen eines Layouts einer ECO-Basiszelle implementiert, z. B. die Verfahren der 6A und 6B (die nachstehend als „vorgenannte Prozesse und/oder Verfahren“ bezeichnet werden).
  • Der Prozessor 702 ist über einen Bus 708 mit dem maschinenlesbaren Speichermedium 704 elektrisch verbunden. Der Prozessor 702 ist durch den Bus 708 außerdem mit einer E/A-Schnittstelle 710 elektrisch verbunden. Auch eine Netzwerk-Schnittstelle 712 ist über den Bus 708 mit dem Prozessor 702 elektrisch verbunden. Die Netzwerk-Schnittstelle 712 ist mit einem Netzwerk 714 verbunden, sodass der Prozessor 702 und das maschinenlesbare Speichermedium 704 externe Elemente über das Netzwerk 714 verbinden können. Der Prozessor 702 ist so konfiguriert, dass er den Computerprogrammcode 706 abarbeitet, der in dem maschinenlesbaren Speichermedium 704 codiert ist, damit das System 700 zum Ausführen eines Teils der, oder aller, vorgenannten Prozesse und/oder Verfahren verwendet werden kann. Bei einer oder mehreren Ausführungsformen ist der Prozessor 702 eine zentrale Verarbeitungseinheit (CPU), ein Multiprozessor, ein verteiltes Verarbeitungssystem, eine anwendungsspezifische integrierte Schaltung (ASIC) und/oder eine andere geeignete Verarbeitungseinheit.
  • Bei einer oder mehreren Ausführungsformen ist das maschinenlesbare Speichermedium 704 ein elektronisches, magnetisches, optisches, elektromagnetisches, Infrarot- und/oder Halbleiter-System (oder -Vorrichtung oder -Bauelement). Das maschinenlesbare Speichermedium 704 umfasst zum Beispiel einen Halbleiter- oder Festkörperspeicher, ein Magnetband, eine Wechselplatte, einen Direktzugriffsspeicher (RAM), einen Festspeicher (ROM), eine starre Magnetplatte und/oder eine optische Platte. Bei einer oder mehreren Ausführungsformen, bei denen optische Platten verwendet werden, ist das maschinenlesbare Speichermedium 704 eine Compact Disc Read-Only Memory (CD-ROM), eine Compact Disc Read/Write (CD-R/W) und/oder eine digitale Videoplatte (DVD).
  • Bei einer oder mehreren Ausführungsformen speichert das Speichermedium 704 den Computerprogrammcode 706, der so konfiguriert ist, dass das System 700 [wobei die Abarbeitung (zumindest teilweise) durch das EDA-Tool erfolgt] zur Ausführung eines Teils der oder aller vorgenannten Prozesse und/oder Verfahren verwendet werden kann. Bei einer oder mehreren Ausführungsformen speichert das Speichermedium 704 außerdem Informationen, die die Ausführung eines Teils der, oder aller, vorgenannten Prozesse und/oder Verfahren ermöglichen. Bei einer oder mehreren Ausführungsformen speichert das Speichermedium 704 eine Bibliothek 707 von Standardzellen, die funktionelle Standardzellen und Standard-ECO-Basiszellen umfassen.
  • Das EDA-System 700 weist die E/A-Schnittstelle 710 auf. Die E/A-Schnittstelle 710 ist mit externen Schaltungen verbunden. Bei einer oder mehreren Ausführungsformen umfasst die E/A-Schnittstelle 710 eine Tastatur, eine Maus, einen Trackball, einen Touchpad, einen Touchscreen und/oder Kursor-Richtungstasten zum Übermitteln von Informationen und Befehlen an den Prozessor 702.
  • Das EDA-System 700 weist außerdem eine Netzwerk-Schnittstelle 712 auf, die mit dem Prozessor 702 verbunden ist. Die Netzwerk-Schnittstelle 712 gestattet es dem System 700, mit dem Netzwerk 714 zu kommunizieren, mit dem ein oder mehrere Computersysteme verbunden sind. Die Netzwerk-Schnittstelle 712 umfasst drahtlose Netzwerk-Schnittstellen, wie etwa Bluetooth, Wifi, Wimax, GPRS oder WCDMA; oder drahtgebundene Netzwerk-Schnittstellen, wie etwa Ethernet, USB oder IEEE-1364. Bei einer oder mehreren Ausführungsformen werden ein Teil der, oder alle, vorgenannten Prozesse und/oder Verfahren in zwei oder mehr Systemen 700 implementiert.
  • Das System 700 ist so konfiguriert, dass es Informationen über die E/A-Schnittstelle 710 empfängt. Die Informationen, die über die E/A-Schnittstelle 710 empfangen werden, umfassen Befehle, Daten, Entwurfsregeln, Bibliotheken von Standardzellen und/oder andere Parameter für die Verarbeitung durch den Prozessor 702. Die Informationen werden über den Bus 708 an den Prozessor 702 gesendet. Das EDA-System 700 ist so konfiguriert, dass es Informationen zu einer Benutzerschnittstelle (UI) über die E/A-Schnittstelle 710 empfängt. Die Informationen werden in dem maschinenlesbaren Medium 704 als UI 742 gespeichert.
  • Bei einigen Ausführungsformen werden ein Teil der, oder alle, vorgenannten Prozesse und/oder Verfahren als eine unabhängige Software-Anwendung für die Abarbeitung durch einen Prozessor implementiert. Bei einigen Ausführungsformen werden ein Teil der, oder alle, vorgenannten Prozesse und/oder Verfahren als eine Software-Anwendung implementiert, die Teil einer weiteren Software-Anwendung ist. Bei einigen Ausführungsformen werden ein Teil der, oder alle, vorgenannten Prozesse und/oder Verfahren als ein Plug-in für eine Software-Anwendung implementiert. Bei einigen Ausführungsformen wird mindestens einer der vorgenannten Prozesse und/oder Verfahren als eine Software-Anwendung implementiert, die Teil eines EDA-Tools ist. Bei einigen Ausführungsformen werden ein Teil der, oder alle, vorgenannten Prozesse und/oder Verfahren als eine Software-Anwendung implementiert, die von dem EDA-System 700 verwendet wird. Bei einigen Ausführungsformen wird ein Layout, das Standardzellen plus ECO-Basiszellen und/oder programmierte ECO-Zellen umfasst, unter Verwendung eines Tools, wie etwa Virtuoso®, das von Cadence Design Systems, Inc. lieferbar ist, oder eines anderen geeigneten Layout-Erzeugungs-Tools erzeugt.
  • Bei einigen Ausführungsformen werden die Prozesse als Funktionen eines Programms realisiert, das in einem nichtflüchtigen maschinenlesbaren Aufzeichnungsmedium gespeichert ist. Beispiele für ein nichtflüchtiges maschinenlesbares Aufzeichnungsmedium sind unter anderem externe/herausnehmbare und/oder interne/eingebaute Speichereinheiten, z. B. eine optische Platte, wie etwa eine DVD, eine Magnetplatte, wie etwa eine Festplatte, ein Halbleiter-Speicher, wie etwa ein ROM, ein RAM, eine Speicherkarte und/oder dergleichen.
  • 8 ist ein Blockdiagramm eines IC-Herstellungssystems 800 (IC: integrierter Schaltkreis) und eines zugehörigen IC-Herstellungsablaufs, gemäß einigen Ausführungsformen.
  • Im Allgemeinen erzeugt das System 800 ein Layout (z. B. eines der Layouts 100A bis 100L der entsprechenden 1A bis iL, oder dergleichen). Auf der Grundlage des Layouts stellt das System 800 (A) eine oder mehrere Halbleiter-Masken und/oder (B) mindestens eine Komponente in einer Schicht eines unvollständigen integrierten Halbleiter-Schaltkreises her.
  • In 8 weist das IC-Herstellungssystem 800 Einheiten auf, wie etwa ein Entwurfshaus 820, ein Maskenhaus 830 und einen IC-Hersteller („Mikrochip-Fabrik“) 850, die miteinander in den Entwurfs-, Entwicklungs- und Herstellungszyklen und/oder bei den Dienstleistungen interagieren, die mit der Herstellung eines IC-Bauelements 860 verbunden sind. Die Einheiten in dem System 800 sind durch ein Kommunikationsnetzwerk verbunden. Bei einigen Ausführungsformen ist das Kommunikationsnetzwerk ein einzelnes Netzwerk. Bei einigen Ausführungsformen umfasst das Kommunikationsnetzwerk verschiedene Netzwerke, wie etwa ein Intranet und das Internet. Das Kommunikationsnetzwerk umfasst drahtgebundene und/oder drahtlose Kommunikationskanäle. Jede Einheit interagiert mit einer oder mehreren der anderen Einheiten und stellt Dienste für eine oder mehrere der anderen Einheiten bereit und/oder empfängt Dienste von diesen. Bei einigen Ausführungsformen sind zwei oder mehr der Einheiten Entwurfshaus 820, Maskenhaus 830 und IC-Fabrik 850 im Besitz eines größeren Unternehmens. Bei einigen Ausführungsformen bestehen zwei oder mehr der Einheiten Entwurfshaus 820, Maskenhaus 830 und IC-Fabrik 850 in einer gemeinsamen Einrichtung nebeneinander und nutzen gemeinsame Ressourcen.
  • Das Entwurfshaus (oder Entwurfs-Team) 820 erzeugt ein IC-Entwurfslayout 822. Das IC-Entwurfslayout 822 weist verschiedene geometrische Strukturen auf, die für ein IC-Bauelement 860 konzipiert sind. Die geometrischen Strukturen entsprechen Strukturen von Metall-, Oxid- oder Halbleiterschichten, die die verschiedenen Komponenten des herzustellenden IC-Bauelements 860 bilden. Die verschiedenen Schichten verbinden sich zu verschiedenen IC-Strukturelementen. Zum Beispiel weist ein Teil des IC-Entwurfslayouts 822 verschiedene IC-Strukturelemente auf, wie etwa einen aktiven Bereich, eine Gate-Elektrode, eine Source und einen Drain, Metallleitungen oder -durchkontaktierungen einer Zwischenschichtverbindung und Öffnungen für Bondpads, die in einem Halbleitersubstrat (wie etwa einem Siliziumwafer) und verschiedenen Materialschichten, die auf dem Halbleitersubstrat angeordnet sind, hergestellt werden sollen. Das Entwurfshaus 820 implementiert ein passendes Entwurfsverfahren für die Herstellung des IC-Entwurfslayouts 822. Das Entwurfsverfahren umfasst einen oder mehrere der Schritte Logikentwurf, physischer Entwurf oder Platzierung und Leitungsführung. Das IC-Entwurfslayout 822 wird in einer oder mehreren Datendateien dargestellt, die Informationen der geometrischen Strukturen haben. Das IC-Entwurfslayout 822 kann zum Beispiel in einem GDSII-Dateiformat oder einem DFII-Dateiformat dargestellt werden.
  • In dem Maskenhaus 830 werden eine Maskendatenaufbereitung 832 und eine Maskenherstellung 844 durchgeführt. Das Maskenhaus 830 verwendet das IC-Entwurfslayout 822 zur Herstellung einer oder mehrerer Masken, die für die Herstellung der verschiedenen Schichten des IC-Bauelements 860 entsprechend dem IC-Entwurfslayout 822 genutzt werden sollen. Das Maskenhaus 830 führt die Maskendatenaufbereitung 832 durch, bei der das IC-Entwurfslayout 822 in eine repräsentative Datendatei (RDF) übersetzt wird. Bei der Maskendatenaufbereitung 832 wird die RDF für die Maskenherstellung 844 bereitgestellt. Bei der Maskenherstellung 844 wird ein Maskenschreiber verwendet. Ein Maskenschreiber wandelt die RDF in ein Bild auf einem Substrat um, wie etwa auf einer Maske (Retikel) oder einem Halbleiterwafer. Das Entwurfslayout wird bei der Maskendatenaufbereitung 832 so manipuliert, dass es bestimmten Eigenschaften des Maskenschreibers entspricht und/oder Anforderungen der IC-Fabrik 850 erfüllt. In 8 sind die Maskendatenaufbereitung 832 und die Maskenherstellung 844 als getrennte Elemente dargestellt. Bei einigen Ausführungsformen können die Maskendatenaufbereitung 832 und die Maskenherstellung 844 kollektiv als Maskendatenaufbereitung bezeichnet werden.
  • Bei einigen Ausführungsformen umfasst die Maskendatenaufbereitung 832 eine Optical Proximity Correction (OPC), für die lithografische Verbesserungsmethoden verwendet werden, um Bildfehler auszugleichen, wie etwa solche, die durch Beugung, Interferenz, andere Prozess-Effekte oder dergleichen entstehen können. Durch die OPC wird das IC-Entwurfslayout 822 justiert. Bei einigen Ausführungsformen umfasst die Maskendatenaufbereitung 832 weitere Auflösungsverbesserungsmethoden (RET), wie etwa außeraxiale Beleuchtung, Teilauflösungs-Unterstützungselemente, Phasenverschiebungsmasken, andere geeignete Methoden oder dergleichen, oder Kombinationen davon. Bei einigen Ausführungsformen wird auch die inverse Lithografie-Technologie (ILT) verwendet, die die OPC als ein inverses Abbildungsproblem behandelt.
  • Bei einigen Ausführungsformen überprüft bei der Maskendatenaufbereitung 832 ein Maskenregelprüfer (mask rule checker; MRC) das IC-Entwurfslayout, das Prozesse bei der OPC durchlaufen hat, mit einer Gruppe von Masken-Erzeugungsregeln, die bestimmte geometrische und/oder Verbindungseinschränkungen enthalten, um ausreichende Spannen zu gewährleisten, um der Veränderlichkeit bei Halbleiterherstellungsprozessen oder dergleichen Rechnung zu tragen. Um die Masken-Erzeugungsregeln einzuhalten, modifiziert bei einigen Ausführungsformen der MRC das IC-Entwurfslayout, um Beschränkungen während der Maskenherstellung 844 auszugleichen, die einen Teil der von der OPC durchgeführten Modifikationen rückgängig machen können.
  • Bei einigen Ausführungsformen umfasst die Maskendatenaufbereitung 832 eine Prüfung des lithografischen Prozesses (lithography process checking; LPC), bei der die Bearbeitung simuliert wird, die von der IC-Fabrik 850 implementiert wird, um das IC-Bauelement 860 herzustellen. Bei der LPC wird diese Bearbeitung auf Grund des IC-Entwurfslayouts 822 simuliert, um ein simuliertes hergestelltes Bauelement, wie etwa das IC-Bauelement 860, zu erzeugen. Die Bearbeitungsparameter bei der LPC-Simulation können Parameter, die mit verschiedenen Prozessen des IC-Herstellungszyklus assoziiert sind, Parameter, die mit Anlagen assoziiert sind, die für die Herstellung von ICs verwendet werden, und/oder andere Aspekte des Herstellungsprozesses umfassen. Die LPC berücksichtigt verschiedene Faktoren, wie etwa Zwischenbildkontrast, Tiefenschärfe (DOF), Maskenfehler-Verbesserungsfaktor (mask error enhancement factor; MEEF), andere geeignete Faktoren oder dergleichen, oder Kombinationen davon. Bei einigen Ausführungsformen müssen in dem Fall, dass nach der Erzeugung eines simulierten hergestellten Bauelements durch die LPC das simulierte Bauelement hinsichtlich der Form zu weit von der Einhaltung der Entwurfsregeln entfernt ist, die OPC und/oder MRC wiederholt werden, um das IC-Entwurfslayout 822 weiter zu verbessern.
  • Es dürfte klar sein, dass die vorstehende Beschreibung der Maskendatenaufbereitung 832 der Übersichtlichkeit halber vereinfacht worden ist. Bei einigen Ausführungsformen umfasst die Maskendatenaufbereitung 832 weitere Funktionen, wie etwa logische Operationen (LOP), um das IC-Entwurfslayout entsprechend den Herstellungsregeln zu modifizieren. Darüber hinaus können die Prozesse, die für das IC-Entwurfslayout 822 während der Maskendatenaufbereitung 832 verwendet werden, in verschiedenen Reihenfolgen ausführt werden.
  • Nach der Maskendatenaufbereitung 832 und während der Maskenherstellung 844 wird eine Maske oder eine Gruppe von Masken auf der Grundlage des modifizierten IC-Entwurfslayouts hergestellt. Bei einigen Ausführungsformen wird ein Elektronenstrahl oder ein Mechanismus mit mehreren Elektronenstrahlen zum Herstellen einer Struktur auf einer Maske (Fotomaske oder Retikel) auf der Grundlage des modifizierten IC-Entwurfslayouts verwendet. Die Maske kann mit verschiedenen Technologien hergestellt werden. Bei einigen Ausführungsformen wird die Maske unter Verwendung der Binärtechnologie hergestellt. Bei einigen Ausführungsformen weist eine Maskenstruktur opake Bereiche und transparente Bereiche auf. Ein Strahlungsstrahl, wie etwa ein Ultraviolett(UV)-Strahl, der zum Belichten der lichtempfindlichen Materialschicht (z. B. Fotoresist) verwendet wird, die auf einen Wafer aufgebracht worden ist, wird von dem opaken Bereich blockiert und wird durch die transparenten Bereiche durchgelassen. In einem Beispiel weist eine Binärmaske ein transparentes Substrat (z. B. Quarzglas) und ein opakes Material (z. B. Chrom) auf, das in den opaken Bereichen der Maske aufgebracht ist. In einem anderen Beispiel wird die Maske unter Verwendung der Phasenverschiebungstechnologie hergestellt. Bei der Phasenverschiebungsmaske (phase shift mask; PSM) sind verschiedene Elemente in der Struktur, die auf der Maske hergestellt wird, so konfiguriert, dass sie eine Phasendifferenz haben, die zur Verbesserung der Auflösung und der Bildqualität geeignet ist. In verschiedenen Beispielen kann die Phasenverschiebungsmaske eine Maske mit abgeschwächter Phasenverschiebung oder eine Maske mit veränderlicher Phasenverschiebung sein. Die von der Maskenherstellung 844 erzeugten Masken werden in verschiedenen Prozessen verwendet. Solche Masken werden zum Beispiel in einem Ionenimplantationsprozess zum Herstellen von verschiedenen dotierten Bereichen in einem Halbleiterwafer, in einem Ätzprozess zum Herstellen von verschiedenen Ätzbereichen in dem Halbleiterwafer und/oder in anderen geeigneten Prozessen verwendet.
  • Die IC-Fabrik 850 ist ein IC-Herstellungsbetrieb mit einer oder mehreren Produktionsstätten für die Herstellung von verschiedenen IC-Produkten. Bei einigen Ausführungsformen ist die IC-Fabrik 850 eine Halbleiter-Fertigungsanlage. Es kann zum Beispiel eine Produktionsstätte für die Front-End-Fertigung einer Vielzahl von IC-Produkten [Front-End-of-Line(FEOL)-Fertigung] geben, während eine zweite Produktionsstätte die Back-End-Fertigung für das Verbinden und Verkappen der IC-Produkte [Back-End-of-Line(BEOL)-Fertigung] durchführen kann und eine dritte Produktionsstätte andere Dienste für den Fertigungsbetrieb bereitstellen kann.
  • In der IC-Fabrik 850 werden die Masken, die von dem Maskenhaus 830 hergestellt worden sind, zum Herstellen des IC-Bauelements 860 verwendet. Somit verwendet die IC-Fabrik 850 zumindest indirekt das IC-Entwurfslayout 822 zum Herstellen des IC-Bauelements 860. Bei einigen Ausführungsformen wird ein Halbleiterwafer 852 von der IC-Fabrik 850 unter Verwendung der Masken zum Herstellen des IC-Bauelements 860 hergestellt. Der Halbleiterwafer 852 umfasst ein Siliziumsubstrat oder ein anderes geeignetes Substrat, auf dem Materialschichten hergestellt sind. Der Halbleiterwafer weist weiterhin einen oder mehrere verschiedene dotierte Bereiche, dielektrische Strukturelemente, Mehrebenen-Verbindungen oder dergleichen auf (die in nachfolgenden Herstellungsschritten hergestellt werden).
  • Einzelheiten zu einem Herstellungssystem für integrierte Schaltkreise (ICs) (z. B. zu dem System 800 von 8) sowie ein mit diesem assoziierter IC-Herstellungsablauf sind z. B. in den folgenden Dokumenten zu finden: US-Patent US 9 256 709 B2 das am 9. Februar 2016 erteilt wurde; US-Vorerteilungsveröffentlichung US 2015 / 0 278 429 A1 die am 1. Oktober 2015 veröffentlicht wurde; US-Vorerteilungsveröffentlichung US 2014 / 0 040 838 A1 die am 6. Februar 2014 veröffentlicht wurde; und US-Patent US 7 260 442 B2 das am 21. August 2007 erteilt wurde.
  • Wenn man allgemein (wieder) unterstellt, dass die Längsachsen der Metallisierungssegmente parallel zu den horizontalen Achsen sind, besteht eine Möglichkeit zur Reduzierung der Gesamthöhe (in der vertikalen Richtung) der Standardzelle darin, die vertikale Größe der Metallisierungssegmente zu verringern. Bei einigen Ausführungsformen wird die Reduzierung der Zellenhöhe mit einer oder mehreren Instanzen eines ersten Toleranzkontakts (z. B. 210) erreicht. Bei einigen Ausführungsformen wird die Reduzierung der Zellenhöhe mit einer oder mehreren Instanzen eines zweiten Toleranzkontakts (z. B. 310) erreicht. Bei einigen Ausführungsformen wird die Reduzierung der Zellenhöhe mit einer oder mehreren Instanzen des ersten Toleranzkontakts und einer oder mehreren Instanzen des zweiten Toleranzkontakts erreicht. Wenn die Größe der Metallisierungssegmente in der vertikalen Richtung reduziert wird, wird auch die Gesamthöhe der Standardzelle reduziert, und die Dichte eines HalbleiterBauelements, das unter Verwendung dieser Standardzellen hergestellt wird, nimmt zu. Bei einigen Ausführungsformen verbessert sich die Dichte um etwa 13% bis etwa 20%.
  • Insbesondere wird der erste Toleranzkontakt zwischen eine erste leitende Struktur (z. B. die Gate-Struktur 204) und eine erste Durchkontaktierung (z. B. die Durchkontaktierung 220) eingefügt. In dem Fall, dass die erste Durchkontaktierung direkt auf der ersten leitenden Struktur hergestellt wird, wird die Justiertoleranz zwischen der ersten Durchkontaktierung und der ersten leitenden Struktur nachteilig verringert. Im Gegensatz dazu wird durch Einfügen des ersten Toleranzkontakts zwischen die erste leitende Struktur und die erste Durchkontaktierung die Justiertoleranz vergrößert. Durch Verwenden des ersten Toleranzkontakts als ein Mittel, mit dem die Justiertoleranz vorteilhaft vergrößert wird, ist es nicht erforderlich, auf eine Vergrößerung der vertikalen Größe des Metallisierungssegments (das sich über dem ersten Kontakt befindet) als ein Mittel zur Vergrößerung der Justiertoleranz zu setzen. Vielmehr kann die vertikale Größe des Metallisierungssegments (das sich über dem ersten Kontakt befindet) verringert werden, wodurch die vertikale Größe der Metallisierungssegmente (vorteilhaft) verringert wird (wobei wieder unterstellt wird, dass die Längsachsen der Metallisierungssegmente parallel zu der horizontalen Achse sind).
  • Insbesondere wird der zweite Toleranzkontakt zwischen eine zweite leitende Struktur (z. B. eine Source-/Drain-Struktur 306) und eine zweite Durchkontaktierung (z. B. die Durchkontaktierung 320) eingefügt. In dem Fall, dass die zweite Durchkontaktierung direkt auf der zweiten leitenden Struktur hergestellt wird, wird die Justiertoleranz zwischen der zweiten Durchkontaktierung und der zweiten leitenden Struktur nachteilig verringert. Im Gegensatz dazu wird durch Einfügen des zweiten Toleranzkontakts zwischen die zweite leitende Struktur und die zweite Durchkontaktierung die Justiertoleranz vorteilhaft vergrößert. Durch Verwenden des zweiten Toleranzkontakts als ein Mittel, mit dem die Justiertoleranz vorteilhaft vergrößert wird, ist es nicht erforderlich, auf eine Vergrößerung der vertikalen Größe des Metallisierungssegments (das sich über dem zweiten Kontakt befindet) als ein Mittel zur Vergrößerung der Justiertoleranz zu setzen. Vielmehr kann die vertikale Größe des Metallisierungssegments (das sich über dem zweiten Kontakt befindet) verringert werden, wodurch die vertikale Größe der Metallisierungssegmente (vorteilhaft) verringert wird (wobei wieder unterstellt wird, dass die Längsachsen der Metallisierungssegmente parallel zu der horizontalen Achse sind).
  • Ein Aspekt der vorliegenden Erfindung ist auf ein Verfahren zum Erzeugen eines ECO-Layouts (ECO: technische Änderungsanweisung) einer ECO-Basiszelle gerichtet, wobei das Layout auf einem nichtflüchtigen maschinenlesbaren Medium gespeichert wird, wobei das Verfahren die folgenden Schritte aufweist: Erzeugen einer ersten und einer zweiten Aktiver-Bereich-Struktur, die entsprechende Längsachsen haben, die in einer ersten Richtung angeordnet sind, die parallel zu einer ersten Symmetrieachse ist; Anordnen der ersten und der zweiten Aktiver-Bereich-Struktur auf gegenüberliegenden Seiten der ersten Achse; Erzeugen von nicht-überdeckenden ersten, zweiten und dritten leitenden Strukturen, die entsprechende Längsachsen in einer zweiten Richtung haben, die senkrecht zu der ersten Richtung und parallel zu einer zweiten Symmetrieachse ist; Anordnen der ersten, zweiten und dritten leitenden Struktur jeweils so, dass sie die erste und die zweite Aktiver-Bereich-Struktur entsprechend überdecken; Positionieren der ersten leitenden Struktur zwischen der zweiten und der dritten leitenden Struktur; Erzeugen einer ersten Schneidestruktur, die entsprechende mittlere Bereiche der zweiten und der dritten leitenden Struktur überdeckt und die anzeigt, dass die mittleren Bereiche später entfernt werden; Justieren der ersten Schneidestruktur in Bezug zu der ersten Symmetrieachse; Erzeugen einer vierten leitenden Struktur; Positionieren der vierten leitenden Struktur über einem Bereich, der von der ersten Schneidestruktur begrenzt wird; und Dehnen der vierten leitenden Struktur so, dass sie eine Fläche einnimmt, die ein erstes Segment der ersten leitenden Struktur und ein erstes Segment der zweiten oder der dritten leitenden Struktur wesentlich überdeckt, wodurch das ECO-Layout entsteht, wobei mindestens einer der Schritte Erzeugen, Anordnen, Positionieren und Dehnen von einem Prozessor eines Computers ausgeführt wird.
  • Ein weiterer Aspekt der vorliegenden Erfindung ist auf ein Halbleiter-Bauelement mit einer Anordnung von ECO-Zellen gerichtet, die jeweils Folgendes aufweisen: einen ersten und einen zweiten aktiven Bereich in einem Halbleitersubstrat, die entsprechende Längsachsen haben, die in einer ersten Richtung angeordnet sind, die parallel zu einer ersten Symmetrieachse ist, wobei der erste und der zweite aktive Bereich auf gegenüberliegenden Seiten der ersten Achse angeordnet sind, eine erste, dritte und fünfte leitende Struktur und eine entsprechende zweite, vierte und sechste leitende Struktur entsprechende Längsachsen in einer zweiten Richtung haben, die senkrecht zu der ersten Richtung ist, entsprechende der ersten bis sechsten leitenden Struktur kollinear sind, (A) die erste, dritte und fünfte leitende Struktur und (B) die zweite, vierte und sechste leitende Struktur den zweiten aktiven Bereich entsprechend überdecken, und die erste und die zweite leitende Struktur zwischen (C) der dritten und fünften leitenden Struktur und (D) der vierten und sechsten leitenden Struktur entsprechend zentriert sind; und eine siebente leitende Struktur, wobei die vierte leitende Struktur über einem ersten und einem zweiten Spalt zwischen entsprechenden der dritten bis sechsten leitenden Struktur angeordnet ist, und die vierte leitende Struktur eine Fläche einnimmt, die die erste leitende Struktur oder die zweite leitende Struktur und einen entsprechenden des ersten und zweiten Spalts wesentlich überdeckt.
  • Ein noch weiterer Aspekt der vorliegenden Erfindung ist auf ein nichtflüchtiges maschinenlesbares Medium mit durch einen Computer ausführbaren Befehlen zum Ausführen eines Verfahrens zum Erzeugen eines ECO-Layouts (ECO: technische Änderungsanweisung) einer ECO-Basiszelle gerichtet, wobei das Verfahren die folgenden Schritte aufweist: Erzeugen einer ersten und einer zweiten Aktiver-Bereich-Struktur, die entsprechende Längsachsen haben, die in einer ersten Richtung angeordnet sind, die parallel zu einer ersten Symmetrieachse ist; Anordnen der ersten und der zweiten Aktiver-Bereich-Struktur auf gegenüberliegenden Seiten der ersten Achse; Erzeugen von nicht-überdeckenden ersten, zweiten und dritten leitenden Strukturen, die entsprechende Längsachsen in einer zweiten Richtung haben, die senkrecht zu der ersten Richtung und parallel zu einer zweiten Symmetrieachse ist; Anordnen der ersten, zweiten und dritten leitenden Struktur jeweils so, dass sie die erste und die zweite Aktiver-Bereich-Struktur entsprechend überdecken; Zentrieren der ersten leitenden Struktur zwischen der zweiten und der dritten leitenden Struktur; Erzeugen einer ersten Schneidestruktur, die entsprechende mittlere Bereiche der zweiten und der dritten leitenden Struktur überdeckt und die anzeigt, dass die mittleren Bereiche später entfernt werden; Zentrieren der ersten Schneidestruktur an der ersten Symmetrieachse; Erzeugen einer zweiten und einer dritten Schneidestruktur, die Endbereiche der ersten leitenden Struktur entsprechend überdecken und die anzeigen, dass die Endbereiche später entfernt werden; Erzeugen einer vierten Schneidestruktur, die ein zweites Segment der ersten leitenden Struktur entsprechend überdeckt und die anzeigt, dass das zweite Segment der ersten leitenden Struktur später entfernt wird; Positionieren der vierten Schneidestruktur asymmetrisch zu der ersten Symmetrieachse; Erzeugen einer vierten leitenden Struktur; Positionieren der vierten leitenden Struktur über einem Bereich, der von der ersten Schneidestruktur begrenzt wird; und Dehnen der vierten leitenden Struktur so, dass sie eine Fläche einnimmt, die ein erstes Segment der ersten leitenden Struktur und ein erstes Segment der zweiten oder der dritten leitenden Struktur wesentlich überdeckt, wodurch das ECO-Layout entsteht, wobei mindestens einer der Schritte Erzeugen, Anordnen, Zentrieren, Positionieren und Dehnen von einem Prozessor eines Computers ausgeführt wird.

Claims (10)

  1. Verfahren zum Erzeugen eines ECO-Layouts (ECO: engineering change order; technische Änderungsanweisung) einer ECO-Basiszelle (108A), wobei das Layout auf einem nichtflüchtigen maschinenlesbaren Medium gespeichert wird, wobei das Verfahren die folgenden Schritte aufweist: Erzeugen einer ersten und einer zweiten Aktiver-Bereich-Struktur (110A), die entsprechende Längsachsen haben, die in einer ersten Richtung angeordnet sind, die parallel zu einer ersten Symmetrieachse (105) ist; Anordnen der ersten und der zweiten Aktiver-Bereich-Struktur auf gegenüberliegenden Seiten der ersten Achse (105); Erzeugen von nicht-überdeckenden ersten, zweiten und dritten leitenden Strukturen (114A, 116A, 118A), die entsprechende Längsachsen in einer zweiten Richtung haben, die senkrecht zu der ersten Richtung (105) und parallel zu einer zweiten Symmetrieachse (107A) ist; Anordnen der ersten, zweiten und dritten leitenden Struktur (114A, 116A, 118A) jeweils so, dass sie die erste und die zweite Aktiver-Bereich-Struktur (110A) entsprechend überdecken; Positionieren der ersten leitenden Struktur (114A) zwischen der zweiten und der dritten leitenden Struktur (116A, 118A); Erzeugen einer ersten Schneidestruktur (120A), die entsprechende mittlere Bereiche der zweiten und der dritten leitenden Struktur (116A, 118A) überdeckt und die anzeigt, dass die mittleren Bereiche später entfernt werden; Justieren der ersten Schneidestruktur in Bezug zu der ersten Symmetrieachse (105); Erzeugen einer vierten leitenden Struktur (128A); Positionieren der vierten leitenden Struktur über einem Bereich, der von der ersten Schneidestruktur (120A) begrenzt wird; und Dehnen der vierten leitenden Struktur (128A) so, dass sie eine Fläche einnimmt, die ein erstes Segment der ersten leitenden Struktur (114A) und ein erstes Segment der zweiten oder der dritten leitenden Struktur (116A, 118A) wesentlich überdeckt, wodurch das ECO-Layout entsteht, wobei mindestens einer der Schritte Erzeugen, Anordnen, Positionieren und Dehnen von einem Prozessor eines Computers ausgeführt wird; Positionieren der vierten leitenden Struktur (128A) asymmetrisch um die erste Symmetrieachse (105); Erzeugen einer zweiten Schneidestruktur (122A), die ein zweites Segment der ersten leitenden Struktur (114A) entsprechend überdeckt und die anzeigt, dass das zweite Segment der ersten leitenden Struktur später entfernt wird; und Positionieren der zweiten Schneidestruktur (122A) asymmetrisch zu der ersten Symmetrieachse (105), wodurch ein korrigiertes ECO-Layout entsteht.
  2. Verfahren nach Anspruch 1, das weiterhin die folgenden Schritte umfasst: Erzeugen einer ersten Durchkontaktierungsstruktur (130A); und Positionieren der ersten Durchkontaktierungsstruktur (130A) über und in einem Bereich, der von der vierten leitenden Struktur (128A) begrenzt wird; Erzeugen einer fünften leitenden Struktur (144A); Positionieren der fünften leitenden Struktur (144A) über der ersten Durchkontaktierungsstruktur (130A); und Dimensionieren der fünften leitenden Struktur (144A) so, dass sie die erste Durchkontaktierungsstruktur (130A) im Wesentlichen vollständig überdeckt, wodurch ein korrigiertes ECO-Layout entsteht, wobei die fünfte leitende Struktur (144A) ein Segment in einer ersten Metallisierungsschicht ist.
  3. Verfahren nach Anspruch 1 oder 2, das weiterhin die folgenden Schritte umfasst: Verlängern von Enden der ersten, der zweiten und der dritten leitenden Struktur (114A, 116A, 118A) in der zweiten Richtung entsprechend über die erste und die zweite Aktiver-Bereich-Struktur (110A) hinaus und von der ersten Symmetrieachse (105) weg; und Erzeugen einer dritten Schneidestruktur (122A, 124A), und wobei die zweite und die dritte Schneidestruktur die Endbereiche der ersten leitenden Struktur (114A) entsprechend überdecken und die anzeigen, dass die Endbereiche später entfernt werden, wodurch ein korrigiertes ECO-Layout entsteht.
  4. Verfahren nach Anspruch 1 oder 2, das weiterhin die folgenden Schritte umfasst: Verlängern von Enden der ersten, der zweiten und der dritten leitenden Struktur (114A, 116A, 118A) in der zweiten Richtung (107A) entsprechend über die erste und die zweite Aktiver-Bereich-Struktur (110A) hinaus und von der ersten Symmetrieachse (105) weg; Erzeugen mindestens einer Instanz einer fünften leitenden Struktur (142A); und Positionieren der mindestens einen Instanz der fünften leitenden Struktur so, dass sie eine entsprechende Ecke des entsprechenden Endes einer entsprechenden der zweiten und der dritten leitenden Struktur (116A, 118A) teilweise überdeckt, wodurch ein korrigiertes ECO-Layout entsteht.
  5. Verfahren nach Anspruch 4, das weiterhin den folgenden Schritt umfasst: Dimensionieren der mindestens einen Instanz der fünften leitenden Struktur (142A) so, dass sie über die entsprechende Ecke des entsprechenden Endes der entsprechenden der zweiten und dritten leitenden Struktur (116A, 118A) zumindest in der zweiten Richtung (107A) hinausreicht wodurch ein korrigiertes ECO-Layout entsteht.
  6. Verfahren nach Anspruch 5, wobei die zweite Schneidestruktur (122A) die vierte leitende Struktur (128A) nicht wesentlich überdeckt.
  7. Verfahren zum Herstellen einer oder mehrerer Halbleiter-Masken und/oder mindestens einer Komponente in einer Schicht eines unvollständigen integrierten Halbleiter-Schaltkreises, wobei das Verfahren ein Verfahren nach einem der vorherigen Ansprüche umfasst.
  8. Nichtflüchtiges maschinenlesbares Medium mit durch einen Computer ausführbaren Befehlen zum Ausführen eines Verfahrens zum Erzeugen eines ECO-Layouts (ECO: engineering change order; technische Änderungsanweisung) einer ECO-Basiszelle (108A), wobei das Verfahren die folgenden Schritte aufweist: Erzeugen einer ersten und einer zweiten Aktiver-Bereich-Struktur (110A), die entsprechende Längsachsen haben, die in einer ersten Richtung angeordnet sind, die parallel zu einer ersten Symmetrieachse (105) ist; Anordnen der ersten und der zweiten Aktiver-Bereich-Struktur (110A) auf gegenüberliegenden Seiten der ersten Achse; Erzeugen von nicht-überdeckenden ersten, zweiten und dritten leitenden Strukturen (114A, 116A, 118A), die entsprechende Längsachsen in einer zweiten Richtung haben, die senkrecht zu der ersten Richtung und parallel zu einer zweiten Symmetrieachse (107A) ist; Anordnen der ersten, zweiten und dritten leitenden Struktur (114A, 116A, 118A) jeweils so, dass sie die erste und die zweite Aktiver-Bereich-Struktur (110A) entsprechend überdecken; Zentrieren der ersten leitenden Struktur (114A) zwischen der zweiten und der dritten leitenden Struktur (116A, 118A); Erzeugen einer ersten Schneidestruktur (120A), die entsprechende mittlere Bereiche der zweiten und der dritten leitenden Struktur (116A, 118A) überdeckt und die anzeigt, dass die mittleren Bereiche später entfernt werden; Zentrieren der ersten Schneidestruktur (120A) an der ersten Symmetrieachse (105); Erzeugen einer zweiten und einer dritten Schneidestruktur (122A, 124A), die Endbereiche der ersten leitenden Struktur (114A) entsprechend überdecken und die anzeigen, dass die Endbereiche später entfernt werden; Erzeugen einer vierten Schneidestruktur (126A), die ein zweites Segment der ersten leitenden Struktur (114A) entsprechend überdeckt und die anzeigt, dass das zweite Segment der ersten leitenden Struktur später entfernt wird; Positionieren der vierten Schneidestruktur (126A) asymmetrisch zu der ersten Symmetrieachse (105); Erzeugen einer vierten leitenden Struktur (128A); Positionieren der vierten leitenden Struktur über einem Bereich, der von der ersten Schneidestruktur (120A) begrenzt wird; und Dehnen der vierten leitenden Struktur (128A) so, dass sie eine Fläche einnimmt, die ein erstes Segment der ersten leitenden Struktur (114A) und ein erstes Segment der zweiten oder der dritten leitenden Struktur (116A, 118A) wesentlich überdeckt, wodurch das ECO-Layout entsteht, wobei mindestens einer der Schritte Erzeugen, Anordnen, Zentrieren, Positionieren und Dehnen von einem Prozessor eines Computers ausgeführt wird.
  9. Maschinenlesbares Medium nach Anspruch 8, wobei das Verfahren weiterhin die folgenden Schritte umfasst: Verlängern von Enden der ersten, der zweiten und der dritten leitenden Struktur (114A, 116A, 118A) in der zweiten Richtung entsprechend über die erste und die zweite Aktiver-Bereich-Struktur (110A) hinaus und von der ersten Symmetrieachse (105) weg; Erzeugen mindestens einer Instanz einer fünften leitenden Struktur (142A); und Positionieren der mindestens einen Instanz der fünften leitenden Struktur (142A) so, dass sie eine entsprechende Ecke des entsprechenden Endes einer entsprechenden der zweiten und der dritten leitenden Struktur (116A, 118A) teilweise überdeckt; und Dimensionieren der mindestens einen Instanz der fünften leitenden Struktur (142A) so, dass sie über die entsprechende Ecke des entsprechenden Endes der entsprechenden der zweiten und dritten leitenden Struktur (116A, 118A) zumindest in der zweiten Richtung (107A) hinausreicht wodurch ein korrigiertes ECO-Layout entsteht.
  10. Maschinenlesbares Medium nach Anspruch 8 oder 9, wobei das Verfahren weiterhin den folgenden Schritt umfasst: Steuern eines Halbleiterherstellungsprozesses so, dass auf der Grundlage des Layouts eine Halbleitermaske und/oder mindestens eine Komponente in einer Schicht eines unvollständigen integrierten Halbleiterschaltkreises hergestellt wird.
DE102017118336.1A 2016-11-29 2017-08-11 Standardzellen-layout, halbleiter-bauelement mit technische-änderungsanweisungs(eco)-zellen und verfahren Active DE102017118336B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662427609P 2016-11-29 2016-11-29
US62/427,609 2016-11-29
US15/474,460 2017-03-30
US15/474,460 US10339250B2 (en) 2016-11-29 2017-03-30 Method of generating engineering change order (ECO) layout of base cell and computer-readable medium comprising executable instructions for carrying out said method

Publications (2)

Publication Number Publication Date
DE102017118336A1 DE102017118336A1 (de) 2018-05-30
DE102017118336B4 true DE102017118336B4 (de) 2022-11-24

Family

ID=62117550

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102017118336.1A Active DE102017118336B4 (de) 2016-11-29 2017-08-11 Standardzellen-layout, halbleiter-bauelement mit technische-änderungsanweisungs(eco)-zellen und verfahren

Country Status (4)

Country Link
US (5) US10339250B2 (de)
KR (1) KR102105433B1 (de)
DE (1) DE102017118336B4 (de)
TW (1) TWI663630B (de)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101618913B1 (ko) 2008-11-28 2016-05-09 가부시키가이샤 한도오따이 에네루기 켄큐쇼 표시 장치 및 표시 장치를 포함하는 전자 장치
US10127340B2 (en) 2016-09-30 2018-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell layout, semiconductor device having engineering change order (ECO) cells and method
US10373962B2 (en) * 2017-05-26 2019-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device including trimmed-gates and method for generating layout of same
CN109558610B (zh) * 2017-09-26 2021-01-29 京东方科技集团股份有限公司 膜层刻蚀区域等效力学参数的计算方法和设备
US11127673B2 (en) * 2018-08-20 2021-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device including deep vias, and method of generating layout diagram for same
DE102019121157A1 (de) * 2018-09-06 2020-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Transfer-gate-struktur, layout, verfahren und system
US10867113B2 (en) * 2018-09-06 2020-12-15 Taiwan Semiconductor Manufacturing Company Ltd. Transmission gate structure, layout, methods, and system
US11188703B2 (en) * 2018-09-28 2021-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit, system, and method of forming the same
US10997348B2 (en) * 2018-09-28 2021-05-04 Taiwan Semiconductor Manufacturing Company Ltd. Metal cut region location method and system
DE102019125900B4 (de) 2018-09-28 2022-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Metallschnittgebiet-positionierungsverfahren und system

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7137094B2 (en) 2004-04-16 2006-11-14 Taiwan Semiconductor Manufacturing Company Method for reducing layers revision in engineering change order
US7260442B2 (en) 2004-03-03 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for mask fabrication process control
US20130126978A1 (en) 2006-03-09 2013-05-23 Scott T. Becker Circuits with linear finfet structures
US20130292773A1 (en) 2012-05-07 2013-11-07 Globalfoundries Inc. Cross-coupling-based design using diffusion contact structures
US20140040838A1 (en) 2012-08-01 2014-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Methods For Making A Mask For An Integrated Circuit Design
US20150015335A1 (en) 2013-07-11 2015-01-15 Taiwan Semiconductor Manufacturing Co., Ltd. Sense amplifier layout for finfet technology
US20150048424A1 (en) 2013-08-13 2015-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell layout, semiconductor device having engineering change order (eco) cells and method
US20150278429A1 (en) 2014-04-01 2015-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. System and Method for Integrated Circuit Manufacturing
US20150287603A1 (en) 2014-04-07 2015-10-08 International Business Machines Corporation Semiconductor device having self-aligned gate contacts
US9256709B2 (en) 2014-02-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit mask patterning
US20160117431A1 (en) 2014-10-22 2016-04-28 Jin-Tae Kim Integrated circuit and method of designing layout of the same
US20160225767A1 (en) 2015-01-30 2016-08-04 Qualcomm Incorporated Off-center gate cut
US20160225763A1 (en) 2015-01-30 2016-08-04 Globalfoundries Inc. Special construct for continuous non-uniform rx finfet standard cells
US20160268244A1 (en) 2014-05-16 2016-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for semiconductor device

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101243890B1 (ko) 2006-04-10 2013-03-20 삼성전자주식회사 유효 채널 길이를 증가시키기 위한 cmos 인버터 레이아웃
US8435802B2 (en) 2006-05-22 2013-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Conductor layout technique to reduce stress-induced void formations
US7814454B2 (en) * 2007-06-28 2010-10-12 International Business Machines Corporation Selectable device options for characterizing semiconductor devices
US8015522B2 (en) * 2008-01-04 2011-09-06 Springsoft Usa, Inc. System for implementing post-silicon IC design changes
JP5230251B2 (ja) * 2008-04-25 2013-07-10 パナソニック株式会社 標準セルのレイアウト構造、標準セルライブラリ、及び半導体集積回路のレイアウト構造
JP2010016258A (ja) 2008-07-04 2010-01-21 Panasonic Corp 半導体集積回路装置
WO2010073610A1 (ja) 2008-12-24 2010-07-01 パナソニック株式会社 スタンダードセル・ライブラリ及び半導体集積回路
IT1392501B1 (it) * 2008-12-30 2012-03-09 St Microelectronics Pvt Ltd Cella di base per implementazione di un ordine di modifica o engineering change order (eco)
US8421205B2 (en) 2010-05-06 2013-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Power layout for integrated circuits
US9768119B2 (en) 2010-07-28 2017-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for mitigating dynamic IR voltage drop and electromigration affects
JPWO2012077280A1 (ja) * 2010-12-09 2014-05-19 パナソニック株式会社 三次元集積回路の設計支援装置及び設計支援方法
US8661389B2 (en) 2011-04-12 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods of designing integrated circuits
US8726220B2 (en) 2011-04-29 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8446176B1 (en) * 2011-12-15 2013-05-21 Freescale Semiconductor, Inc. Reconfigurable engineering change order base cell
US8698205B2 (en) 2012-05-25 2014-04-15 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layout having mixed track standard cell
US8826212B2 (en) 2012-12-06 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a layout including cells having different threshold voltages, a system of implementing and a layout formed
US9147029B2 (en) 2013-03-11 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Stretch dummy cell insertion in FinFET process
US9563731B2 (en) 2013-03-15 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Cell boundaries for self aligned multiple patterning abutments
US8791024B1 (en) 2013-05-14 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method to define multiple layer patterns using a single exposure
US9335624B2 (en) * 2013-10-30 2016-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-patterning system and method using pre-coloring or locked patterns
US9377680B2 (en) * 2013-11-15 2016-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for integrated circuit layout
US10083269B2 (en) * 2013-11-19 2018-09-25 Arm Limited Computer implemented system and method for generating a layout of a cell defining a circuit component
US9373623B2 (en) 2013-12-20 2016-06-21 Taiwan Semiconductor Manufacturing Company Limited Multi-layer semiconductor structures for fabricating inverter chains
US9449667B2 (en) 2014-03-31 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Memory circuit having shared word line
US9965579B2 (en) * 2014-04-17 2018-05-08 Samsung Electronics Co., Ltd. Method for designing and manufacturing an integrated circuit, system for carrying out the method, and system for verifying an integrated circuit
US9425085B2 (en) 2014-05-05 2016-08-23 Taiwan Semiconductor Manufacturing Company Limited Structures, devices and methods for memory devices
US9767243B2 (en) 2014-05-27 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. System and method of layout design for integrated circuits
US9412742B2 (en) 2014-06-10 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Layout design for manufacturing a memory cell
US9361418B2 (en) * 2014-06-23 2016-06-07 Synopsys, Inc. Nanowire or 2D material strips interconnects in an integrated circuit cell
US9690892B2 (en) 2014-07-14 2017-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Masks based on gate pad layout patterns of standard cell having different gate pad pitches
US9336348B2 (en) 2014-09-12 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming layout design
US20160283641A1 (en) * 2015-03-25 2016-09-29 Intel Corporation Method and apparatus for improving performance and power in an electronic design using standard cells
US9761572B2 (en) 2015-04-16 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device layout, semiconductor device, and method of manufacturing memory device
US10339258B2 (en) * 2015-06-30 2019-07-02 Synopsys, Inc. Look-ahead timing prediction for multi-instance module (MIM) engineering change order (ECO)
US9865544B2 (en) * 2015-10-05 2018-01-09 Samsung Electronics Co., Ltd. Semiconductor device layout having a power rail
KR102419644B1 (ko) * 2015-10-26 2022-07-11 삼성전자주식회사 Eco 셀, 그것의 레이아웃 및 eco 셀을 포함하는 집적 회로
US9852259B2 (en) * 2016-01-21 2017-12-26 Globalfoundries Inc. Area and/or power optimization through post-layout modification of integrated circuit (IC) design blocks
US9640522B1 (en) * 2016-04-19 2017-05-02 Qualcomm Incorporated V1 and higher layers programmable ECO standard cells
US9953121B2 (en) * 2016-05-03 2018-04-24 International Business Machines Corporation Accommodating engineering change orders in integrated circuit design
US10062709B2 (en) * 2016-09-26 2018-08-28 International Business Machines Corporation Programmable integrated circuit standard cell
US20190138682A1 (en) * 2017-11-07 2019-05-09 Qualcomm Incorporated Engineering change order (eco) cell architecture and implementation

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7260442B2 (en) 2004-03-03 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for mask fabrication process control
US7137094B2 (en) 2004-04-16 2006-11-14 Taiwan Semiconductor Manufacturing Company Method for reducing layers revision in engineering change order
US20130126978A1 (en) 2006-03-09 2013-05-23 Scott T. Becker Circuits with linear finfet structures
US20130292773A1 (en) 2012-05-07 2013-11-07 Globalfoundries Inc. Cross-coupling-based design using diffusion contact structures
US20140040838A1 (en) 2012-08-01 2014-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Methods For Making A Mask For An Integrated Circuit Design
US20150015335A1 (en) 2013-07-11 2015-01-15 Taiwan Semiconductor Manufacturing Co., Ltd. Sense amplifier layout for finfet technology
US20150048424A1 (en) 2013-08-13 2015-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell layout, semiconductor device having engineering change order (eco) cells and method
US9256709B2 (en) 2014-02-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit mask patterning
US20150278429A1 (en) 2014-04-01 2015-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. System and Method for Integrated Circuit Manufacturing
US20150287603A1 (en) 2014-04-07 2015-10-08 International Business Machines Corporation Semiconductor device having self-aligned gate contacts
US20160268244A1 (en) 2014-05-16 2016-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for semiconductor device
US20160117431A1 (en) 2014-10-22 2016-04-28 Jin-Tae Kim Integrated circuit and method of designing layout of the same
US20160225767A1 (en) 2015-01-30 2016-08-04 Qualcomm Incorporated Off-center gate cut
US20160225763A1 (en) 2015-01-30 2016-08-04 Globalfoundries Inc. Special construct for continuous non-uniform rx finfet standard cells

Also Published As

Publication number Publication date
US20230377964A1 (en) 2023-11-23
US10565345B2 (en) 2020-02-18
US10339250B2 (en) 2019-07-02
DE102017118336A1 (de) 2018-05-30
TW201820393A (zh) 2018-06-01
KR20180060951A (ko) 2018-06-07
US11817350B2 (en) 2023-11-14
US20210286928A1 (en) 2021-09-16
US20180150586A1 (en) 2018-05-31
US20200184138A1 (en) 2020-06-11
KR102105433B1 (ko) 2020-05-04
US11030373B2 (en) 2021-06-08
US20190114382A1 (en) 2019-04-18
TWI663630B (zh) 2019-06-21

Similar Documents

Publication Publication Date Title
DE102017118336B4 (de) Standardzellen-layout, halbleiter-bauelement mit technische-änderungsanweisungs(eco)-zellen und verfahren
DE102019101570B4 (de) Layout, struktur, system und verfahren eines integrierten schaltkreises
DE102017124097B4 (de) Stromnetzstrukturen und verfahren zu ihrer herstellung
DE102019129048B4 (de) Halbleitervorrichtung mit füllerzellregion, verfahren zur erzeugung eines layoutdiagramms und system für dafür
DE102017125395A1 (de) Zellstrunkturen und Halbleitervorrichtungen damit
DE102018108579B4 (de) Integrierte schaltung und verfahren zu deren herstellung
DE102019118040A1 (de) Halbleitervorrichtung, die eine zellregion umfasst, die ähnlichere zelldichten in zeilen verschiedener höhe aufweist, und verfahren und system zum erzeugen eines layoutdiagramms dafür
DE112014003741T5 (de) Detektieren und Anzeigen einer Behebungsführung für Multi-Strukturierung
DE102019128571B4 (de) Verfahren zum erzeugen eines layoutdiagramms mit zelle mit darauf basierenden stiftmustern und halbleitervorrichtung
DE102019116952B4 (de) Integrierte-schaltkreis-struktur, layout-schaubild-verfahren und system
DE102019116744A1 (de) Verfahren zum erzeugen eines layoutdiagramms, das hervorstehendestiftzellengebiete aufweist, und eine darauf basierendehalbleitervorrichtung
DE102019123621B4 (de) Routungsressourcenverbesserndes verfahren zum generieren von layout-diagrammen, und system dafür
DE102019115533A1 (de) Zellregionen mit der höhe einer ungeraden finnenanzahl, halbleiter, der diese aufweist und verfahren zum erzeugen eines layoutdiagramms, das diesen entspricht
DE102019121157A1 (de) Transfer-gate-struktur, layout, verfahren und system
DE102021110387A1 (de) Integrierte schaltungsanlage und verfahren
DE102020119415A1 (de) Integrierte schaltung mit rückseitiger stromschiene und rückseitigem interconnect
DE102021110414A1 (de) Vier-cpp-breite speicherzelle mit vergrabenem leistungsgitter und verfahren zu deren herstellung
DE102020110780B4 (de) Halbleiterbauelement mit vertiefter interconnect-struktur
DE102019125900B4 (de) Metallschnittgebiet-positionierungsverfahren und system
DE102020109522A1 (de) Integrierter schaltkreis
DE102022132158A1 (de) Verfahren, system und computerprogrammprodukt zum entwerfen von integrierten schaltkreisen
DE102021119369B4 (de) Pegelverschiebungsschaltkreis und verfahren
DE102021100870B4 (de) Hybridschicht-layout, -verfahren, -system und -struktur
DE102021108836A1 (de) Halbleiterbauelement mit v2v-schiene und herstellungsverfahren davon
DE102021102951A1 (de) Entkopplungssystem, verfahren zum betrieb davon und verfahren zur herstellung davon

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final