DE102019129048B4 - Halbleitervorrichtung mit füllerzellregion, verfahren zur erzeugung eines layoutdiagramms und system für dafür - Google Patents

Halbleitervorrichtung mit füllerzellregion, verfahren zur erzeugung eines layoutdiagramms und system für dafür Download PDF

Info

Publication number
DE102019129048B4
DE102019129048B4 DE102019129048.1A DE102019129048A DE102019129048B4 DE 102019129048 B4 DE102019129048 B4 DE 102019129048B4 DE 102019129048 A DE102019129048 A DE 102019129048A DE 102019129048 B4 DE102019129048 B4 DE 102019129048B4
Authority
DE
Germany
Prior art keywords
cell
filler
layout diagram
level
structures
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102019129048.1A
Other languages
English (en)
Other versions
DE102019129048A1 (de
Inventor
Po-Hsiang Huang
Chin-Chou Liu
Sheng-Hsiung Chen
Fong-Yuan Chang
Hui-Zhong ZHUANG
Meng-Hsueh WANG
Yi-Kan Cheng
Chun-Chen Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102019129048A1 publication Critical patent/DE102019129048A1/de
Application granted granted Critical
Publication of DE102019129048B4 publication Critical patent/DE102019129048B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4853Connection or disconnection of other leads to or from a metallisation, e.g. pins, wires, bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67144Apparatus for mounting on conductive members, e.g. leadframes or conductors on insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Theoretical Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Architecture (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Ceramic Engineering (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

Verfahren zur Herstellung einer Halbleitervorrichtung, das Verfahren umfassend, für ein Layoutdiagramm, das auf einem nichttransitorischen computerlesbaren Medium gespeichert ist und eine erste Metallisierungsebene, M_1. Ebene, umfasst, die eine erste Schicht einer Metallisierung in der Halbleitervorrichtung darstellt, ein Erzeugen des Layoutdiagramms, umfassend:Identifizieren (620) einer Füllerzelle (202A) und einer ersten Funktionszelle (203A(1)), die im Wesentlichen in einer ersten Richtung (X) an die Füllerzelle (202A) angrenzt, in dem Layoutdiagramm, wobei die Füllerzelle (202A) und die erste Funktionszelle (203A(1)) entsprechende nichtfunktionale und erste Funktionszellenregionen in der Halbleitervorrichtung darstellen;wobei die erste Funktionszelle (203A(1)) umfasst:erste und zweite Grenzen (208(1), 210(1)) relativ zur ersten Richtung (Seitengrenzen), wobei die zweite Seitengrenze im Wesentlichen an die Füllerzelle (202A) angrenzt;erste Verdrahtungsstrukturen (216A(1), 218A(1), 220A(1), 222A(1), 224A(1)) die sich im Wesentlichen in der ersten Richtung in der M_1. Ebene erstrecken und entsprechende erste Leiter in der ersten Funktionszellenregion darstellen; underste und zweite Gruppen von Schnittstrukturen (217A(1), 219A(1), 221A(1), 223A(1), 225A(1); 217A(2), 219A(2), 221A(2), 223A(2), 225A(2) die über entsprechenden Abschnitten der ersten Verdrahtungsstrukturen (216A(1), 218A(1), 220A(1), 222A(1), 224A(1)) liegen, wobei die erste Gruppe (217A(1), 219A(1), 221A(1), 223A(1), 225A(1)) die zweite Seitengrenze (210(1)) überlappt;Anpassen (622) einer oder mehrerer Stellen, die einer oder mehreren gewählten Schnittstrukturen der zweiten Gruppe (217A(2), 219A(2), 221A(2), 223A(2), 225A(2)) entsprechen, in der ersten Richtung, wodurch entsprechend eine oder mehrere ausgewählte der ersten Verdrahtungsstrukturen (216A(1), 218A(1), 220A(1), 222A(1), 224A(1)) verlängert werden, um ersten verlängerten Verdrahtungsstrukturen zu entsprechen, die sich über die zweite Grenze (210(1)) der ersten Funktionszelle in die Füllerzelle (202A) erstrecken; und wobei sich jede der Seitengrenzen (208(1), 210(1)) im Wesentlichen in einer zweiten Richtung im Wesentlichen rechtwinklig zur ersten Richtung erstreckt, wobei:das Layoutdiagramm ferner eine erste Ebene einer Zwischenverbindung, VIA_1. Ebene, umfasst, die über der M_1. Ebene liegt und eine erste Schicht einer Zwischenverbindung darstellt, die über der ersten Schicht einer Metallisierung in der Halbleitervorrichtung liegt; unddas Erzeugen des Layoutdiagramme ferner umfasst:Erzeugen (624) einer ersten Durchkontaktierungsstruktur (226(1)) in der V_1. Ebene; undPlatzieren (626) der ersten Durchkontaktierungsstruktur (226(1)) entsprechend über einer entsprechenden ersten der ersten verlängerten Verdrahtungsstrukturen, sodass sich die erste Durchkontaktierungsstruktur (226(1)) in der Füllerzelle (202A) befindet.

Description

  • HINTERGRUND
  • Eine integrierte Schaltung („IC“) umfasst eine oder mehr Halbleitervorrichtungen. Eine Möglichkeit, eine Halbleitervorrichtung darzustellen, ist ein Diagramm in Draufsicht, das als Layoutdiagramm bezeichnet wird. Layoutdiagramme werden in einem Kontext von Designregeln erzeugt. Ein Satz Designregeln verhängt Einschränkungen auf die Platzierung entsprechender Strukturen in einem Layoutdiagramm, z. B. geografische/räumliche Einschränkungen, Konnektivitätseinschränkungen oder dergleichen. Oft umfasst ein Satz Designregeln einen Untersatz Designregeln, die sich auf die Abstände und anderen Interaktionen zwischen Strukturen in angrenzenden oder sich berührenden Zellen beziehen, wobei die Strukturen Leiter in einer Metallisierungsschicht darstellen.
  • Typischerweise ist ein Satz Designregeln spezifische für einen Prozessknoten, durch den eine Halbleitervorrichtung basierend auf einem Layoutdiagramm Ergebnis. Der Designregelsatz gleicht die Variabilität des entsprechenden Prozessknotens aus. Eine solche Kompensation erhöht die Wahrscheinlichkeit, dass eine tatsächliche Halbleitervorrichtung, die aus einem Layoutdiagramm entsteht, ein akzeptables Gegenstück zu der virtuellen Vorrichtung ist, auf der das Layoutdiagramm basiert.
  • Die Druckschrift KR 10 2014 0021252 A1 offenbart eine Halbleitervorrichtung umfassend, ein Substrat eines ersten leitfähigen Typs, eine Logikzelle mit einer ersten Wanne eines zweiten leitfähigen Typs und eine Füllerzelle mit einer zweiten Wanne eines zweiten leitfähigen Typs, die mit der ersten Wanne verbunden ist.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Eine oder mehr Ausführungsformen sind beispielhaft und nicht einschränkend in den Figuren der beiliegenden Zeichnungen illustriert, wobei Elemente mit denselben Referenzziffernbezeichnungen durchgehend gleiche Elemente darstellen. Die Zeichnungen sind nicht maßstabsgetreu, wenn nicht anders offenbart.
  • Die Patent- oder Anmeldungsdatei umfasst Zeichnungen/Fotografien, die in Farbe ausgeführt sind. Kopien diese Patents mit einer oder mehreren farbigen Zeichnungen/Fotos werden durch das Büro auf Anfrage und nach Bezahlung der erforderlichen Gebühr bereitgestellt.
    • 1 ist ein Blockdiagramm einer Halbleitervorrichtung nach mindestens einer Ausführungsform dieser Offenbarung.
    • 2A bis 2G sind entsprechende Layoutdiagramme nach einigen Ausführungsformen.
    • 3A ist ein Ablaufdiagramm nach einigen Ausführungsformen.
    • 3B bis 3E sind entsprechende Kurven, die Verbesserungen zeigen, nach einigen Ausführungsformen.
    • 4A bis 4B sind entsprechende Querschnitte jeweiliger Abschnitte einer Zellenregion einer Halbleitervorrichtung nach einigen Ausführungsformen.
    • 5 ist ein Ablaufdiagramm eines Verfahrens zur Erzeugung eines Layoutdiagramms nach einigen Ausführungsformen.
    • 6A bis 6C sind entsprechende Ablaufdiagramme entsprechender Verfahren zum Erzeugen eines Layoutdiagramms nach einigen Ausführungsformen.
    • 7 ist ein Blockdiagramm einer elektronischen Designautomatisierungs- (EDA) Systems nach einigen Ausführungsformen.
    • 8 ist ein Blockdiagramm eines Halbleitervorrichtungsherstellungssystems und ein IC-Herstellungsablauf, der damit assoziiert ist, nach einigen Ausführungsformen.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele verschiedene Ausführungsformen oder Beispiele zur Umsetzung verschiedener Funktionen des dargelegten Inhalts bereit. Spezifische Beispiele von Komponenten, Materialien, Werten, Schritten, Operationen, Anordnungen oder dergleichen sind nachfolgend beschrieben, um die vorliegende Offenbarung zu vereinfachen. Andere Komponenten, Werte, Operationen, Materialien, Anordnungen oder dergleichen werden betrachtet. Beispielsweise kann das Bilden eines ersten Elements oder eines zweiten Elements in der folgenden Beschreibung Ausführungsformen enthalten, bei denen die ersten und zweiten Elemente in direktem Kontakt ausgebildet sind, und sie kann außerdem Ausführungsformen enthalten, in denen weitere Elemente zwischen dem ersten und zweiten Element ausgebildet werden können, sodass die ersten und zweiten Elemente nicht in direktem Kontakt stehen müssen. Weiterhin kann die vorliegende Offenbarung Referenzziffern und/oder Buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient der Einfachheit und Klarheit und diktiert nicht für sich eine Beziehung zwischen den verschiedenen besprochenen Ausführungsformen und/oder Konfigurationen.
  • Ferner können räumlich relative Begriffe wie „unter“, „darunter“, „unterer“, „über“, „oberer“ und dergleichen hierin für eine einfachere Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem oder mehreren anderen Element(en) oder Merkmal(en) wie in den Figuren illustriert zu beschreiben. Die räumlich relativen Begriffe sollten zusätzlich zu der Ausrichtung, die in den Figuren dargestellt ist, verschiedene Ausrichtungen der Vorrichtung in Verwendung oder Betrieb umfassen. Die Vorrichtung kann anders ausgerichtet sein (um 90 Grad gedreht oder in anderen Ausrichtungen) und die räumlich relativen Bezeichner, die hierin verwendet werden, können ebenfalls entsprechend ausgelegt werden.
  • In einigen Ausführungsformen weist eine erste Funktionszelle erste Verdrahtungsstrukturen in einer ersten Metallisierungsebene auf (M_1. Ebene). Wo eine Füllerzelle an eine erste Funktionszelle angrenzt, passt eine Füllerzelleneingriffstechnik Stellen von Schnittstrukturen an, was eine Wirkung des entsprechenden Verlängerns einer oder mehr ausgewählter der ersten Verdrahtungsstrukturen hat, sodass sie sich über die zweite Grenze der ersten Funktionszelle in die Füllerzelle erstrecken. In einigen Ausführungsformen bezüglich Füllerzellen, die eine Breite eines kontaktierten Polyabstands (CPP) (Füller-1-Zellen) aufweisen, erreicht die Füllerzelleneingriffstechnik für ein typisches entsprechendes Layoutdiagramm eine Verringerung im Bereich von ca. 2,5 %. In einigen Ausführungsformen bezüglich Füllerzellen, die eine Breite von im Wesentlichen einem kontaktierten Polyabstands (CPP) (Füller-1-Zellen) aufweisen, erreicht die Füllerzelleneingriffstechnik für ein typisches entsprechendes Layoutdiagramm eine Verringerung der Gesamtdrahtlänge im Bereich von ca. 2,0 % bis ca. 2,5 %.
  • In 1 umfasst die Halbleitervorrichtung 100A unter anderem ein Schaltungsmakro (nachfolgend: Makro) 101A. In einigen Ausführungsformen ist das Makro 101A ein SRAM-Makro. In einigen Ausführungsformen ist das Makro 101A ein anderes Makro als ein SRAM-Makro. Das Makro 101A umfasst, unter anderem, eine Füllerzellenregion 102A und eine füllerangepasste Standardzellenregion 103A(1), die in einer Zeile angeordnet sind 104. Ein Beispiel von Layoutdiagrammen, die zu der Füllerzellenregion 102A und der füllerangepassten Standardzellenregion 103A(1) führen, umfassen die hierin offenbarten Layoutdiagramme. In einigen Ausführungsformen ist die Füllerzellenregion 102A eine Füller-1 Zellenregion (nachfolgend erklärt).
  • Reihe 104 erstreckt sich in eine erste Richtung. In 1 ist die erste Richtung die horizontale Richtung. Relativ zur ersten Richtung stößt an Füllerzellenregion 102A die füllerangepasste Standardzellenregion 103A(1) an einer ersten Seite der Füllerzellenregion 102A an. Die Standardzellenregion 103A(1) ist angepasst, um an die Füllerzellenregion 102A anzustoßen, und die Standardzellenregion 106A wird daher als eine füllerangepasste Standardzellenregion 103A(1) bezeichnet. In einigen Ausführungsformen umfasst das Makro 101A ferner eine optionale füllerangepasste Standardzellenregion 103A(2), an die eine zweite Seite der Füllerzellenregion 102A angrenzt. In einigen Ausführungsformen ist die erste Richtung eine andere Richtung als die horizontale Richtung. In 1 sind relativ zur horizontalen Richtung die ersten und zweiten Seiten der Füllerzellenregion 102A entsprechend die linke und rechten Seiten.
  • 2A bis 2B sind entsprechende Layoutdiagramme 201A bis 201B nach einigen Ausführungsformen.
  • Genauer zeigt Layoutdiagramm 201A aus 2A einen beispielhaften Ausgangspunkt für die Anwendung der Füllerzelleneingriffstechnik, und das Layoutdiagramm 201B sowie die Layoutdiagramme 201C bis 201G sind entsprechende Ergebnisse der Anwendung der Füllerzelleneingriffstechnik nach einigen Ausführungsformen.
  • Das Layoutdiagramm 201A umfasst eine Füllerzelle 202A und Funktionszellen 203A(1) und 203A(2) in Reihe 204. In einigen Ausführungsformen ist die Funktion der Zellen 203A(1) und/oder 203A(2) eine Boolesche Logikfunktion. In einigen Ausführungsformen ist die Funktion der Zellen 203A(1) und/oder 203A(2) eine Speicherfunktion. Ein Beispiel einer Halbleitervorrichtung, die auf Grundlage eines größeren Layoutdiagramms hergestellt wurde, das Layoutdiagramm 201A enthält, ist eine Halbleitervorrichtung 100 aus 1, wobei Zellenregionen 103(1), 121 und 103(2) den Zellen 203A(1), 202A und 203A(2) entsprechen.
  • Die Zellen 203A(1), 202A und 203A(2) sind bezüglich eines imaginären Gitters angeordnet. Das Gitter umfasst Routingspuren 205H(1), 205H(2), 205H(3), 205H(4) und 205H(5), die sich im Wesentlichen in eine erste Richtung erstrecken. In einigen Ausführungsformen ist die erste Richtung die horizontale Richtung. In einigen Ausführungsformen ist die erste Richtung die X-Achse. Die Spuren 205H(1) bis 205H(5) weisen einen ersten Spurabstand relativ zur Y-Achse auf, wobei der erste Spurabstand durch die Designregeln und Skalierung des entsprechenden Halbleiterprozesstechnologieknotens bestimmt wird. Linke und rechte grenzen (nachfolgend erklärt) der Zellen 203A(1), 202A und 203A(2) erstrecken sich im Wesentlichen in eine zweite Richtung, die im Wesentlichen rechtwinklig zur ersten Richtung ist. In einigen Ausführungsformen ist die zweite Richtung die vertikale Richtung. In einigen Ausführungsformen ist die zweite Richtung die Y-Achse. Zelle 203A(1) hat einen Umfang, der die linke Grenze 208(1) und die rechte Grenze 210(1) umfasst. Zelle 202A hat einen Umfang, der die linke Grenze 208(2) und die rechte Grenze 210(2) umfasst. Zelle 203A(2) hat einen Umfang, der die linke Grenze 208(3) und die rechte Grenze 210(3) umfasst. Die rechte Grenze 210(1) der Funktionszelle 203A(1) ist im Wesentlichen kollinear mit der linken Grenze 208(2) der Füllerzelle 202A. Die rechte Grenze 210(2) der Füllerzelle 202A ist im Wesentlichen kollinear mit der linken Grenze 208(3) der Funktionszelle 203A(2).
  • Die Funktionszelle 203A(1) umfasst rechteckige Verdrahtungsstrukturen 216A(1), 218A(1), 220A(1), 222A(1) und 224A(1), deren lange Achsen sich im Wesentlichen parallel zur X-Achse erstrecken. Die Füllerzelle 202A umfasst rechteckige Verdrahtungsstrukturen 216A(2) 218A(2), 220A(2), 222A(2) und 224A(2), deren lange Achsen sich im Wesentlichen parallel zur X-Achse erstrecken. Die Funktionszelle 203A(2) umfasst rechteckige Verdrahtungsstrukturen 216A(3), 218A(3), 220A(3), 222A(3) und 224A(3), deren lange Achsen sich im Wesentlichen parallel zur X-Achse erstrecken.
  • Lange Achsen der Verdrahtungsstrukturen 216A(1), 216A(2) und 216A(3) sind im Wesentlichen an der entsprechenden Spur 205H(1) angeordnet und werden so als Wesentlichen der Spur folgend betrachtet. Lange Achsen der Verdrahtungsstrukturen 218A(1), 218A(2) und 218A(3) sind im Wesentlichen an der entsprechenden Spur 205H(2) angeordnet und werden so als Wesentlichen der Spur folgend betrachtet. Lange Achsen der Verdrahtungsstrukturen 220A(1), 220A(2) und 220A(3) sind im Wesentlichen an der entsprechenden Spur 205H(3) angeordnet und werden so als Wesentlichen der Spur folgend betrachtet. Lange Achsen der Verdrahtungsstrukturen 222A(1), 222A(2) und 222A(3) sind im Wesentlichen an der entsprechenden Spur 205H(4) angeordnet und werden so als Wesentlichen der Spur folgend betrachtet. Lange Achsen der Verdrahtungsstrukturen 224A(1), 224A(2) und 224A(3) sind im Wesentlichen an der entsprechenden Spur 205H(5) angeordnet und werden so als Wesentlichen der Spur folgend betrachtet.
  • Verdrahtungsstrukturen 216A(1) bis 216A(3), 218A(1) bis 218A(3), 220A(1) bis 220A(3), 222A(1) bis 222A(3) und 224A(1) bin 224A(3) liegen in einer ersten Metallisierungsebene (M_1. Ebene) in Layoutdiagramm 201A entsprechend Leitern, die in einer ersten Schicht einer Metallisierung (M_1. Schicht) in einer Halbleitervorrichtung enthalten sind, die basierend auf einem größeren Layoutdiagramm hergestellt wurde, das Layoutdiagramm 2011A umfasst. In einigen Ausführungsformen ist, abhängig von der Nummerierungskonvention des entsprechenden Prozessknotens, durch den eine solche Halbleitervorrichtung hergestellt wird, die erste (1.) Schicht einer Metallisierung M_1. entweder die Metallisierungsschicht Null, Mo, oder die Metallisierungsschicht Eins, M1, und entsprechend ist eine erste Schicht (V_1.) der Zwischenverbindung (siehe 2A bis 2G) entweder VIA0 oder V1A1. In 2A bis 2B sowie 2C bis 2G, wird angenommen, dass M_1. Mo ist und dass V_1. VIA0 ist, sodass die Durchkontaktierungsstruktur 226(1) in Ebene VIA0 (siehe 2B) als eine V0-Struktur 226(1) bezeichnet wird. In einigen Ausführungsformen ist Mo die erste Schicht einer Metallisierung über einer Transistorschicht (siehe 4A bis 4B, nachfolgend erklärt), in der Transistoren gebildet sind.
  • Es wird angenommen, dass die Prozessknoten, durch die eine Halbleitervorrichtung basierend auf einem größeren Layoutdiagramm hergestellt ist, das Layoutdiagramm 201A umfasst, Mehrfachstrukturierung verwendet, z. B. Doppelstrukturierung, Lithographie. Dementsprechend sind die Verdrahtungsstrukturen 216A(1) bis 216A(3), 220A(1) bis 220A(3) und 224A(1) bis 224A(3) in grüner Farbe dargestellt, während die Verdrahtungsstrukturen 218A(1) bis 218A(3) und 222A(1)222A(3) in roter Farbe dargestellt sind.
  • Relativ zur X-Achse sind Breiten entsprechender Verdrahtungsstrukturen 216A(1) bis 216A(3), 218A(1) bis 218A(2), 220A(1) bis 220A(3), 222A(1) bis 222A(2) und 224A(1) bis 224A(3) kleiner als eine Breite entsprechender Zellen 203A(1), 202A und 203A(2). Dementsprechend sind Breiten entsprechender Verdrahtungsstrukturen 216A(1) bis 216A(3), 218A(1) bis 218A(2), 220A(1) bis 220A(3), 222A(1) bis 222A(2) und 224A(1) bis 224A(3) als Intrazelle beschrieben.
  • Genauer legt in dem Beispiel aus 2A, sofern kein Grund für etwas anderes vorliegt, wie etwa ein Routingkonflikt, eine erste Designregel eine Standardlänge von Intrazellverdrahtungsstrukturen (z. B. Struktur 216A(1)) mit einer maximalen Intrazelllänge in der horizontalen Richtung, sodass eine Halbleitervorrichtung, die basierend auf dem entsprechenden Layoutdiagramm (z. B. Layoutdiagramm 201A) hergestellt wurde, eine entsprechend erhöhte strukturelle Dichte aufweist. Eine solche Halbleitervorrichtung kann schneller planarisiert werden, z. B. weil die erhöhte strukturelle Dichte Unregelmäßigkeiten der Oberflächentopologie verringert. In einigen Ausführungsformen ist die maximale Länge (LMAX) im Wesentlichen gleich wie die Differenz zwischen einer Breite der Zelle (LW) und zweimal dem Mindestgrenzabstand (LOFF) bezüglich einer linken/rechten Grenze einer Zelle, sodass LMAX ≈ LW-2*LOFF. Der Mindestgrenzabstand LOFF wird durch die Designregeln und die Größenordnung des entsprechenden Halbleiterprozesstechnologieknotens bestimmt.
  • In einigen Ausführungsformen umfasst jede der Zellen 203A(1) bis 203A(2) Strukturen in einer entsprechenden Transistorebene (nicht dargestellt, aber siehe 4A bis 4B). In einigen Ausführungsformen umfasst die Transistorebene jeder der Zellen 203A(1) bis 203A(2) umfasst entsprechende Unterebenen (nicht dargestellt). Die Unterebenen umfassen Komponentenstrukturen (nicht dargestellt), die Komponenten, z. B. Transistoren, eines Schaltkreises entsprechen, die aus einem größeren Layoutdiagramm entstehen würden, das Layoutdiagramm 201A umfasst.
  • In einigen Ausführungsformen ist die Transistorebene jeder der Zellen 203A(1) bis 203A(2) für eine CMOS-Konfiguration vorgesehen, sodass eine Halbleitervorrichtung, die basierend auf einem Layoutdiagramm hergestellt wurde, das die Zellen 203A(1) bis 203A(2) enthält, eine CMOS-Vorrichtung wäre. Wenn sie für die CMOS-Konfiguration vorgesehen ist, ist jede der Zellen 203A(1) bis 203A(2) in einen ersten Bereich (nicht dargestellt), der für die PMOS-Konfiguration vorgesehen ist, und einen zweiten Bereich (nicht dargestellt), der für die NMOS-Konfiguration vorgesehen ist, organisiert. Details zur CMOS-Konfiguration und der entsprechenden Herstellung finden sich z. B. in U.S.-Patent US 8 786 019 B2 , erteilt am 22. Juli 2014, dessen Gesamtheit hierin durch Verweis eingeschlossen ist. In einigen Ausführungsformen ist die Transistorebene jeder der Zellen 203A(1) bis 203A(2) die PMOS-Konfiguration und nicht für die CMOS-Konfiguration vorgesehen. In einigen Ausführungsformen ist die Transistorebene jeder der Zellen 203A(1) bis 203A(2) für die NMOS-Konfiguration und nicht für die CMOS-Konfiguration vorgesehen.
  • In einigen Ausführungsformen nimmt 2A weitere M0-Verdrahtungsstrukturen (nicht dargestellt) an, die Leistungsgitter- (PG) Strukturen sind, die Abschnitte längerer entsprechender Leistungsgitterleitungen (nicht dargestellt) einer Halbleitervorrichtung darstellen, die basierend auf Layoutdiagramm 201A hergestellt wurde. In einigen Ausführungsformen ist eine erste der PG-Strukturen für eine erste Referenzspannung vorgesehen und eine zweite der PG-Strukturen ist für eine zweite Referenzspannung vorgesehen. In einigen Ausführungsformen ist die erste Referenzspannung VDD und die zweite Referenzspannung ist VSS.
  • Wenn es Verdrahtungsstrukturen gibt, die im Wesentlichen der Spur folgen, verlangt eine zweite Designregel für den Prozessknoten, der mit der Zelle assoziiert ist, (relativ zur X-Achse) eine Mindestspalte (End-zu-End-Spalte) zwischen Enden von im Wesentlichen der Spur folgend ausgerichteten Verdrahtungsstrukturen. Die Mindestspalte End-zu-End-Spalte wird durch die Designregeln und die Größenordnung des entsprechenden Halbleiterprozesstechnologieknotens bestimmt. In einigen Ausführungsformen wird die Mindestspalte unter Verwendung von Schnittstrukturen erreicht. Allgemein wird, wenn eine betroffene Struktur unter einer bestimmten Schnittstruktur liegt, sodass ein Abschnitt der betroffenen Struktur durch die jeweilige Schnittstruktur überlappt wird, die bestimmte Schnittstruktur verwendet, um anzuzeigen, dass der überlappte Abschnitt der betroffenen Struktur während der Herstellung der betroffenen Halbleitervorrichtung schließlich entfernt wird.
  • Zelle 203A(1) umfasst eine erste Gruppe von Schnittstrukturen 217A(1), 219A(1), 221A(1), 223A(1) und 225A(1), und eine zweite Gruppe von Schnittstrukturen 217A(2), 219A(2), 221A(2), 223A(2) und 225A(2). Relativ zur X-Achse überlappt die erste Gruppe von Schnittstrukturen die rechte Grenze 210(1) der Funktionszelle 203A(1) und die linke Grenze 208(2) der Füllerzelle 202A. In einigen Ausführungsformen sind die langen Achsen der Schnittstrukturen in der ersten Gruppe im Wesentlichen an einer Symmetrieachse ausgerichtet, die durch die im Wesentlichen kollineare rechte Grenze 210(1) der Funktionszelle 203A(1) und die linke Grenze 208(2) der Füllerzelle 202A dargestellt ist. Zelle 203A(1) umfasst ferner eine dritte Gruppe von Schnittstrukturen (nicht dargestellt), wobei die langen Achsen der Schnittstrukturen in der dritten Gruppe im Wesentlichen an einer Symmetrieachse ausgerichtet sind, die im Wesentlichen durch die linke Grenze 208(1) der Funktionszelle 203A(1) dargestellt ist. Relativ zur X-Achse überlappt die zweite Gruppe von Schnittstrukturen die rechte Grenze 210(2) der Füllerzelle 202A und die linke Grenze 208(3) der Funktionszelle 203A(2). In einigen Ausführungsformen sind die langen Achsen der Schnittstrukturen in der ersten Gruppe im Wesentlichen an einer Symmetrieachse ausgerichtet, die durch die im Wesentlichen kollineare rechte Grenze 210(2) der Füllerzelle 202A und die linke Grenze 208(3) der Funktionszelle 203A dargestellt ist(2). Zelle 203A(2) umfasst ferner eine vierte Gruppe von Schnittstrukturen (nicht dargestellt), wobei die langen Achsen der Schnittstrukturen in der vierten Gruppe im Wesentlichen an einer Symmetrieachse ausgerichtet sind, die im Wesentlichen durch die rechte Grenze 210(3) der Funktionszelle 203A(2) dargestellt ist.
  • Die erste Gruppe von Schnittstrukturen, die (erneut) M0-Verdrahtungsstrukturen 216A(1), 218A(1), 220A(1), 222A(1) und 224A(1) entspricht, führt zu der Mindestspalte zwischen entsprechenden M0-Verdrahtungsstrukturen 216A(1) & 216A(2), 218A(1) & 218A(2), 220A(1) & 220A(2), 222A(1) & 222A(2) und 224A(1) & 224A(2). Die zweite Gruppe von Schnittstrukturen, die (erneut) M0-Verdrahtungsstrukturen 216A(3), 218A(3), 220A(3), 222A(3) und 224A(3) entspricht, führt zu der Mindestspalte zwischen entsprechenden M0-Verdrahtungsstrukturen 216A(2) & 216A(3), 218A(2) & 218A(3), 220A(2) & 220A(3), 222A(2) & 222A(3) und 224A(2) & 224A(3). Ein anderes Ergebnis der ersten und zweiten Gruppen von Schnittstrukturen ist, dass jede der M0-Verdrahtungsstrukturen 216A(2), 218A(2), 220A(3), 222A(3) und 224A(3) bezüglich der Füllerzelle 202A eine Intrazelle ist.
  • In 2A weist die Füllerzelle 202A relativ zur X-Achse eine Breite von Wesentlichen einem kontaktierten Polyabstand (CPP) auf und wird alternativ als eine Füller-1-Zelle bezeichnet. Als eine Messeinheit wird CPP durch die Designregeln und die Größenordnung des entsprechenden Halbleiterprozesstechnologieknotens bestimmt. In einigen Ausführungsformen weist die Füllerzelle 202A eine Breite von im Wesentlichen mindestens zwei positiv ganzzahligen Vielfachen von CPP auf. Wenn etwa Füllerzelle 202A eine Breite von im Wesentlichen 8CPP aufweist, würde Füllerzelle 202A alternativ als eine Füller-8-Zelle bezeichnet.
  • Bezüglich 2B ist das Layoutdiagramm 201B ähnlich wie das Layoutdiagramm 201A. 2B folgt einer ähnlichen Nummerierungskonvention wie 2A. Dementsprechend unterscheiden sich jedoch auch einigen Komponenten. Um zu helfen, Komponenten zu identifizieren, die sich entsprechen, aber dennoch Unterschiede aufweisen, verwendet die Nummerierungskonvention ein alphabetisches Zeichen. Beispielsweise sind Struktur 216B(1) in 2B und Struktur 216A(1) in 2A beide Verdrahtungsstrukturen, deren Ähnlichkeiten durch die gemeinsame Wurzel 216_ und die gemeinsame Ziffer in Klammern
    Figure DE102019129048B4_0001
    (1) widergespiegelt werden, während die Unterschiede in den alphabetischen Zeichen
    Figure DE102019129048B4_0002
    B(_) und
    Figure DE102019129048B4_0003
    A(_) dargestellt sind. Um der Kürze willen konzentriert sich die Erklärung mehr auf Unterschiede zwischen 2B und 2A als auf Ähnlichkeiten.
  • Zelle 202B umfasst ferner eine rechteckige Verdrahtungsstruktur 228(1), und eine Durchkontaktierungsstruktur 226(1). Eine lange Achse der Verdrahtungsstruktur 228(1) erstreckt sich im Wesentlichen parallel zur Y-Achse. Genauer ist die lange Achse der Verdrahtungsstruktur 228(1) ist im Wesentlichen an einer langen Symmetrieachse der Füllerzelle 202A ausgerichtet. Die Verdrahtungsstruktur 228A entspricht einem Leiter in einer zweiten Schicht einer Metallisierung, M_2., in der Halbleitervorrichtung, die basierend auf einem größeren Layoutdiagramm hergestellt wurde, das Layoutdiagramm 201B umfasst. Die Durchkontaktierungsstruktur 226(1) entspricht einer Durchkontaktierungsstruktur in der V_1. Ebene der Verbindungsstrukturen zwischen den Schichten M_1. und M_2. in der Halbleitervorrichtung, die basierend auf einem größeren Layoutdiagramm hergestellt wurde, das Layoutdiagramm 201A umfasst. In dem Beispiel von 2B wird, weil M_1. als Mo angenommen wird, die M_2. Ebene als M1 angenommen.
  • Die Durchkontaktierungsstruktur 226(1) befindet sich an der Schnittstelle der langen Symmetrieachse der Füllerzelle 202A und Spur 205H(1), sodass die Durchkontaktierungsstruktur 226(1) die M0-Verdrahtungsstruktur 216B(1) überlappt. In dem Beispiel von 2B wird angenommen, dass eine durchkontaktierungsbasierte Koppelung zwischen den M1-Verdrahtungsstruktur 228(1) und der M0-Verdrahtungsstruktur 216B(1) der Funktionszelle 203A(1) erfolgt. In einigen Ausführungsformen wird die durchkontaktierungsbasierte Koppelung zwischen der M1 Verdrahtungsstruktur 228(1) und der Funktionszelle 203A(1) hergestellt, indem die Durchkontaktierungsstruktur 226(1) an der Schnittstelle der langen Symmetrieachse der Füllerzelle 202A und Spur 205H(3) platziert wird, sodass Durchkontaktierungsstruktur 226(1) die Mo-Verdrahtungsstruktur 220B(1) überlappt, oder an der Schnittstelle mit Spur 205H(5), sodass die Durchkontaktierungsstruktur 226(1) die M0-Verdrahtungsstruktur 224B(1) überlappt. In einigen Ausführungsformen wird stattdessen die Durchkontaktierungsstruktur 226(1) verwendet, um eine durchkontaktierungsbasierte Koppelung zwischen der M1 Verdrahtungsstruktur 228(1) und der Funktionszelle 203A(3) hergestellt, indem die Durchkontaktierungsstruktur 226(1) an der Schnittstelle der langen Symmetrieachse der Füllerzelle 202A und Spur 205H(2) platziert wird, sodass Durchkontaktierungsstruktur 226(1) die M0-Verdrahtungsstruktur 218B(3) überlappt, oder an der Schnittstelle mit Spur 205H(4), sodass die Durchkontaktierungsstruktur 226(1) die M0-Verdrahtungsstruktur 222B(3) überlappt.
  • In Layoutdiagramm 201B gibt es keine Schnittstrukturen, die den Schnittstrukturen 217A(1), 221A(1) und 225A(1) von Layoutdiagramm 201A entsprechen, was eine Wirkung hat, die der Verlängerung der M0-Verdrahtungsstrukturen 216B(1), 220B(1) und 224B(1) über die Seitengrenze 210(4) der Zelle 203B(1) hinaus in die Zelle 202B hinein, und der entsprechenden Eliminierung der M0-Verdrahtungsstrukturen 216A(2), 220A(2) und 224A(2) von Layoutdiagramm 201A entspricht. Durch Erweiterung/Verlängerung der M0-Verdrahtungsstrukturen 216B(1), 220B(1) und 224B(1) werden alternativ die M0-Verdrahtungsstrukturen 216B(1), 220B(1) und 224B(1) als in die Füllerzelle 202A eingreifend dargestellt. Daher wird die entsprechende Erweiterung/Verlängerung als eine Füllerzelleneingriffstechnik bezeichnet. Außerdem wurden relativ zur im Wesentlichen kollinearen rechten Grenze 210(5) der Füllerzelle 202B und der linken Grenze 208(6) der Funktionszelle 203B(2), die Schnittstrukturen 217B(2), 221B(2) und 225B(2) im Vergleich mit dem Layoutdiagramm 201A aus 2A nach rechts verschoben. Relativ zur Funktionszelle 203A(1) sind M0-Verdrahtungsstrukturen im Wesentlichen an ungeraden Spuren ausgerichtet, namentlich wurden die M0-Verdrahtungsstrukturen 216B(1), 220B(1) und 224B(1), die im Wesentlichen an den entsprechenden Spuren 205H(1), 205H(3) und 205H(5) ausgerichtet sind, durch die Verschiebung der entsprechenden Schnittstrukturen 217B(2), 221B(2) und 2258(2) nach rechts erweitert/verlängert.
  • Ähnlich gibt es im Layoutdiagramm 201B keine Schnittstrukturen, die den Schnittstrukturen 219A(2), und 223A(2) von Layoutdiagramm 201A entsprechen, was eine Wirkung hat, die der Verlängerung der M0-Verdrahtungsstrukturen 218B(2) und 222B(2) über die Seitengrenze 208(6) der Zelle 203B(2) hinaus in die Zelle 202B hinein, und der entsprechenden Eliminierung der M0-Verdrahtungsstrukturen 218A(2) und 222A(2) von Layoutdiagramm 201A entspricht. Durch Erweiterung/Verlängerung der M0-Verdrahtungsstrukturen 218B(3) und 223B(3) werden alternativ die M0-Verdrahtungsstrukturen 218B(3) und 222B(3) als in die Füllerzelle 202A eingreifend dargestellt. Daher wird die entsprechende Erweiterung/Verlängerung als eine Füllerzelleneingriffstechnik bezeichnet. Außerdem wurden relativ zur im Wesentlichen kollinearen rechten Grenze 210(4) der Funktionszelle 2038(1) und der linken Grenze 208(5) der Füllerzelle 202B, die Schnittstrukturen 219B(1) und 223B(1) nach links verschoben. Relativ zur Funktionszelle 203A(2) sind die M0-Verdrahtungsstrukturen im Wesentlichen an geraden Spuren ausgerichtet, namentlich wurden die M0-Verdrahtungsstrukturen 218B(3) und 222B(3), die im Wesentlichen an den entsprechendes Spuren 205H(2) und 205H(4) ausgerichtet sind, durch die Verschiebung der entsprechenden Schnittstrukturen 219B(1) und 223B(1) nach links erweitert/verlängert.
  • In einigen Ausführungsformen erreicht die Füllerzelleingriffstechnik bezüglich Füllerzellen, die eine Breite von einem kontaktierten Polyabstand (CPP) aufweisen (Füller-1-Zellen), z. B. Füllerzelle 202B, für ein typisches entsprechendes Layoutdiagramm eine Verringerung im Bereich von ca. 2,5 %. In einigen Ausführungsformen bezüglich Füllerzellen, die eine Breite von einem kontaktierten Polyabstands (CPP) (Füller-1-Zellen) aufweisen, z. B. Füllerzelle 202B, erreicht die Füllerzelleneingriffstechnik für ein typisches entsprechendes Layoutdiagramm eine Verringerung der Gesamtdrahtlänge im Bereich von ca. 2,0 % bis ca. 3,0 %.
  • In 2B definieren die rechten Enden der entsprechenden M0-Verdrahtungsstrukturen 2618(1), 2186(1), 2206(1), 222B(1) und 224B(1), relativ zur X-Achse, ein erstes Profil, und die linken Enden der entsprechenden M0-Verdrahtungsstrukturen 2616(2), 218B(2), 220B(2), 222B(2) und 224B(2) definieren ein zweites Profil. In dem Beispiel von 2B ist das erste Profil eine im Wesentlichen komplementäre Form bezüglich des zweiten Profils, und umgekehrt.
  • 2C bis 2G sind entsprechende Layoutdiagramme 201C bis 201F nach einigen Ausführungsformen.
  • Genauer sind die Layoutdiagramme 201C bis 201G entsprechende Ergebnisse der Anwendung der Füllerzelleneingriffstechnik auf das Layoutdiagramm 201A aus 2A nach einigen Ausführungsformen.
  • Die Layoutdiagramme 201C bis 201G folgen einer Nummerierungskonvention, die ähnlich wie die der Layoutdiagramme 201A bis 201B ist.
  • Bezüglich 2C gibt es keine Schnittstruktur in Layoutdiagramm 201C, die der Schnittstruktur 221A(2) von Layoutdiagramm 201A in 2A entspricht, wobei letztere auch der Schnittstruktur 221B(2) aus Layoutdiagramm 201B in 2B entspricht, die die Wirkung hat, die M0-Verdrahtungsstruktur 220C(1) über die Seitengrenze 210(4) der Zelle 203B(1) in die Füllerzelle 202B und weiter über die Seitengrenzen 210(5) und 208(5) in die Funktionszelle 203C(2) zu erweitern, und die M0-Verdrahtungsstrukturen 220A(2) und 220A(3) von Layoutdiagramm 201A entsprechend zu eliminieren. In einigen Ausführungsformen sind eine oder mehr der Schnittstrukturen 219C(1), 223C(1) oder 225C(2) nicht in dem Layoutdiagramm 201C enthalten.
  • Bezüglich 2D gibt es keine Schnittstrukturen in Layoutdiagramm 201D, die Schnittstrukturen 217A(1), 219A(1), 221A(1), 223A(1) und 225A(1) von Layoutdiagramm 201A entsprechen, was eine entsprechende Wirkung hat, die M0-Verdrahtungsstrukturen 216D(1), 218D(1), 220D(1), 222D(1) und 224D(1) über die Seitengrenze 210(10) der Zelle 203D(1) in die Zelle 202D zu erweitern und entsprechend die M0-Verdrahtungsstrukturen 216A(2), 218A(2), 220A(2), 222A(2) und 224A(2) von Layoutdiagramm 201A zu eliminieren. Außerdem wurden relativ zur im Wesentlichen kollinearen rechten Grenze 210(11) der Füllerzelle 202D und linken Grenze 208(12) der Funktionszelle 203D(2), die Schnittstrukturen 217D(2), 219D(2), 221D(2), 223D(2) und 225D(2) im Vergleich mit dem Layoutdiagramm 201A aus 2A nach rechts verschoben. In einigen Ausführungsformen gibt es in Layoutdiagramm 201D keine Schnittstrukturen, die den Schnittstrukturen 217A(2), 219A(2), 221A(2), 223A(2) und 225A(2) von Layoutdiagramm 201A entsprechend, sodass die Schnittstrukturen 217D(1), 219D(1), 221D(1), 223D(1) und 2250(1) relativ zur im Wesentlichen kollinearen rechten Grenze 210(11) der Füllerzelle 202D und linken Grenze 208(12) der Funktionszelle 203D(2), sind im Vergleich mit 201A aus 2A scheinbar nach rechts verschoben.
  • Bezüglich 2E gibt es keine Schnittstruktur in Layoutdiagramm 201E, die der Schnittstruktur 217A(2) von Layoutdiagramm 201A in 2A entspricht, wobei letztere auch der Schnittstruktur 217D(2) aus Layoutdiagramm 201D in 2D entspricht, die die Wirkung hat, die M0-Verdrahtungsstruktur 216E(1) über die Seitengrenze 210(10) der Zelle 203E(1) in die Füllerzelle 202E und weiter über die Seitengrenzen 210(11) und 208(12) in die Funktionszelle 203E(2) zu erweitern, und die M0-Verdrahtungsstrukturen 220A(2) und 220A(3) von Layoutdiagramm 201A entsprechend zu eliminieren. In einigen Ausführungsformen sind eine oder mehr der Schnittstrukturen 2190(1), 223D(1) oder 225D(2) nicht in dem Layoutdiagramm 201E enthalten.
  • Bezüglich 2F weist Layoutdiagramm 201F einen größeren Umfang auf als die Layoutdiagramme 201A bis 201E der entsprechenden 2A bis 2E. So umfasst das Layoutdiagramm Instanzen (nicht nummeriert) der Reihe 204. Weiter umfasst jede Instanz der Reihe 204 in Layoutdiagramm 201F mehrere Umstände, in denen an eine Füllerzelle links und rechts entsprechende Funktionszellen anstoßen. In Layoutdiagrammen gibt es Füllerzellen mehrere Breiten. Beispielsweise ist die Füllerzelle 202F(1) eine Füller-1-Zelle mit einer Breite von im Wesentlichen CPP, Füllerzelle 202F(2) ist eine Füller-2-Zelle mit einer Breite vom im Wesentlichen 2CPP, Füllerzelle 202F(3) ist eine Füller-8-Zelle mit einer Breite von im Wesentlichen 8CPP, und Füllerzelle 202F(4) ist eine Füllerzelle mit einer Breite von im Wesentlichen 10CPP.
  • Bezüglich 2G ist Layoutdiagramm 201G eine Explosionsansicht des Abschnitts der Reihe in Layoutdiagramm 201F, in der sich Füllerzelle 202F(1) befindet. Relativ zur Füllerzelle 202F(1) umfasst das Layoutdiagramm 201G eine links anstoßende Funktionszelle 203F(1) und eine rechts anstoßende Funktionszelle 203F(2).
  • 3A ist ein Ablaufdiagramm eines Verfahrens 300A nach einigen Ausführungsformen.
  • Verfahren 300A ist ein Verfahren zum Erzeugen eines Layoutdiagramms. Verfahren 300A umfasst Blocks 302 bis 308. Blocks 302 bis 308 entsprechen dem Block 502 aus 5. In Block 308 wird die Füllerzelleneingriffstechnik auf das Layoutdiagramm angewendet. Block 308 ist bezüglich der Ablaufdiagramme aus 6A bis 6C genauer beschrieben.
  • In Block 302 sind die Zellen in entsprechenden Zeilen in einem Layoutdiagramm platziert. Vom Block 302 aus geht der Ablauf bei Block 304 weiter. In Block 304 werden Füllerzellen zu dem Layoutdiagramm hinzugefügt/in dieses eingefügt, um den Leerraum in den entsprechenden Zeilen zu verringern. Vom Block 304 aus geht der Ablauf bei Block 306 weiter. In Block 306 erfolgt Mo-Ebenenrouting. In einigen Ausführungsformen wird eine Designregel bezüglich eines/einer minimal zulässigen Abstands/Trennung betrachtet, z. B. in der Richtung der X-Achse, zwischen Schnitt-M0- (CM0) Strukturen. Vom Block 306 aus geht der Ablauf bei Block 308 weiter. In Block 308 wird die Füllzelleneingriffstechnik ausgeführt, um die Routingfähigkeit zu verbessern. In einigen Ausführungsformen wird die Füllerzelleneingriffstechnik ausgeführt, um Verletzungen der Designregel bezüglich Mindest-CMo-Abstand/Trennung zu vermeiden. Vom Block 308 aus springt der Ablauf zu Block 306 zurück.
  • 3B bis 3E sind entsprechende Kurven 300B bis 300E, die Verbesserungen zeigen, nach einigen Ausführungsformen.
  • In 3B zeigt Kurve 300B die Plots 350 und 352 von Bereich (X-Achse) im Vergleich mit der Zählung von Designregelchecker- (DRC) Verletzungen bezüglich Mo-Routing für Füller-1-Zellen, die mit einer Geschwindigkeit von ca. 1x laufen, wobei x eine Einheit der Geschwindigkeitsmessung ist. Plot 350 stellt Layoutdiagramme dar, die nach einem anderen Ansatz erzeugt sind, wobei solche Layoutdiagramme Füller-1-Zellen umfassen, wobei anstoßende Funktionszellen in M0-Verdrahtungsstrukturen eingreifen. Plot 352 stellt Layoutdiagramme dar, die nach einer oder mehr Ausführungsformen erzeugt wurden, wobei solche Layoutdiagramme Füller-1-Zellen umfassen, in denen nach der Füllerzelleneingriffstechnik verlängerte Mo-Verdrahtungsstrukturen von einer oder mehr anstoßenden Funktionszellen eingreifen. Plot 352 zeigt eine Verringerung im Bereich von ca. 2,5 %, und eine Verringerung der DRC-Verletzungsanzahl, aus der Füllerzelleneingriffstechnik.
  • In 3C zeigt Kurve 300C die Plots 353 und 356 von Bereich (X-Achse) im Vergleich mit der Zählung von Designregelchecker- (DRC) Verletzungen bezüglich Mo-Routing für Füller-1-Zellen, die mit einer Geschwindigkeit von ca. 1,05X laufen, wobei x eine Einheit der Geschwindigkeitsmessung ist. Plot 354 stellt Layoutdiagramme dar, die nach einem anderen Ansatz erzeugt sind, wobei solche Layoutdiagramme Füller-1-Zellen umfassen, wobei anstoßende Funktionszellen in M0-Verdrahtungsstrukturen eingreifen. Plot 356 stellt Layoutdiagramme dar, die nach einer oder mehr Ausführungsformen erzeugt wurden, wobei solche Layoutdiagramme Füller-1-Zellen umfassen, in denen nach der Füllerzelleneingriffstechnik verlängerte Mo-Verdrahtungsstrukturen von einer oder mehr anstoßenden Funktionszellen eingreifen. Plot 356 zeigt Verbesserungen ähnlich wie die Verbesserungen, die Plot 352 aus 3B zeigt.
  • In 3D zeigt Kurve 300F Plots 358 und 360 aus Bereich (X-Achse) im Vergleich mit der Gesamtdrahtlänge für die Ebenen M0 und M1 für Füller-1-Zellen. Plot 358 stellt Layoutdiagramme dar, die nach einem anderen Ansatz erzeugt sind, wobei solche Layoutdiagramme Füller-1-Zellen umfassen, wobei anstoßende Funktionszellen in M0-Verdrahtungsstrukturen eingreifen. Plot 360 stellt Layoutdiagramme dar, die nach einer oder mehr Ausführungsformen erzeugt wurden, wobei solche Layoutdiagramme Füller-1-Zellen umfassen, in denen nach der Füllerzelleneingriffstechnik verlängerte M0-Verdrahtungsstrukturen von einer oder mehr anstoßenden Funktionszellen eingreifen. Plot 360 zeigt eine Verringerung der Drahtlänge in einem Bereich von ca. 2,0 % bis ca. 3,0 % aus der Füllerzelleneingriffstechnik.
  • In 3E zeigt Kurve 300G Plots 362 und 364 aus Bereich (X-Achse) im Vergleich mit der Gesamtdrahtlänge für die Ebene M0 für Füller-1-Zellen. Plot 362 stellt Layoutdiagramme dar, die nach einem anderen Ansatz erzeugt sind, wobei solche Layoutdiagramme Füller-1-Zellen umfassen, wobei anstoßende Funktionszellen in M0-Verdrahtungsstrukturen eingreifen. Plot 364 stellt Layoutdiagramme dar, die nach einer oder mehr Ausführungsformen erzeugt wurden, wobei solche Layoutdiagramme Füller-1-Zellen umfassen, in denen nach der Füllerzelleneingriffstechnik verlängerte M0-Verdrahtungsstrukturen von einer oder mehr anstoßenden Funktionszellen eingreifen. Plot 364 zeigt eine Erhöhung der Drahtlänge in der Mo-Ebene um einen Faktor von ca. 1,7, entstehend aus der Füllerzelleneingriffstechnik, was eine Erhöhung der Routingfähigkeit der Ebene M0 widerspiegelt.
  • 4A bis 4B sind entsprechende Querschnitte jeweiliger Abschnitte einer Zellenregion einer Halbleitervorrichtung nach einigen Ausführungsformen.
  • 4A bis 4B sind entsprechende Querschnitte 419A und 429B jeweiliger Abschnitte einer Zellenregion einer Halbleitervorrichtung nach einigen Ausführungsformen.
  • Die Querschnitte 429A bis 429B sind entsprechende Abschnitte einer Zellenregion einer Halbleitervorrichtung, die basierend auf einem größeren Layoutdiagramm hergestellt ist, das ein kleineres Layoutdiagramm umfasst, wie etwa die hierin offenbarten Layoutdiagramme, z. B. Layoutdiagramm 201B aus 2B, die Zelle 202B umfasst. So sind Querschnitte 429A bis 429B Beispiele für Abschnitte der entsprechenden Zellenregionen 103(1) und 102 der Halbleitervorrichtung 100 aus 1.
  • Querschnitte 429A bis 429B folgen einem ähnlichen Nummerierungsschema wie Layoutdiagramm 201B aus 2B. Dementsprechend unterscheiden sich jedoch auch einigen Komponenten. Um bei der Identifizierung von Komponenten zu helfen die sich entsprechen, aber dennoch Unterschiede aufweisen, verwendet die Nummerierungskonvention 4-Reihenzahlen für Querschnitte 429A bis 429B, während das Layoutdiagramm 201B 2-Reihenzahlen verwendet. Beispielsweise entspricht das Mo-Segment 418B(2) in 4B der M0-Verdrahtungsstruktur 218B(2) in 2I(1), wobei die Ähnlichkeiten in der gemeinsamen Wurzel _18(_) und der gemeinsamen Zahl in Klammern
    Figure DE102019129048B4_0004
    (2) widergespiegelt werden, und die Unterschiede in der entsprechenden führenden Ziffer 4
    Figure DE102019129048B4_0005
    (_) und 2
    Figure DE102019129048B4_0006
    (_) dargestellt ist. Um der Kürze willen konzentriert sich die Erklärung mehr auf Unterschiede zwischen 4A bis 4B und 2I(1) als auf die Ähnlichkeiten.
  • In 4A bis 4B umfasst jeder der Querschnitte 429A bis 429B Schichten 441, 443, 445, 447, 449, 451 und 453. Schicht 443 ist auf Schicht 441 gebildet. Schicht 445 ist auf Schicht 443 gebildet. Schicht 447 ist auf Schicht 445 gebildet. Schicht 449 ist auf Schicht 447 gebildet. Schicht 451 ist auf Schicht 449 gebildet. Schicht 453 ist auf Schicht 451 gebildet.
  • Schicht 441 ist eine Substratschicht. Zusammen stellen die Schichten 443, 445 und 447 eine Transistorschicht dar, in der Transistoren gebildet sind. Schicht 443 ist eine aktive Bereichsschicht. Schicht 445 ist eine MG/GATE Schicht. Schicht 447 ist eine VD/VG Schicht.
  • In Querschnitte 429A bis 429B stellt Schicht 449 eine erste Schicht einer Metallisierung, M_1., in einer Halbleitervorrichtung dar, die basierend auf einem größeren Layoutdiagramm hergestellt wurde, das ein kleineres Layoutdiagramm umfasst, z. B. Layoutdiagramm 201B aus 2B. Um der Konsistenz mit Layoutdiagramm 201B willen ist die M_1. Schicht M0. Schicht 451 stellt eine Zwischenverbindungsschicht dar, die zwischen Schicht M0 449 und Schicht M1 453 der Metallisierung eingesetzt ist.
  • Bezüglich Schicht 443 in 4A umfasst der Querschnitt 429A die Finne 430(1). Bezüglich Schicht 443 in 4B umfasst der Querschnitt 429B die Finne 430(1) und ein Zwischenschichtdielektrikum (ILD) 444. In 4A bis 4B stellt die Finne 430(1) ein aktive Bereichsstruktur dar, die nach einer finFET-Transistortechnologie konfiguriert ist. In einigen Ausführungsformen ist die aktive Bereichsstruktur nach Nanodraht konfiguriert. In einigen Ausführungsformen ist die aktive Bereichsstruktur nach Nanoblatttransitortechnologie konfiguriert. In einigen Ausführungsformen ist die aktive Bereichsstruktur nach Gate-All-Around- (GAA) Transistortechnologie konfiguriert. In einigen Ausführungsformen ist die aktive Bereichsstruktur nach planarer Transitortechnologie konfiguriert.
  • Bezüglich Schicht 445 in 4A umfasst der Querschnitt 429A MD-Segmente 434(1) und 434(2), und Gatesegment 432(2). Bezüglich Schicht 445 in 4B, umfasst Querschnitt 429B das MD-Segment 434(5) und ILD 446. Eine MD-Struktur, z. B. 434(3), ist eine Kontaktstruktur, die sich elektrisch mit einer darunterliegenden Source-/Drainregion eines Transistors koppelt (nicht dargestellt), z. B. dem entsprechenden darunterliegenden Abschnitt der Finne 430(1), mit einer entsprechenden VD-Struktur, z. B. 438.
  • Bezüglich Schicht 447 in 4A umfasst Querschnitt 429A eine VG-Struktur 440 und ILD 448. Eine VG-Struktur, z. B. 440, ist eine Kontaktstruktur, die elektrisch eine darunterliegenden Gatestruktur, z. B. 432(1), eines Transistors (nicht dargestellt) mit einem entsprechenden Mo-leitfähigen Segment, z. B. 418B(1) koppelt. Bezüglich Schicht 447 in 4B umfasst Querschnitt 429B die VD-Struktur 438 und ILD 448. Eine VGG-Struktur, z. B. 438, ist eine Kontaktstruktur, die elektrisch eine darunterliegenden MD-Struktur, z. B. 434(3), mit einem entsprechenden Mo-leitfähigen Segment, z. B. 416B(1) koppelt. In 4B stellen die MD-Struktur 434(3) und die VD-Struktur 438 gemeinsam eine kombinierte leitfähige Struktur dar, die elektrisch ein leitfähiges Mo-Segment, z. B. 416B(1), mit einer darunterliegenden Source-/Drain-Region koppelt, z. B. dem entsprechenden darunterliegenden Abschnitt der Finne 430(1).
  • Bezüglich Schicht 449 in 4A umfasst der Querschnitt 429A das leitfähige Mo-Segment 418B(1). Bezüglich Schicht 449 in 4B umfasst der Querschnitt 429B die leitfähigen Mo-Segmente 418B(2) und 4166(2) und ILD 450.
  • Bezüglich Schicht 451 in 4A umfasst der Querschnitt 429A ILD 452. Bezüglich Schicht 451 in 4B umfasst der Querschnitt 429B die Vo-Struktur 426 und ILD 452.
  • Bezüglich Schicht 453 in 4A umfasst der Querschnitt 429A ILD 454. Bezüglich Schicht 453 in 4B umfasst der Querschnitt 429B umfasst das leitfähige M1-Segment 428(1).
  • Nach einem anderen Ansatz umfasst im Wesentlichen die gesamte Füllerzellenregion keine aktiven Vorrichtungen, z. B. Transistoren. Nach einem anderen Ansatz umfasst im Wesentlichen keine Füllerzellenregion eine Kombination einer MD-Struktur und einer VD-Struktur, die elektrisch ein leitfähiges Mo-Segment mit einer darunterliegenden Source-/Drainregion koppelt, z. B. den entsprechenden darunterliegenden Abschnitt einer Finne. Nach einem anderen Ansatz umfasst im Wesentlichen keine Füllerzellenregion eine VG-Struktur, die elektrisch eine darunterliegende Gatestruktur eines Transistors mit einem entsprechenden leitfähigen Mo-Segment koppelt. Nach einem anderen Ansatz umfasst im Wesentlichen keine Füllerzellenregion ein in die Füllerzellenregion eingreifendes leitfähiges Mo-Segment, das eine Erweiterung/Verlängerung eines leitfähigen Mo-Segments von einer anstoßenden Funktionszellenregion in der Füllerzellenregion darstellt. Nach einem anderen Ansatz umfasst im Wesentlichen keine Füllerzellenregion eine Vo-Struktur, die über einem Bereich liegt, der durch die Füllerzellenregion belegt ist und der ein in die Füllerzellenregion eingreifendes leitfähiges Mo-Segment mit einem entsprechenden leitfähigen M1-Segment koppelt.
  • Im Gegensatz dazu umfasst nach einigen Ausführungsformen aufgrund der Füllerzelleneingriffstechnik mindestens ca. 25 % der Füllerzellenregionen mindestens ein entsprechendes in die Füllerzellenregion eingreifendes leitfähiges Mo-Segment und mindestens eine entsprechende Vo-Struktur, die über einem Bereich liegt, der durch die Füllerzellenregion belegt ist. Nach einigen Ausführungsformen umfasst aufgrund der Füllerzelleneingriffstechnik mindestens ca. 50% der Füllerzellenregionen mindestens ein entsprechendes in die Füllerzellenregion eingreifendes leitfähiges Mo-Segment und mindestens eine entsprechende Vo-Struktur, die über einem Bereich liegt, der durch die Füllerzellenregion belegt ist. Nach einigen Ausführungsformen umfasst aufgrund der Füllerzelleneingriffstechnik mindestens eine Mehrheit der Füllerzellenregionen mindestens ein entsprechendes in die Füllerzellenregion eingreifendes leitfähiges Mo-Segment und mindestens eine entsprechende Vo-Struktur, die über einem Bereich liegt, der durch die Füllerzellenregion belegt ist. Nach einigen Ausführungsformen umfasst aufgrund der Füllerzelleneingriffstechnik mindestens ca. 75% der Füllerzellenregionen mindestens ein entsprechendes in die Füllerzellenregion eingreifendes leitfähiges Mo-Segment und mindestens eine entsprechende Vo-Struktur, die über einem Bereich liegt, der durch die Füllerzellenregion belegt ist. Nach einigen Ausführungsformen wird aufgrund der Füllerzelleneingriffstechnik der Pinzugang an der Mo-Schicht verbessert.
  • 5 ist ein Ablaufdiagramm eines Verfahrens 500 zur Erzeugung eines Layoutdiagramms nach einigen Ausführungsformen.
  • Verfahren 500 ist nach einigen Ausführungsformen beispielsweise unter Verwendung des EDA-Systems 700 umsetzbar (7, nachfolgend erklärt) und ein integriertes Schaltungs-(IC), Herstellungssystem 800 (8, nachfolgend erklärt). Bezüglich Verfahren 500, umfassen Beispiele des Layoutdiagramms die Layoutdiagramme 200A bis 200H und 200I(1) der entsprechenden 2A bis 2H und 2I(1). Beispiele einer Halbleitervorrichtung, die nach Verfahren 500 hergestellt werden können, umfassen die Halbleitervorrichtung 100 1.
  • In 5 umfasst das Verfahren 500 Blocks 502 bis 504. In Block 502 wird ein Layoutdiagramm erzeugt, das unter anderem mindestens eine Mehrheit der Pinstrukturen aufweist, die sich auf der M_1. Ebene konzentrieren, was Vorteile hat, einschließlich einem oder mehr aus der Verbesserung der Routingfähigkeit mindestens durch Verringern einer Anzahl von Verdrahtungsstrukturen in der M_2. Ebene, die als Pinstrukturen bezeichnet sind, oder Verbessern der Flexibilität mindestens durch Erhöhen durch eine Anzahl von Punkten/Stellen, an denen potenziell Verbindungen zu M_1.-Pinstrukturen oder dergleichen hergestellt werden können (Zugangspunkte). Ein Beispiel einer Halbleitervorrichtung, die einem Layout entspricht, das durch Verfahren 500 erzeugt wird, umfasst die Halbleitervorrichtung 100 aus 1. Block 502 wird nachfolgend ausführlicher erklärt bezüglich 6A. Vom Block 502 aus geht der Ablauf bei Block 504 weiter.
  • In Block 504 erfolgt basierend auf dem Layoutdiagramm mindestens eines aus (A) einer oder mehreren photolithographischen Belichtungen oder (B) die Herstellung einer oder mehrerer Halbleitermasken oder (C) die Herstellung einer oder mehrerer Komponenten in einer Schicht einer Halbleitervorrichtung. Siehe folgende Erklärung aus 8.
  • 6A bis 6C sind entsprechende Ablaufdiagramme eines Verfahrens zum Erzeugen eines Layoutdiagramms nach einigen Ausführungsformen.
  • Genauer zeigen die Ablaufdiagramme der entsprechenden 6A bis 6C weitere Blocks, die in Block 502 aus 5 enthalten sind, nach einer oder mehreren Ausführungsformen.
  • Block 502 ist nach einigen Ausführungsformen beispielsweise unter Verwendung des EDA-Systems 700 umsetzbar (7, nachfolgend erklärt). Bezüglich Block 502 umfassen Beispiele der Layoutdiagramme, die nach Block 502 erzeugt wurden, Layoutdiagramme 200B bis 200G oder dergleichen. Beispiele einer Halbleitervorrichtung, die basierend auf Layoutdiagrammen hergestellt wurden, die nach Block 502 erzeugt wurden, umfassen die Halbleitervorrichtung 100 1, und Halbleitervorrichtungen basierend auf Layoutdiagrammen 200B bis 200B oder dergleichen.
  • In 6A umfasst Block 502 die Blocks 620 bis 630. In Block 620 sind eine Füllerzelle und eine anstoßende erste Funktionszelle in dem Layoutdiagramm identifiziert. Ein Beispiel der Füllerzelle ist die Füllerzelle 202A in 2A. Beispiele einer anstoßenden ersten Funktionszelle umfassen die Funktionszellen 203A(1) oder 203A(2) aus 2A. Vom Block 620 aus geht der Ablauf bei Block 622 weiter.
  • In Block 622 werden Stellen der entsprechenden einen oder mehr gewählten Schnittstrukturen angepasst, was einer Verlängerung einer oder mehr gewählter M_1. Verdrahtungsstrukturen entspricht, um von der ersten Funktionszelle in die Füllerzelle verlängert zu werden. Beispiele der gewählten M_1. Verdrahtungsstrukturen sind Mo-Verdrahtungsstrukturen 216A(1), 220A(1) und 224A(1) der Funktionszelle 203B(1) in 2B, und Mo-Verdrahtungsstrukturen 218A(2) und 222A(2) der Funktionszelle 203A(2) in 2A. Beispiele der Verlängerung/Erweiterung der gewählten Verdrahtungsstrukturen sind entsprechende Mo-Verdrahtungsstrukturen 216B(1), 220B(1) und 224B(1) der Funktionszelle 203A(1) in 2B, und Mo-Verdrahtungsstrukturen 218B(2) und 222B(2) der Funktionszelle 203B(2) in 2B. Vom Block 622 aus geht der Ablauf bei Block 624 weiter.
  • In Block 624 wird eine erste Durchkontaktierungsstruktur erzeugt. Ein Beispiel der ersten Durchkontaktierungsstruktur ist die Durchkontaktierungsstruktur 226(1) aus 2B. Vom Block 624 aus geht der Ablauf bei Block 626 weiter.
  • In Block 626 befindet sich die erste Durchkontaktierungsstruktur über einer entsprechenden ersten der verlängerten Verdrahtungsstrukturen. Ein Beispiel dieses Orts der ersten Durchkontaktierungsstruktur ist der Ort der Durchkontaktierungsstruktur 226(1) an der Schnittstelle der langen Symmetrieachse der Füllerzelle 202A und Spur 205H(1), sodass die Durchkontaktierungsstruktur 226(1) die Mo-Verdrahtungsstruktur 216B(1) überlappt. Vom Block 626 aus geht der Ablauf bei Block 628 weiter.
  • In Block 628 wird eine Verdrahtungsstruktur in der M_2. Ebene erzeugt. Ein Beispiel der Verdrahtungsstruktur in der M_2. Ebene ist die M1 Verdrahtungsstruktur 228(1) in 2B. Vom Block 628 aus geht der Ablauf bei Block 630 weiter.
  • In Block 630 befindet sich die M_2. Verdrahtungsstruktur über der ersten Durchkontaktierungsstruktur, sodass die M_2. Verdrahtungsstruktur aufgrund dessen in der Füllerzelle platziert wird. Ein Beispiel des Orts der M_2. Verdrahtungsstruktur über der Durchkontaktierungsstruktur und dadurch über der Füllerdurchkontaktierungsstruktur ist die M1-Struktur 228(1), die sich über der Durchkontaktierungsstruktur 226(1) befindet, sodass dadurch die lange Achse der M1-Verdrahtungsstruktur 228(1) im Wesentlichen an einer langen Symmetrieachse der Füllerzelle 202A ausgerichtet ist.
  • In 6B umfasst Block 502 ferner die Blocks 634 bis 640.
  • In Block 634 wird eine zweite Durchkontaktierungsstruktur erzeugt. Ein Beispiel der zweiten Durchkontaktierungsstruktur findet sich in einer oder mehr Füllerzellen in 2F. Block 634 nimmt einen Umstand an, in dem die Füllerzelle eine Breite von im Wesentlichen mindestens zwei positiven ganzzahligen Vielfachen von CPP aufweist. Beispiele solcher Füllerzellen finden sich in 2F. Vom Block 634 aus geht der Ablauf bei Block 636 weiter.
  • In Block 636 befindet sich die zweite Durchkontaktierungsstruktur über einer entsprechenden zweiten der verlängerten Verdrahtungsstrukturen. Ein Beispiel eines solchen Orts der zweiten Durchkontaktierungsstruktur findet sich in einer oder mehr Füllerzellen in 2F. Vom Block 636 aus geht der Ablauf bei Block 638 weiter.
  • In Block 638 wird eine zweite Verdrahtungsstruktur in der M_2. Ebene erzeugt. Ein Beispiel der zweiten Verdrahtungsstruktur findet sich in einer oder mehr Füllerzellen in 2F. Vom Block 638 aus geht der Ablauf bei Block 640 weiter.
  • In Block 640 befindet sich die zweite M_2. Verdrahtungsstruktur über der zweiten Durchkontaktierungsstruktur, sodass die zweite M_2. Verdrahtungsstruktur aufgrund dessen in der Füllerzelle platziert wird. Ein Beispiel des Orts der zweiten M_2. Verdrahtungsstruktur über der zweiten Durchkontaktierungsstruktur und dadurch über der Füllerdurchkontaktierungsstruktur findet sich in einer oder mehr Füllerzellen in 2F.
  • In 6C umfasst Block 502 ferner die Blocks 650 bis 652. In Block 650 ist eine zweite Funktionszelle, die an die Füllerzelle angrenzt, in dem Layoutdiagramm identifiziert. Unter der Annahme, dass ein Beispiel der ersten Funktionszelle die Funktionszelle 203A(1) aus 2A ist, dann ist ein Beispiel einer anstoßenden zweiten Funktionszelle 203A(2) aus 2A. Vom Block 650 aus geht der Ablauf bei Block 652 weiter.
  • In Block 652 werden Stellen der entsprechenden einen oder mehr gewählten Schnittstrukturen dadurch angepasst, was einer Verlängerung einer oder mehr gewählter M_1. Verdrahtungsstrukturen entspricht, um von der zweiten Funktionszelle in die Füllerzelle verlängert zu werden. Unter der Annahme, dass ein Beispiel der ersten Funktionszelle die Funktionszelle 203A(1) aus 2A ist, sind Beispiele der gewählten M_1. Verdrahtungsstrukturen Mo-Verdrahtungsstrukturen 218A(2) und 222A(2) der Funktionszelle 203A(2) in 2A. Beispiele der Verlängerung/Erweiterung der gewählten Verdrahtungsstrukturen sind entsprechende Mo-Verdrahtungsstrukturen 218B(2) und 222B(2) der Funktionszelle 203B(2) in 2B.
  • 7 ist ein Blockdiagramm einer elektronischen Designautomatisierungs- (EDA) EDA-Systems 700 nach einigen Ausführungsformen.
  • In einigen Ausführungsformen umfasst das EDA-System 700 umfasst ein APR-System. Hierin beschriebene Verfahren für den Entwurf von Layoutdiagrammen stellen Drahtroutinganordnungen nach einer oder mehreren Ausführungsformen dar und können nach einigen Ausführungsformen beispielsweise unter Verwendung des EDA-Systems 700 umgesetzt werden.
  • In einigen Ausführungsformen ist ein EDA-System 700 eine Allgemeinzweckrechnervorrichtung, die einen Hardwareprozessor 702 und ein nichttransitorisches computerlesbares Speichermedium 704 umfasst. Das Speichermedium 704 ist unter anderem mit d. h. Speichern, Computerprogrammcode 706, wobei Computerprogrammcode 706 einem Satz computerausführbarer Anweisungen codiert. Die Ausführung von Computerprogrammcode 706 durch den Prozessor 702 stellt (mindestens teilweise) ein EDA-Tool dar, das einen Abschnitt oder alles von z. B. den hierin beschriebenen Verfahren mit einem oder mehr entsprechenden Ausführungsformen (nachfolgend die genannten Prozesse und/oder Verfahren).
  • Der Prozessor 702 ist elektrisch mit dem computerlesbaren Speichermedium 704 über einen Bus 708 gekoppelt. Der Prozessor 702 ist außerdem über den Bus 708 elektrisch mit einer E/A-Schnittstelle 710 gekoppelt. Eine Netzwerkschnittstelle 712 ist außerdem über Bus 708 elektrisch mit dem Prozessor 702 verbunden. Die Netzwerkschnittstelle 712 ist mit einem Netzwerk 714 verbunden, sodass der Prozessor 702 und das computerlesbare Speichermedium 704 in der Lage sind, sich über Netzwerk 714 mit externen Elementen zu verbinden. Prozessor 702 ist konfiguriert, Computerprogrammcode 706 auszuführen, der in dem computerlesbaren Speichermedium 704 codiert ist, um das EDA-System 700 zur Durchführung eines Abschnitts oder aller der benannten Prozesse und/oder Verfahren verwendbar zu machen. In einer oder mehr Ausführungsformen ist der Prozessor 702 eine zentrale Prozessoreinheit (CPU), ein Multiprozessor, ein verteiltes Prozessorsystem, eine anwendungsspezifisch integrierte Schaltung (ASIC) und/oder eine geeignete Prozessoreinheit.
  • In einer oder mehr Ausführungsformen ist das computerlesbare Speichermedium 704 ist ein elektronisches, magnetisches, optisches, elektromagnetisches, infrarotes und/oder ein Halbleitersystem (oder ein solcher Apparat oder eine solche Vorrichtung). Beispielsweise umfasst das computerlesbare Speichermedium 704 einen Halbleiter- oder Solid-State-Speicher, ein Magnetband, eine entfernbare Computerdiskette, einen Direktzugriffsspeicher (RAM), einen Read-Only-Speicher (ROM), eine steife Magnetscheibe und/oder eine optische Scheibe. In eine oder mehr Ausführungsformen, die optische Scheiben verwendet, umfasst das computerlesbare Speichermedium 704 eine „Compact Disk-Read Only Speicher“ (CD-ROM), eine „Compact Disk-Read/Write“ (CD-R/W) und/oder eine „Digital Video Disc“ (DVD).
  • In einer oder mehr Ausführungsformen speichert das Speichermedium 704 Computerprogrammcode 706, der konfiguriert ist, das EDA-System 700 zu veranlassen, zur Ausführung eines Abschnitts oder aller der benannten Prozesse und/oder Verfahren verwendet zu werden (wobei eine solche Ausführung (mindestens teilweise) das EDA-Tool darstellt). In einer oder mehr Ausführungsformen speichert das Speichermedium 704 auch Informationen, die die Durchführung eines Abschnitts oder aller der benannten Prozesse und/oder Verfahren erleichtert. In einer oder mehr Ausführungsformen speichert das Speichermedium 704 eine Bibliothek 707 von Standardzellen, die solche Standardzellen enthalten, die Zellen entsprechen, die hierin offenbart sind.
  • Das EDA-System 700 umfasst die E/A-Schnittstelle 710. Die E/A-Schnittstelle 710 ist mit den externen Schaltkreisen gekoppelt. In einer oder mehr Ausführungsformen umfasst die E/A-Schnittstelle 710 eine Tastatur, einen Zifferblock, eine Maus, einen Trackball, ein Trackpad, einen Touchscreen, und/oder Cursorrichtungstasten für die Übermittlung von Informationen und Befehlen an den Prozessor 702.
  • Das EDA-System 700 umfasst außerdem die Netzwerkschnittstelle 712, die an den Prozessor 702 gekoppelt ist. Die Netzwerkschnittstelle 712 erlaubt dem EDA-System 700 die Kommunikation mit dem Netzwerk 714, mit dem ein oder mehr andere Computersysteme verbunden sind. Die Netzwerkschnittstelle 712 umfasst drahtlose Netzwerkschnittstellen wie BLUE-TOOTH, WIFI, WIMAX, GPRS oder WCDMA; oder verkabelte Netzwerkschnittstellen wie ETHERNET, USB oder IEEE-1364. In einer oder mehr Ausführungsformen sind ein Abschnitt oder aller der benannten Prozesse und/oder Verfahrens in zwei oder mehr Systemen 700 umgesetzt.
  • Das EDA-System 700 ist konfiguriert, Informationen durch die E/A-Schnittstelle 710 aufzunehmen. Die durch die E/A-Schnittstelle 710 aufgenommenen Informationen enthalten eine oder mehr aus Anweisungen, Daten, Designregeln, Bibliotheken von Standardzellen und/oder andere Parameter für die Verarbeitung durch den Prozessor 702. Die Informationen werden über Bus 708 an den Prozessor 702 übertragen. Das EDA-System 700 ist konfiguriert, Informationen bezüglich einer UI durch die E/A-Schnittstelle 710 zu empfangen. Die Informationen werden in dem computerlesbaren Medium 704 als Benutzerschnittstelle (UI) 742 gespeichert.
  • In einigen Ausführungsformen werden ein Abschnitt oder alle der benannten Prozesse und/oder Verfahren als Standalone-Softwareanwendung für die Ausführung durch einen Prozessor umgesetzt. In einigen Ausführungsformen werden ein Abschnitt oder alle der benannten Prozesse und/oder Verfahrens als eine Softwareanwendung umgesetzt, die ein Abschnitt einer weiteren Softwareanwendung ist. In einigen Ausführungsformen werden ein Abschnitt oder alle der benannten Prozesse und/oder Verfahren als ein Plug-in für eine Softwareanwendung umgesetzt. In einigen Ausführungsformen wird mindestens einer der benannten Prozesse und/oder Verfahren als eine Softwareanwendung umgesetzt, die ein Abschnitt eines EDA-Tools ist. In einigen Ausführungsformen werden ein Abschnitt oder alle der benannten Prozesse und/oder Verfahren als eine Softwareanwendung umgesetzt, die durch EDA-System 700 verwendet wird. In einigen Ausführungsformen wird ein Layoutdiagramm, das Standardzellen umfasst, unter Verwendung eines Tools wie VIRTUOSO®, das von CADENCE DESIGN SYSTEMS, Inc., erhältlich ist, oder eines anderen geeigneten Layouterzeugungstools erzeugt.
  • In einigen Ausführungsformen werden die Prozesse als Funktionen eines Programms umgesetzt, das in einem nichttransitorischen computerlesbaren Aufzeichnungsmedium gespeichert ist. Beispiele für ein nichttransitorisches computerlesbares Aufzeichnungsmedium enthalten unter anderem eine externe/entfernbare und/oder interne/eingebaut Speicher- oder Speicherplatzeinheit, z. B. eine oder mehr aus einer optischen Scheibe wie etwa einer DVD, einer magnetischen Scheibe, wie etwa einer Festplatte, einem Halbleiterspeicher, wie etwa einem ROM, einem RAM, einer Speicherkarte und dergleichen.
  • 8 ist ein Blockdiagramm eines Systems 800 für die Herstellung einer Halbleitervorrichtung, z. B. einer integrierten Schaltung (IC) und ein IC-Herstellungsablauf, der damit assoziiert ist, nach einigen Ausführungsformen.
  • In einigen Ausführungsformen wird basierend auf einem Layoutdiagramm, z. B. einem oder mehr der hierin offenbarten Layoutdiagramme nach einer oder mehr entsprechenden Ausführungsformen oder dergleichen, mindestens eine der (A) einen oder mehr Halbleitermasken oder (B) mindestens eine Komponente in einer Schicht einer integrierten Halbleiterschaltung unter Verwendung des Herstellungssystems 800 hergestellt.
  • In 8 umfasst das IC-Herstellungssystem 800 Entitäten wie ein Designhaus 820, ein Maskenhaus 830 und einen IC-Hersteller/Fabrikator („Fab“) 850, die in den Design-, Entwicklungs- und Herstellungszyklen und/oder Diensten, die mit der Herstellung einer IC-Vorrichtung 860 verbunden sind, miteinander interagieren. Die Entitäten in dem System 800 sind mit einem Kommunikationsnetzwerk miteinander verbunden. In einigen Ausführungsformen ist das Kommunikationsnetzwerk ein einzelnes Netzwerk. In einigen Ausführungsformen ist das Kommunikationsnetzwerk eine Vielzahl verschiedener Netzwerke, wie etwa ein Intranet und das Internet. Das Kommunikationsnetzwerk umfasst verkabelte und/oder drahtlose Kommunikationskanäle. Jede Entität interagiert mit einer oder mehr der anderen Entitäten und stellt Dienste für eine oder mehr der anderen Entitäten bereit und/oder empfängt Dienste davon. In einigen Ausführungsformen befinden sich zwei oder mehr aus dem Designhaus 820, Maskenhaus 830 und IC-Fab 850 im Eigentum eines einzelnen größeren Unternehmens. In einigen Ausführungsformen koexistieren zwei oder mehr des Designhaus 820, Maskenhaus 830 und IC-Fab 850 in einer gemeinsamen Einrichtung und verwenden gemeinsame Ressourcen.
  • Das Designhaus (oder Designteam) 820 erzeugt ein IC-Designlayoutdiagramm 822. Das IC-Designlayoutdiagramm 822 umfasst verschiedene geometrische Strukturen, die für eine IC-Vorrichtung 860 entworfen wurden. Die geometrischen Strukturen entsprechen Mustern aus Metall-, Oxid- oder Halbleiterschichten, die die verschiedenen Komponenten der IC-Vorrichtung 860 darstellen, die hergestellt werden soll. Die verschiedenen Schichten kombinieren sich, um verschiedene IC-Merkmale zu bilden. Beispielsweise umfasst ein Abschnitt des IC-Designlayoutdiagramme 822 verschiedene IC-Merkmale, wie etwa eine aktive Region, Gateelektrode, Source und Drain, Metallleitungen oder Durchkontaktierungen einer Zwischenschichtenzwischenverbindung und Öffnungen für Bondingpads, die in einem Halbleitersubstrat (wie etwa einem Siliziumwafer) geformt werden sollen, und verschiedene Materialschichten, die an dem Halbleitersubstrat angeordnet sind. Das Designhaus 820 setzt ein ordnungsgemäßes Designverfahren um, um das IC-Designlayoutdiagramm 822 zu bilden. Das Designverfahren umfasst eines oder mehr aus Logikdesign, physischem Design oder Platzierung und Routing. Das IC-Designlayoutdiagramm 822 wird in einer oder mehr Datendateien dargestellt, die Informationen zu den geometrischen Strukturen aufweisen. Beispielsweise kann das IC-Designlayoutdiagramm 822 in einem GDSII-Dateiformat oder einem DFII-Dateiformat ausgedrückt werden.
  • Das Maskenhaus 830 umfasst die Datenvorbereitung 832 und Maskenherstellung 844. Das Maskenhaus 830 verwendet das IC-Designlayoutdiagramm 822 zur Herstellung einer oder mehrerer Masken 845, die zur Fabrikation der verschiedenen Schichten der IC-Vorrichtung 860 nach dem IC-Designlayoutdiagramm 822 verwendet werden sollen. Das Maskenhaus 830 führt die Maskendatenvorbereitung 832 aus, wobei das IC-Designlayoutdiagramm 822 in eine repräsentative Datendatei („RDF“) übersetzt wird. Die Maskendatenvorbereitung 832 stellt die RDF für die Maskenherstellung 844 bereit. Die Maskenherstellung 844 umfasst einen Maskenschreiber. Ein Maskenschreiber konvertiert die RDF in ein Bild auf einem Substrat, wie etwa einer Maske (Strichplatte) 845 oder einem Halbleiterwafer 853. Das Designlayoutdiagramm 822 wird durch die Maskendatenvorbereitung 832 manipuliert, um bestimmten Eigenschaften des Maskenschreibers und/oder Anforderungen des IC-Fab 850 zu entsprechen. In 8 sind die Maskendatenvorbereitung 832 und Maskenherstellung 844 als separate Elemente illustriert. In einigen Ausführungsformen können die Maskendatenvorbereitung 832 und Maskenherstellung 844 kollektiv als Maskendatenvorbereitung bezeichnet werden.
  • In einigen Ausführungsformen umfasst die Maskendatenvorbereitung 832 eine optische Nähenkorrektur (OPC), die Lithographieverbesserungstechniken verwendet, um Bildfehler auszugleichen, wie etwa denen, die aus Diffraktion, Störung, anderen Prozesswirkungen und dergleichen entstehen können. OPC passt das IC-Designlayoutdiagramm 822 an. In einigen Ausführungsformen umfasst die Maskendatenvorbereitung 832 ferner Auflösungsverbesserungstechniken (RET), wie Beleuchtung außerhalb der Achse, Unterauflösungshilfseigenschaften, Phasenverschiebungsmasken, andere geeignete Techniken und dergleichen oder Kombinationen davon. In einigen Ausführungsformen wird auch eine invertierte Lithographietechnologie (ILT) verwendet, die OPC als ein Problem der invertierten Bildgebung behandelt.
  • In einigen Ausführungsformen umfasst die Maskendatenvorbereitung 832 einen Maskenregelprüfer (MRC), der das IC-Designlayoutdiagramm 822, das Prozessen im OPC unterzogen wurde, mit einem Satz Maskenerstellungsregeln prüft, die bestimmte geometrische und/oder Konnektivitätseinschränkungen enthalten, um ausreichende Margen sicherzustellen, um eine Variabilität der Halbleiterherstellungsprozesse und dergleichen in Betracht zu ziehen. In einigen Ausführungsformen modifiziert der MRC das IC-Designlayoutdiagramm 822 zum Kompensieren von Einschränkungen während der Maskenherstellung 844, die einen Teil der Änderungen rückgängig machen können, die durch den OPC durchgeführt wurden, um die Maskenerstellungsregeln zu erfüllen.
  • In einigen Ausführungsformen umfasst die Maskendatenvorbereitung 832 eine Lithographieprozessprüfung (LPC), die die Verarbeitung simuliert, die durch IC-Fab 850 umgesetzt wird, um die IC-Vorrichtung 860 zu fabrizieren. LPC simuliert die Verarbeitung basierend auf dem IC-Designlayoutdiagramm 822 zum Erzeugen einer simulierten hergestellten Vorrichtung, wie etwa der IC-Vorrichtung 860. Die Verarbeitungsparameter in der LPC-Simulation können Parameter enthalten, die mit verschiedenen Prozessen des IC-Herstellungszyklus assoziiert sind, Parameter, die mit Werkzeugen für die Herstellung des IC assoziiert sind und/oder anderen Aspekten des Herstellungsprozesses. LPC zieht verschiedene Faktoren in Betracht, wie etwa Luftbildkontrast, Tiefenschärfe („DOF“), Maskenfehlerverbesserungsfaktor („MEEF“), andere geeignete Faktoren und dergleichen, oder Kombinationen davon. In einigen Ausführungsformen werden, nachdem die simulierte Vorrichtung durch LPC erzeugt wurde, wenn die simulierte Vorrichtung in der Form nicht genau genug entspricht, um die Designregeln zu erfüllen, die OPC und/oder MRC wiederholt, um das IC-Designlayoutdiagramm 822 weiter zu verfeinern.
  • Es ist zu verstehen, dass die obige Beschreibung der Maskendatenvorbereitung 832 um der Klarheit willen vereinfacht wurde. In einigen Ausführungsformen umfasst die Datenvorbereitung 832 weitere Eigenschaften wie eine Logikoperation (LOP) zur Änderung des IC-Designlayoutdiagramme 822 nach Herstellungsregeln. Weiterhin können die Prozesse, die während der Datenvorbereitung 832 auf das IC-Designlayoutdiagramm 822 angewendet werden, in einer Vielzahl verschiedener Reihenfolgen ausführt werden.
  • Nach der Maskendatenvorbereitung 832 und während der Maskenherstellung 844 wird eine Maske 845 oder eine Gruppe Masken 845 auf dem geänderten IC-Designlayoutdiagramm 822 basierend hergestellt. In einigen Ausführungsformen umfasst die Maskenherstellung 844 das Durchführen einer oder mehrerer lithographischen Kontakte basierend auf IC-Designlayoutdiagramm 822. In einigen Ausführungsformen wird ein Elektronenstrahl (E-Strahl) oder ein Mechanismus mehrerer E-Strahlen verwendet, um ein Muster auf einer Maske (Photomaske oder Strichplatte) 845 basierend auf dem geänderten IC-Designlayoutdiagramm 822 zu formen. Die Maske 845 kann mit verschiedenen Techniken geformt werden. In einigen Ausführungsformen wird die Maske 845 unter Verwendung binärer Technologie geformt. In einigen Ausführungsformen umfasst eine Maskenstruktur undurchsichtige Regionen und transparente Regionen. Ein Strahlungsstrahl, wie etwa ein ultravioletter (UV) Strahl, der verwendet wird, um die bildsensitive Materialschicht (z. B. Photoresist) offenzulegen, die auf einen Wafer aufgetragen wurde, wird durch die undurchsichtige Region blockiert und dringt durch die transparenten Regionen. In einem Beispiel umfasst eine binäre Maskenversion der Maske 845 transparentes Substrat (z. B. Quarzglas) und ein undurchsichtiges Material (z. B. Chrom), mit dem die undurchsichtigen Regionen der binären Maske beschichtet sind. In einem anderen Beispiel wird die Maske 845 unter Verwendung einer Phasenverschiebungstechnologie geformt. In einer Phasenverschiebungsmasken- (PSM) Version der Maske 845 sind verschiedene Eigenschaften in dem Muster, das auf der Phasenverschiebungsmaske geformt ist, konfiguriert, einen korrekten Phasenunterschied aufzuweisen, um die Auflösung und Bildgebungsqualität zu verbessern. In verschiedenen Beispielen kann die Phasenverschiebungsmaske eine angeglichene PSM oder eine alternierende PSM sein. Die Maske(n), die durch die Maskenherstellung 844 erzeugt wird, wird in einer Vielzahl von Prozessen verwendet. Beispielsweise wird eine solche Maske(n) in einem Ionenimplantierungsprozess, um verschiedene dotierte Regionen in dem Halbleiterwafer 853 in einem Ätzprozess zu formen, um verschieden Ätzregionen in dem Halbleiterwafer 853 zu formen, und/oder in anderen geeigneten Prozessen verwendet.
  • IC-Fab 850 umfasst die Waferherstellung 852. IC-Fab 850 ist ein IC-Fabrikationsunternehmen, das eine oder mehr Herstellungseinrichtungen für die Fabrikation einer Vielzahl verschiedener IC-Produkte umfasst. In einigen Ausführungsformen ist das IC-Fab 850 eine Halbleitergießerei. Beispielsweise kann es eine Herstellungseinrichtung für die Frontend-Fabrikation mehrerer IC-Produkte („Front-End-of-Line“- (FEOL) Fabrikation), geben, während eine zweite Herstellungseinrichtung die Backend-Fabrikation für die Zwischenverbindung und Verpackung der IC-Produkte bereitstellt („Back-End-of-Line“- (BEOL) Fabrikation), und eine dritte Herstellungseinrichtung kann andere Dienste für das Gießereigeschäft bereitstellen.
  • Das IC-Fab 850 verwendet die Maske(n) 845, die durch das Maskenhaus 830 hergestellt wurde/n zur Herstellung der IC-Vorrichtung 860. So verwendet das IC-Fab 850 mindestens indirekt das IC-Designlayoutdiagramm 822 zur Herstellung der IC-Vorrichtung 860. In einigen Ausführungsformen wird ein Halbleiterwafer 853 durch das IC-Fab 850 unter Verwendung der Maske(n) 845 zum Formen der IC-Vorrichtung 860 hergestellt. In einigen Ausführungsformen umfasst die IC-Herstellung das Durchführen einer oder mehrerer lithographischen Kontakte zumindest indirekt basierend auf IC-Designlayoutdiagramm 822. Der Halbleiterwafer 853 umfasst ein Siliziumsubstrat oder ein anderes geeignetes Substrat, auf dem Materialschichten geformt sind. Der Halbleiterwafer 853 umfasst ferner eine oder mehr aus verschiedenen dotierten Regionen, dielektrischen Eigenschaften, mehrlagige Zwischenverbindungen und dergleichen (in aufeinanderfolgenden Herstellungsschritten geformt).
  • Details zu einem Herstellungssystem für eine integrierten Schaltung (IC) (z. B. System 800 aus 8) und einem IC-Herstellungsablauf, der damit assoziiert ist, finden sich z. B. in US 9 256 709 B2 , erteilt am 9. Februar 2016, US 2015 0 278 429 A1 , veröffentlicht am 1. Oktober 2015, US 2014 0 040 838 A1 , veröffentlicht am 6. Februar 2014 und US 7 260 442 B2 , erteilt am 21. August 2007.
  • Es ist durch einen gewöhnlichen Fachmann auf dem Gebiet leicht zu sehen, dass eine oder mehr der offenbarten Ausführungsformen einen oder mehr der oben dargelegten Vorteile erfüllen. Nach dem Lesen der obigen Spezifikation ist ein gewöhnlicher Fachmann in der Lage, verschiedene Änderungen, Ersetzungen von Äquivalenten und verschiedene andere Ausführungsformen vorzunehmen, die hierin weitgefasst offenbart sind.
  • In einer Ausführungsform umfasst ein Verfahren zur Herstellung einer Halbleitervorrichtung für ein Layoutdiagramm, das auf einem nichttransitorischen computerlesbaren Medium gespeichert ist und eine erste Metallisierungsebene (M_1. Ebene) umfasst, die eine erste Schicht einer Metallisierung in der Halbleitervorrichtung darstellt. Erzeugen des Layoutdiagramms, einschließlich Identifizieren einer Füllerzelle und einer ersten Funktionszelle, die im Wesentlichen an die Füllerzelle in einer ersten Richtung angrenzt, in dem Layoutdiagramm, wobei der Füller und die ersten Funktionszellen entsprechende nichtfunktionale und erste Funktionszellenregionen in der Halbleitervorrichtung darstellen. Die erste Funktionszelle, die erste und zweite Grenzen relativ zur ersten Richtung (Seitengrenzen), wobei die zweite Seitengrenze im Wesentlichen an die Füllerzelle angrenzt; erste Verdrahtungsstrukturen, die sich im Wesentlichen in der ersten Richtung in der M_1. Ebene erstrecken und entsprechende erste Leiter in der ersten Funktionszellenregion darstellen; erste und zweite Gruppen von Schnittstrukturen, die über entsprechenden Abschnitten der ersten Verdrahtungsstrukturen liegen und im Wesentlichen an den entsprechenden ersten und zweiten Seitengrenzen ausgerichtet sind, um die entsprechenden ersten Verdrahtungsstrukturen zu Intrazellstrukturen zu machen, umfasst. Erzeugen des Layoutdiagramms, das ferner das Anpassen einer oder mehr Stellen, die einer oder mehr gewählten Schnittstrukturen der zweiten Gruppe entsprechen, in der ersten Richtung umfasst, wodurch entsprechend eine oder mehr ausgewählte der ersten Verdrahtungsstrukturen verlängert werden, um ersten verlängerten Verdrahtungsstrukturen zu entsprechen, die sich über die zweite Grenze der ersten Funktionszelle in die Füllerzelle erstrecken. Jede der Seitengrenzen erstreckt sich im Wesentlichen in einer zweiten Richtung im Wesentlichen rechtwinklig zur ersten Richtung. Ferner umfasst das Layoutdiagramm eine erste Ebene von Zwischenverbindung (VIA_1. Ebene), die über der M_1. Ebene liegt und eine erste Schicht Zwischenverbindungen darstellt, die über der ersten Schicht einer Metallisierung in der Halbleitervorrichtung liegt; und das Erzeugen des Layoutdiagramms umfasst ferner: das erzeugen einer ersten Durchkontaktierungsstruktur in der V_1. Ebene; und das Platzieren der ersten Durchkontaktierungsstruktur entsprechend über einer entsprechenden ersten der ersten verlängerten Verdrahtungsstrukturen, sodass die erste Durchkontaktierungsstruktur sich in der Füllerzelle befindet.. In einer Ausführungsform umfasst das Verfahren ferner: basierend auf dem Layoutdiagramm mindestens eines aus: (A) Durchführen einer oder mehrerer photolithographischen Belichtungen; (B) Herstellen einer oder mehrerer Halbleitermasken; oder (C) Herstellen mindestens einer Komponente in einer Schicht einer integrierten Halbleiterschaltung. In einer Ausführungsform ist eine Breite jeder der ersten Verdrahtungsstrukturen kleiner als eine Breite der ersten Funktionszelle. In einer Ausführungsform umfasst das Layoutdiagramm ferner eine zweite Metallisierungsebene (M_2. Ebene), die über der VIA_1. Ebene liegt und eine zweite Schicht einer Zwischenverbindung darstellt, die über der ersten Schicht einer Zwischenverbindung in der Halbleitervorrichtung liegt; und das erzeugen des Layoutdiagramms umfasst ferner: das Erzeugen einer zweiten Verdrahtungsstruktur in der M_2. Ebene, die sich im Wesentlichen in der zweiten Richtung erstreckt; und das Platzieren der zweiten Verdrahtungsstruktur entsprechend über der ersten Durchkontaktierungsstruktur, sodass sich die zweite Verdrahtungsstruktur in der Füllerzelle befindet. In einer Ausführungsform ist eine Größe in der ersten Richtung der Füllerzelle ein kontaktierter Polyabstand (CPP). In einer Ausführungsform ist eine Größe in der ersten Richtung der Füllerzelle mindestens zwei Mehrfache eines kontaktierten Polyabstands (CPP); und das Erzeugen des Layoutdiagramms umfasst ferner: Erzeugen einer zweiten Durchkontaktierungsstruktur in der V_1. Ebene; und Platzieren der zweiten Durchkontaktierungsstruktur entsprechend über einer entsprechenden zweiten der ersten verlängerten Verdrahtungsstrukturen, sodass sich die zweite Durchkontaktierungsstruktur in der Füllerzelle befindet; Erzeugen einer dritten Verdrahtungsstruktur in der M_2. Ebene, die sich im Wesentlichen in der zweiten Richtung erstreckt; und Platzieren der dritten Verdrahtungsstruktur entsprechend über der zweiten Durchkontaktierungsstruktur, sodass sich die dritte Verdrahtungsstruktur in der Füllerzelle befindet. In einer Ausführungsform umfassen die ersten verlängerten Verdrahtungsstrukturen entweder ungeraden oder geraden der ersten Verdrahtungsstrukturen. In einer Ausführungsform umfassen die ersten verlängerten Verdrahtungsstrukturen allen der ersten Verdrahtungsstrukturen. In einer Ausführungsform umfasst die Füllerzelle dritte und vierte Seitengrenzen, wobei die dritte Seitengrenze im Wesentlichen an die zweite Seitengrenze der ersten Funktionszelle angrenzt; das Layoutdiagramm umfasst ferner: eine zweite Funktionszelle, die im Wesentlichen an die vierte Seite der Füllerzelle angrenzt, wobei die zweite Funktionszelle eine zweite Funktionszellenregion in der Halbleitervorrichtung darstellt; die zweite Funktionszelle umfasst: fünfte und sechste Seitengrenzen, wobei die fünfte Seitengrenze im Wesentlichen an die vierte Seitengrenze der Füllerzelle angrenzt; zweite Verdrahtungsstrukturen, die sich im Wesentlichen in der ersten Richtung in der M_1. Ebene erstrecken und entsprechende zweite Leiter in der zweiten Funktionszellenregion darstellen; dritte und vierte Gruppen von Schnittstrukturen, die über entsprechenden Abschnitten der zweiten Verdrahtungsstrukturen liegen und im Wesentlichen an den entsprechenden fünften und sechsten Seitengrenzen ausgerichtet sind, um die entsprechenden zweiten Verdrahtungsstrukturen zu Intrazellstrukturen zu machen; und das Verfahren umfasst ferner: Anpassen einer oder mehr Stellen entsprechender einer oder mehr gewählter Schnittstrukturen der dritten Gruppe in der ersten Richtung, wodurch eine oder mehr ausgewählte der zweiten Verdrahtungsstrukturen entsprechend verlängert werden, um entsprechendes zweite verlängerte Verdrahtungsstrukturen zu sein, die sich über die fünfte Seitengrenze der ersten Funktionszelle in die Füllerzelle erstrecken. In einer Ausführungsform definieren die ersten verlängerten Verdrahtungsstrukturen und die zweiten verlängerten Verdrahtungsstrukturen entsprechende erste und zweite Profile relativ zur ersten Richtung, wobei das zweite Profil eine im Wesentlichen komplementäre Form bezüglich des ersten Profils aufweist. In einer Ausführungsform sind mindestens eine der ersten verlängerten Verdrahtungsstrukturen und mindestens eine der zweiten verlängerten Verdrahtungsstrukturen im Wesentlichen kollinear und überlappen sich relativ zur zweiten Richtung, um kollektiv einen Leiter darzustellen, der sich von der ersten Funktionszellenregion durch die Nichtfunktionszellenregion und in die zweite Funktionszellenregion der Halbleitervorrichtung erstreckt.
  • In einer Ausführungsform umfasst ein System zum Herstellen eines Halbleitervorrichtung: mindestens einen Prozessor; und mindestens einen Speicher, der Computerprogrammcode für ein oder mehrere Programme für ein oder mehr Programme umfasst. Der mindestens eine Speicher, der Computerprogrammcode und der mindestens eine Prozessor sind konfiguriert, das System zu veranlassen, für ein Layoutdiagramm, das in einem nichttransitorischen computerlesbaren Medium gespeichert ist und eine erste Metallisierungsebene (M_1. Ebene) und eine erste Ebene der Zwischenverbindung (VIA_1. Ebene) umfasst, die entsprechend eine erste Schicht einer Metallisierung und eine darüberliegende erste Schicht der Zwischenverbindung in der Halbleitervorrichtung darstellt, wobei das Erzeugen des Layoutdiagramms umfasst: Identifizieren einer Füllerzelle und einer ersten Funktionszelle, die im Wesentlichen in einer ersten Richtung an die Füllerzelle angrenzt, in einer ersten Richtung, wobei der Füller und die ersten Funktionszellen entsprechende nichtfunktionale und erste Funktionszellenregionen in der Halbleitervorrichtung darstellen; wobei die erste Funktionszelle umfasst: erste und zweite Grenzen relativ zur ersten Richtung (Seitengrenzen), wobei die zweite Seitengrenze im Wesentlichen an die Füllerzelle angrenzt; erste Verdrahtungsstrukturen, die sich im Wesentlichen in der ersten Richtung in der M_1. Ebene erstrecken und entsprechende erste Leiter in der ersten Funktionszellenregion darstellen; und erste und zweite Gruppen von Schnittstrukturen, die über entsprechenden Abschnitten der ersten Verdrahtungsstrukturen liegen, und im Wesentlichen an den entsprechenden ersten und zweite Seitengrenzen ausgerichtet sind, um die entsprechenden ersten Verdrahtungsstrukturen zu Intrazellstrukturen zu machen; Anpassen einer oder mehr Stellen der entsprechenden einen oder mehr gewählten Schnittstrukturen der zweiten Gruppe in der ersten Richtung, wodurch entsprechend eine oder mehr ausgewählte der ersten Verdrahtungsstrukturen verlängert werden, sodass sie ersten verlängerten Verdrahtungsstrukturen entsprechen, die sich über die zweite Grenze der ersten Funktionszelle hinaus in die Füllerzelle erstrecken; Erzeugen einer ersten Durchkontaktierungsstruktur in der V_1. Ebene; und entsprechendes Platzieren der ersten Durchkontaktierungsstruktur über einer entsprechenden ersten der ersten verlängerten Verdrahtungsstrukturen, sodass sich die erste Durchkontaktierungsstruktur in der Füllerzelle befindet. Jede der Seitengrenzen erstreckt sich im Wesentlichen in einer zweiten Richtung im Wesentlichen rechtwinklig zur ersten Richtung. In einer Ausführungsform umfasst das System ferner: mindestens eines aus: einer Maskierungseinrichtung, konfiguriert zum Herstellen einer oder mehrerer Halbleitermasken basierend auf basierend auf basierend auf dem Layoutdiagramm; oder eine Herstellungseinrichtung, konfiguriert zum Herstellen von mindestens einer Komponente in einer Schicht einer integrierten Halbleiterschaltung basierend auf dem Layoutdiagramm. In einer Ausführungsform umfasst das Layoutdiagramm ferner eine zweite Metallisierungsebene (M_2. Ebene), die über der VIA_1. Ebene liegt und eine zweite Schicht Metallisierung darstellt, die über der ersten Schicht einer Zwischenverbindung in der Halbleitervorrichtung liegt; und das erzeugen des Layoutdiagramms umfasst ferner: das Erzeugen einer zweiten Verdrahtungsstruktur in der M_2. Ebene, die sich im Wesentlichen in der zweiten Richtung erstreckt; und das Platzieren der zweiten Verdrahtungsstruktur entsprechend über der ersten Durchkontaktierungsstruktur, sodass sich die zweite Verdrahtungsstruktur in der Füllerzelle befindet. In einer Ausführungsform ist eine Größe in der ersten Richtung der Füllerzelle ein kontaktierter Polyabstand (CPP). In einer Ausführungsform ist eine Größe in der ersten Richtung der Füllerzelle mindestens zwei Mehrfache eines kontaktierten Polyabstands (CPP); und das Erzeugen des Layoutdiagramms umfasst ferner: Erzeugen einer zweiten Durchkontaktierungsstruktur in der V_1. Ebene; und Platzieren der zweiten Durchkontaktierungsstruktur entsprechend über einer entsprechenden zweiten der ersten verlängerten Verdrahtungsstrukturen, sodass sich die zweite Durchkontaktierungsstruktur in der Füllerzelle befindet; Erzeugen einer dritten Verdrahtungsstruktur in der M_2. Ebene, die sich im Wesentlichen in der zweiten Richtung erstreckt; und Platzieren der dritten Verdrahtungsstruktur entsprechend über der zweiten Durchkontaktierungsstruktur, sodass sich die dritte Verdrahtungsstruktur in der Füllerzelle befindet. In einer Ausführungsform umfasst die Füllerzelle dritte und vierte Seitengrenzen, wobei die dritte Seitengrenze im Wesentlichen an die zweite Seitengrenze der ersten Funktionszelle angrenzt; das Layoutdiagramm umfasst ferner: eine zweite Funktionszelle, die im Wesentlichen an die vierte Seite der Füllerzelle angrenzt, wobei die zweite Funktionszelle eine zweite Funktionszellenregion in der Halbleitervorrichtung darstellt; die zweite Funktionszelle umfasst: fünfte und sechste Seitengrenzen, wobei die fünfte Seitengrenze im Wesentlichen an die vierte Seitengrenze der Füllerzelle angrenzt; zweite Verdrahtungsstrukturen, die sich im Wesentlichen in der ersten Richtung in der M_1. Ebene erstrecken und entsprechende zweite Leiter in der zweiten Funktionszellenregion darstellen; dritte und vierte Gruppen von Schnittstrukturen, die über entsprechenden Abschnitten der zweiten Verdrahtungsstrukturen liegen und im Wesentlichen an den entsprechenden fünften und sechsten Seitengrenzen ausgerichtet sind, um die entsprechenden zweiten Verdrahtungsstrukturen zu Intrazellstrukturen zu machen. Das Verfahren umfasst ferner: Anpassen einer oder mehr Stellen entsprechender einer oder mehr gewählter Schnittstrukturen der dritten Gruppe in der ersten Richtung, wodurch eine oder mehr ausgewählte der zweiten Verdrahtungsstrukturen entsprechend verlängert werden, um entsprechendes zweite verlängerte Verdrahtungsstrukturen zu sein, die sich über die fünfte Seitengrenze der ersten Funktionszelle in die Füllerzelle erstrecken; und die ersten verlängerten Verdrahtungsstrukturen und die zweiten verlängerten Verdrahtungsstrukturen definieren entsprechende erste und zweite Profile relativ zur ersten Richtung, wobei das zweite Profil eine im Wesentlichen komplementäre Form bezüglich des ersten Profils aufweist.
  • In einer Ausführungsform umfasst eine Halbleitervorrichtung eine erste Schicht einer Metallisierung (M_1. Schicht) in der Halbleitervorrichtung; und eine Füllerzellenregion und eine erste Funktionszellenregion, die im Wesentlichen an die Füllerzellenregion in einer ersten Richtung angrenzt. Die erste Funktionszellenregion umfasst: erste Leiter, die sich im Wesentlichen in der ersten Richtung in der M_1. Schicht erstrecken; wobei die erste Funktionszellenregion ferner umfasst: eine erste leitfähige Struktur, die einen ersten der ersten Leiter an eine Source-/Drainregion eines Transistors koppelt; oder eine zweite leitfähige Struktur, die einen zweiten der ersten Leiter an eine Gatestruktur eines Transistors koppelt; wobei eine oder mehr ausgewählter der ersten relativ zur ersten Richtung verlängert sind, um such über die erste Funktionszellenregion in die Füllerzellenregion zu erstrecken; und die Füllerzellenregion nicht umfasst: eine dritte leitfähige Struktur, die ein leitfähiges Segment in der M_1. Schicht mit einer Source-/Drainregion eines Transistors koppelt; und auch nicht eine vierte zweite leitfähige Struktur, die ein leitfähiges Segment in der M_1. Schicht mit einer Gatestruktur eines Transistors koppelt, wobei die Halbleitervorrichtung ferner umfasst: eine erste Schicht der Zwischenverbindung (VIA_1. Schicht), die über der M_1. Schicht liegt; und eine erste Durchkontaktierungsstruktur in der V_1. Schicht, wobei sich die erste Durchkontaktierungsstruktur über einer entsprechenden ersten der ausgewählten ersten Leiter und in der Füllerzellenregion befindet.

Claims (19)

  1. Verfahren zur Herstellung einer Halbleitervorrichtung, das Verfahren umfassend, für ein Layoutdiagramm, das auf einem nichttransitorischen computerlesbaren Medium gespeichert ist und eine erste Metallisierungsebene, M_1. Ebene, umfasst, die eine erste Schicht einer Metallisierung in der Halbleitervorrichtung darstellt, ein Erzeugen des Layoutdiagramms, umfassend: Identifizieren (620) einer Füllerzelle (202A) und einer ersten Funktionszelle (203A(1)), die im Wesentlichen in einer ersten Richtung (X) an die Füllerzelle (202A) angrenzt, in dem Layoutdiagramm, wobei die Füllerzelle (202A) und die erste Funktionszelle (203A(1)) entsprechende nichtfunktionale und erste Funktionszellenregionen in der Halbleitervorrichtung darstellen; wobei die erste Funktionszelle (203A(1)) umfasst: erste und zweite Grenzen (208(1), 210(1)) relativ zur ersten Richtung (Seitengrenzen), wobei die zweite Seitengrenze im Wesentlichen an die Füllerzelle (202A) angrenzt; erste Verdrahtungsstrukturen (216A(1), 218A(1), 220A(1), 222A(1), 224A(1)) die sich im Wesentlichen in der ersten Richtung in der M_1. Ebene erstrecken und entsprechende erste Leiter in der ersten Funktionszellenregion darstellen; und erste und zweite Gruppen von Schnittstrukturen (217A(1), 219A(1), 221A(1), 223A(1), 225A(1); 217A(2), 219A(2), 221A(2), 223A(2), 225A(2) die über entsprechenden Abschnitten der ersten Verdrahtungsstrukturen (216A(1), 218A(1), 220A(1), 222A(1), 224A(1)) liegen, wobei die erste Gruppe (217A(1), 219A(1), 221A(1), 223A(1), 225A(1)) die zweite Seitengrenze (210(1)) überlappt; Anpassen (622) einer oder mehrerer Stellen, die einer oder mehreren gewählten Schnittstrukturen der zweiten Gruppe (217A(2), 219A(2), 221A(2), 223A(2), 225A(2)) entsprechen, in der ersten Richtung, wodurch entsprechend eine oder mehrere ausgewählte der ersten Verdrahtungsstrukturen (216A(1), 218A(1), 220A(1), 222A(1), 224A(1)) verlängert werden, um ersten verlängerten Verdrahtungsstrukturen zu entsprechen, die sich über die zweite Grenze (210(1)) der ersten Funktionszelle in die Füllerzelle (202A) erstrecken; und wobei sich jede der Seitengrenzen (208(1), 210(1)) im Wesentlichen in einer zweiten Richtung im Wesentlichen rechtwinklig zur ersten Richtung erstreckt, wobei: das Layoutdiagramm ferner eine erste Ebene einer Zwischenverbindung, VIA_1. Ebene, umfasst, die über der M_1. Ebene liegt und eine erste Schicht einer Zwischenverbindung darstellt, die über der ersten Schicht einer Metallisierung in der Halbleitervorrichtung liegt; und das Erzeugen des Layoutdiagramme ferner umfasst: Erzeugen (624) einer ersten Durchkontaktierungsstruktur (226(1)) in der V_1. Ebene; und Platzieren (626) der ersten Durchkontaktierungsstruktur (226(1)) entsprechend über einer entsprechenden ersten der ersten verlängerten Verdrahtungsstrukturen, sodass sich die erste Durchkontaktierungsstruktur (226(1)) in der Füllerzelle (202A) befindet.
  2. Verfahren nach Anspruch 1, ferner umfassend: basierend auf dem Layoutdiagramm, mindestens eines aus: (A) Durchführen einer oder mehrerer photolithographischer Belichtungen; (B) Herstellen einer oder mehrerer Halbleitermasken; oder (C) Herstellen mindestens einer Komponente in einer Schicht einer integrierten Halbleiterschaltung.
  3. Verfahren nach Anspruch 1 oder 2, wobei eine Breite jeder der ersten Verdrahtungsstrukturen (216A(1), 218A(1), 220A(1), 222A(1), 224A(1)) kleiner ist als eine Breite der ersten Funktionszelle (203A(1)).
  4. Verfahren nach Anspruch 3, wobei: das Layoutdiagramm ferner eine zweite Ebene der Metallisierung, M_2. Ebene, umfasst, die über der VIA_1. Ebene liegt und eine zweite Schicht einer Zwischenverbindung darstellt, die über der ersten Schicht der Zwischenverbindung in der Halbleitervorrichtung liegt; und das Erzeugen des Layoutdiagramme ferner umfasst: Erzeugen (628) einer zweiten Verdrahtungsstruktur (228(1)) in der M_2. Ebene, die sich im Wesentlichen in der zweiten Richtung erstreckt; und Platzieren (630) der zweiten Verdrahtungsstruktur entsprechend über der ersten Durchkontaktierungsstruktur (226(1)), sodass sich die zweite Verdrahtungsstruktur (228(1)) in der Füllerzelle (202A) befindet.
  5. Verfahren nach einem der vorhergehenden Ansprüche, wobei: eine Größe der Füllerzelle (202A) in der ersten Richtung eine Breite eines kontaktierten Polyabstands (CPP) ist.
  6. Verfahren nach Anspruch 4, wobei: eine Größe der Füllerzelle (202A) in der ersten Richtung wenigstens zwei Mehrfache der Breite eines kontaktierten Polyabstands (CPP) ist; und das Erzeugen des Layoutdiagramme ferner umfasst: Erzeugen (634) einer zweiten Durchkontaktierungsstruktur in der V_1. Ebene; und Platzieren (636) der zweiten Durchkontaktierungsstruktur entsprechend über einer entsprechenden zweiten der ersten verlängerten Verdrahtungsstrukturen, sodass sich die zweite Durchkontaktierungsstruktur in der Füllerzelle (202A) befindet; Erzeugen einer dritten Verdrahtungsstruktur in der M_2. Ebene, die sich im Wesentlichen in der zweiten Richtung erstreckt; und Platzieren der dritten Verdrahtungsstruktur entsprechend über der zweiten Durchkontaktierungsstruktur, sodass sich die dritte Verdrahtungsstruktur in der Füllerzelle befindet.
  7. Verfahren nach Anspruch 1, wobei: eine Größe der Füllerzelle (202A) in der ersten Richtung eine Breite von acht kontaktierten Polyabständen (8CPP) ist.
  8. Verfahren nach einem der vorhergehenden Ansprüche, wobei: die ersten verlängerten Verdrahtungsstrukturen entweder ungerade oder gerade der ersten Verdrahtungsstrukturen (216A(1), 218A(1), 220A(1), 222A(1), 224A(1)) umfassen.
  9. Verfahren nach einem der Ansprüche 1 bis 6, wobei: die ersten verlängerten Verdrahtungsstrukturen alle der ersten Verdrahtungsstrukturen (216A(1), 218A(1), 220A(1), 222A(1), 224A(1)) umfassen.
  10. Verfahren nach einem der vorhergehenden Ansprüche, wobei: die Füllerzelle (202A) dritte und vierte Seitengrenzen (208(2), 210(2)) umfasst, wobei die dritten Seitengrenze (208(2)) im Wesentlichen an die zweite Seitengrenze (210(1)) der ersten Funktionszelle (203A(1)) angrenzt; wobei das Layoutdiagramm ferner umfasst: eine zweite Funktionszelle (203A(2)), die im Wesentlichen an die vierte Seite (210(2)) der Füllerzelle (202A) angrenzt, wobei die zweite Funktionszelle (203A(2)) eine zweite Funktionszellenregion in der Halbleitervorrichtung darstellt; wobei die zweite Funktionszelle (203A(2)) umfasst: fünfte und sechste Seitengrenzen (208(3), 210(3)), wobei die fünfte Seitengrenze im Wesentlichen an die vierte Seitengrenze (210(2)) der Füllerzelle (202A) angrenzt; zweite Verdrahtungsstrukturen (216A(3), 218A(3), 220A(3), 222A(3), 224A(3)), die sich im Wesentlichen in der ersten Richtung in der M_1. Ebene erstrecken und entsprechende zweite Leiter in der zweiten Funktionszellenregion darstellen; dritte und vierte Gruppen von Schnittstrukturen, die über entsprechenden Abschnitten der zweiten Verdrahtungsstrukturen liegen und im Wesentlichen an den entsprechenden fünften und sechsten Seitengrenzen (208(3), 210(3)) ausgerichtet sind, um die entsprechenden zweiten Verdrahtungsstrukturen (216A(3), 218A(3), 220A(3), 222A(3), 224A(3)) zu Intrazellstrukturen zu machen; und das Verfahren ferner umfasst: Anpassen (622) einer oder mehrerer Stellen, die einer oder mehr gewählten Schnittstrukturen der dritten Gruppe entsprechen, in der ersten Richtung, wodurch entsprechend eine oder mehrere ausgewählte der zweiten Verdrahtungsstrukturen (216A(3), 218A(3), 220A(3), 222A(3), 224A(3)) verlängert werden, um zweiten verlängerten Verdrahtungsstrukturen zu entsprechen, die sich über die fünfte Seitengrenze (208(3)) der ersten Funktionszelle (203A(1)) in die Füllerzelle (202A) erstrecken.
  11. Verfahren nach Anspruch 10, wobei: die ersten verlängerten Verdrahtungsstrukturen und die zweiten verlängerten Verdrahtungsstrukturen entsprechende erste und zweite Profile relativ zur ersten Richtung definieren, wobei das zweite Profil eine im Wesentlichen komplementäre Form bezüglich des ersten Profils aufweist.
  12. Verfahren nach Anspruch 10 oder 11, wobei: mindestens eine der ersten verlängerten Verdrahtungsstrukturen und mindestens eine der zweiten verlängerten Verdrahtungsstrukturen im Wesentlichen kollinear sind und sich relativ zur zweiten Richtung überlappen, um kollektiv einen Leiter darzustellen, der sich von der ersten Funktionszellenregion durch die Nichtfunktionszellenregion und in die zweite Funktionszellenregion der Halbleitervorrichtung erstreckt.
  13. System zur Herstellung einer Halbleitervorrichtung, das System umfassend: mindestens einen Prozessor; und mindestens einen Speicher, der Computerprogrammcode für ein oder mehrere Programme umfasst; wobei der mindestens eine Speicher, der Computerprogrammcode und der mindestens eine Prozessor konfiguriert sind, das System zu veranlassen, für ein Layoutdiagramm, das auf einem nichttransitorischen computerlesbaren Medium gespeichert ist und eine erste Metallisierungsebene, M_1. Ebene, und eine erste Ebene einer Zwischenverbindung, VIA_1. Ebene, umfasst, die entsprechend eine erste Schicht einer Metallisierung und eine darüberliegende erste Schicht einer Zwischenverbindung in der Halbleitervorrichtung darstellen, ein Erzeugen des Layoutdiagramms auszuführen, umfassend: Identifizieren (620) einer Füllerzelle (202A) und einer ersten Funktionszelle (203A(1)), die im Wesentlichen an die Füllerzelle (202A) in einer ersten Richtung angrenzt, in dem Layoutdiagramm, wobei die Füllerzelle (202A) und die erste Funktionszelle (203A(1)) entsprechende nichtfunktionale und erste Funktionszellenregionen in der Halbleitervorrichtung darstellen; wobei die erste Funktionszelle (203A(1)) umfasst: erste und zweite Grenzen (208(1), 210(1)) relativ zur ersten Richtung (Seitengrenzen), wobei die zweite Seitengrenze (210(1)) im Wesentlichen an die Füllerzelle (202A) angrenzt; erste Verdrahtungsstrukturen (216A(1), 218A(1), 220A(1), 222A(1), 224A(1)), die sich im Wesentlichen in der ersten Richtung in der M_1. Ebene erstrecken und entsprechende erste Leiter in der ersten Funktionszellenregion darstellen; und erste und zweite Gruppen von Schnittstrukturen (217A(1), 219A(1), 221A(1), 223A(1), 225A(1); 217A(2), 219A(2), 221A(2), 223A(2), 225A(2)), die über entsprechenden Abschnitten der ersten Verdrahtungsstrukturen (216A(1), 218A(1), 220A(1), 222A(1), 224A(1)) liegen, wobei die erste Gruppe die zweite Seitengrenze (210(1)) überlappt; Anpassen (622) einer oder mehrerer Stellen, die einer oder mehreren gewählten Schnittstrukturen der zweiten Gruppe (217A(2), 219A(2), 221A(2), 223A(2), 225A(2)) entsprechen, in der ersten Richtung, wodurch entsprechend eine oder mehrere ausgewählte der ersten Verdrahtungsstrukturen (216A(1), 218A(1), 220A(1), 222A(1), 224A(1)) verlängert werden, um ersten verlängerten Verdrahtungsstrukturen zu entsprechen, die sich über die zweite Grenze (210(1)) der ersten Funktionszelle (203A(1)) in die Füllerzelle (202A) erstrecken; Erzeugen (624) einer ersten Durchkontaktierungsstruktur (226(1)) in der V_1. Ebene; und Platzieren (626) der ersten Durchkontaktierungsstruktur (226(1)) entsprechend über einer entsprechenden ersten der ersten verlängerten Verdrahtungsstrukturen, sodass sich die erste Durchkontaktierungsstruktur in der Füllerzelle (202A) befindet; und wobei sich jede der Seitengrenzen (208(1), 210(1)) im Wesentlichen in einer zweiten Richtung im Wesentlichen rechtwinklig zur ersten Richtung erstreckt.
  14. System nach Anspruch 13, ferner umfassend mindestens eine der folgenden: eine Maskierungseinrichtung, eingerichtet zur Herstellung einer oder mehrerer Halbleitermasken basierend auf dem Layoutdiagramm; oder eine Herstellungseinrichtung, eingerichtet zur Herstellung von mindestens einer Komponente in einer Schicht einer halbleiterintegrierten Schaltung basierend auf dem Layoutdiagramm.
  15. System nach Anspruch 13 oder 14, wobei: das Layoutdiagramm ferner eine zweite Ebene der Metallisierung M_2. Ebene umfasst, die über dem VIA_1. Ebene liegt und eine zweite Schicht einer Metallisierung darstellt, die über der ersten Schicht der Zwischenverbindung in der Halbleitervorrichtung liegt; und das Erzeugen des Layoutdiagramms ferner umfasst: Erzeugen (628) einer zweiten Verdrahtungsstruktur (228(1)) in der M_2. Ebene, die sich im Wesentlichen in der zweiten Richtung erstreckt; und Platzieren (630) der zweiten Verdrahtungsstruktur (228(1)) entsprechend über der ersten Durchkontaktierungsstruktur (226(1)), sodass sich die zweite Verdrahtungsstruktur (228(1)) in der Füllerzelle (202A) befindet.
  16. System nach einem der Ansprüche 13 bis 15, wobei: eine Größe der Füllerzelle (202A) in der ersten Richtung eine Breite eines kontaktierten Polyabstands (CPP) ist.
  17. System nach einem der Ansprüche 13 bis 15, wobei: eine Größe der Füllerzelle (202A) in der ersten Richtung wenigstens zwei Mehrfache der Breite eines kontaktierten Polyabstands (CPP) ist; und das Erzeugen des Layoutdiagramme ferner umfasst: Erzeugen (634) einer zweiten Durchkontaktierungsstruktur in der V_1. Ebene; und Platzieren (636) der zweiten Durchkontaktierungsstruktur entsprechend über einer entsprechenden zweiten der ersten verlängerten Verdrahtungsstrukturen, sodass sich die zweite Durchkontaktierungsstruktur in der Füllerzelle befindet; Erzeugen einer dritten Verdrahtungsstruktur in der M_2. Ebene, die sich im Wesentlichen in der zweiten Richtung erstreckt; und Platzieren der dritten Verdrahtungsstruktur entsprechend über der zweiten Durchkontaktierungsstruktur, sodass sich die dritte Verdrahtungsstruktur in der Füllerzelle befindet.
  18. System nach Anspruch 17, wobei: die Füllerzelle (202A) dritte und vierte Seitengrenzen (208(2), 210(2)) umfasst, wobei die dritte Seitengrenze (208(2)) im Wesentlichen an die zweite Seitengrenze (210(1)) der ersten Funktionszelle (203A(1)) angrenzt; wobei das Layoutdiagramm ferner umfasst: eine zweite Funktionszelle (203A(2)), die im Wesentlichen an die vierte Seite (210(2)) der Füllerzelle (202A) angrenzt, wobei die zweite Funktionszelle (203A(2)) eine zweite Funktionszellenregion in der Halbleitervorrichtung darstellt; wobei die zweite Funktionszelle umfasst: fünfte und sechste Seitengrenzen (208(3), 210(3)), wobei die fünfte Seitengrenze im Wesentlichen an die vierte Seitengrenze der Füllerzelle angrenzt; zweite Verdrahtungsstrukturen (216A(3), 218A(3), 220A(3), 222A(3), 224A(3)), die sich im Wesentlichen in der ersten Richtung in der M_1. Ebene erstrecken und entsprechende zweite Leiter in der zweiten Funktionszellenregion darstellen; dritte und vierte Gruppen von Schnittstrukturen, die über entsprechenden Abschnitten der zweiten Verdrahtungsstrukturen (216A(3), 218A(3), 220A(3), 222A(3), 224A(3)) liegen und im Wesentlichen an den entsprechenden fünften und sechsten Seitengrenzen (208(3), 210(3)) ausgerichtet sind, um die entsprechenden zweiten Verdrahtungsstrukturen (216A(3), 218A(3), 220A(3), 222A(3), 224A(3)) zu Intrazellstrukturen zu machen; und das Verfahren ferner umfasst: Anpassen (622) einer oder mehrerer Stellen, die einer oder mehreren gewählten Schnittstrukturen der dritten Gruppe entsprechen, in der ersten Richtung, wodurch entsprechend eine oder mehrere ausgewählte der zweiten Verdrahtungsstrukturen (216A(3), 218A(3), 220A(3), 222A(3), 224A(3)) verlängert werden, um zweiten verlängerten Verdrahtungsstrukturen zu entsprechen, die sich über die fünfte Seitengrenze (208(3)) der ersten Funktionszelle in die Füllerzelle (202A) erstrecken; und die ersten verlängerten Verdrahtungsstrukturen und die zweiten verlängerten Verdrahtungsstrukturen entsprechende erste und zweite Profile relativ zur ersten Richtung definieren, wobei das zweite Profil eine im Wesentlichen komplementäre Form bezüglich des ersten Profils aufweist.
  19. Halbleitervorrichtung, umfassend: eine erste Schicht einer Metallisierung, M_1. Schicht, in der Halbleitervorrichtung; und eine Füllerzellenregion (102A) und eine erste Funktionszellenregion (103(1)), die im Wesentlichen in einer ersten Richtung an die Füllerzellenregion (102) angrenzt; und wobei: die erste Funktionszellenregion (103(1)) umfasst: erste Leiter, die sich im Wesentlichen in der ersten Richtung in der M_1. Schicht erstrecken; wobei die erste Funktionszellenregion (103(1)) ferner umfasst: eine erste leitfähige Struktur, die einen ersten der ersten Leiter mit einer Source-/Drainregion eines Transistors koppelt; oder eine zweite leitfähige Struktur, die einen zweiten der ersten Leiter mit einer Gatestruktur eines Transistors koppelt; wobei einer oder mehr ausgewählte der ersten Leiter relativ zur ersten Richtung verlängert sind, sodass sie sich über die erste Funktionszellenregion hinaus in die Füllerzellenregion erstrecken; und die Füllerzellenregion nicht umfasst: eine dritte leitfähige Struktur, die ein leitfähiges Segment in der M_1. Schicht mit einer Source-/Drainregion eines Transistors koppelt; oder eine vierte leitfähige Struktur, die ein leitfähiges Segment in der M_1. Schicht mit einer Gatestruktur eines Transistors koppelt; und eine erste Schicht einer Zwischenverbindung V_1. Schicht, die über der M_1. Schicht liegt; und eine erste Durchkontaktierungsstruktur in der V_1. Schicht, wobei sich die erste Durchkontaktierungsstruktur über einem entsprechenden ersten der ausgewählten ersten Leiter und in der Füllerzellenregion befindet.
DE102019129048.1A 2018-10-31 2019-10-28 Halbleitervorrichtung mit füllerzellregion, verfahren zur erzeugung eines layoutdiagramms und system für dafür Active DE102019129048B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862753269P 2018-10-31 2018-10-31
US62/753,269 2018-10-31
US16/662,827 2019-10-24
US16/662,827 US11138360B2 (en) 2018-10-31 2019-10-24 Semiconductor device with filler cell region, method of generating layout diagram and system for same

Publications (2)

Publication Number Publication Date
DE102019129048A1 DE102019129048A1 (de) 2020-04-30
DE102019129048B4 true DE102019129048B4 (de) 2024-05-08

Family

ID=70326794

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102019129048.1A Active DE102019129048B4 (de) 2018-10-31 2019-10-28 Halbleitervorrichtung mit füllerzellregion, verfahren zur erzeugung eines layoutdiagramms und system für dafür

Country Status (5)

Country Link
US (1) US11138360B2 (de)
KR (1) KR102368588B1 (de)
CN (1) CN111128999B (de)
DE (1) DE102019129048B4 (de)
TW (1) TWI730484B (de)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283496B2 (en) * 2016-06-30 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit filler and method thereof
US10997348B2 (en) * 2018-09-28 2021-05-04 Taiwan Semiconductor Manufacturing Company Ltd. Metal cut region location method and system
US10963616B1 (en) * 2019-12-30 2021-03-30 Cadence Design Systems, Inc. Systems and methods of aligning sets of wires with minimum spacing rules
US11893333B2 (en) * 2020-05-12 2024-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid sheet layout, method, system, and structure
US11087062B1 (en) * 2020-07-22 2021-08-10 International Business Machines Corporation Dynamic SADP region generation
CN112966458B (zh) * 2021-04-07 2022-07-26 北京华大九天科技股份有限公司 图形处理方法、电子设备、服务器和存储介质
CN113555372B (zh) * 2021-06-30 2022-06-07 广芯微电子(广州)股份有限公司 一种隔断填充单元及多电压域低功耗芯片
CN115312518A (zh) * 2021-07-02 2022-11-08 台湾积体电路制造股份有限公司 具有对布局环境的降低的依赖性的电路布置
US20230043245A1 (en) * 2021-07-29 2023-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. High voltage guard ring semiconductor device and method of forming same
US11861287B2 (en) 2021-08-02 2024-01-02 International Business Machines Corporation Integrated circuit development using density-aware border fill
US20230385505A1 (en) * 2022-05-31 2023-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for chip integration

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7260442B2 (en) 2004-03-03 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for mask fabrication process control
US20140040838A1 (en) 2012-08-01 2014-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Methods For Making A Mask For An Integrated Circuit Design
KR20140021252A (ko) 2012-08-09 2014-02-20 삼성전자주식회사 필러 셀을 포함하는 반도체 장치
US8786019B2 (en) 2011-12-30 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS FinFET device
US20150278429A1 (en) 2014-04-01 2015-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. System and Method for Integrated Circuit Manufacturing
US9256709B2 (en) 2014-02-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit mask patterning

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101762657B1 (ko) * 2011-01-31 2017-07-31 삼성전자주식회사 도전 패턴 구조물 및 이의 형성 방법
TWI669760B (zh) 2011-11-30 2019-08-21 日商半導體能源研究所股份有限公司 半導體裝置的製造方法
US9831230B2 (en) * 2013-08-13 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell layout, semiconductor device having engineering change order (ECO) cells and method
US10083269B2 (en) * 2013-11-19 2018-09-25 Arm Limited Computer implemented system and method for generating a layout of a cell defining a circuit component
KR102185102B1 (ko) * 2014-01-10 2020-12-02 삼성디스플레이 주식회사 어레이 기판, 이를 갖는 액정 표시 패널 및 이의 제조방법
KR102307060B1 (ko) * 2014-12-03 2021-10-01 삼성전자주식회사 반도체 소자
KR102513517B1 (ko) 2015-07-30 2023-03-22 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 전자 기기
US10282503B2 (en) 2016-06-25 2019-05-07 Qualcomm Incorporated Mitigating length-of-diffusion effect for logic cells and placement thereof
US10283496B2 (en) * 2016-06-30 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit filler and method thereof
KR102367787B1 (ko) 2016-06-30 2022-02-24 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 반도체 장치의 동작 방법
US10340206B2 (en) 2016-08-05 2019-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Dense redistribution layers in semiconductor packages and methods of forming the same
CN109564851A (zh) 2016-08-31 2019-04-02 株式会社半导体能源研究所 半导体装置的制造方法
US10192871B2 (en) * 2016-09-23 2019-01-29 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US10282504B2 (en) * 2016-09-30 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving circuit layout for manufacturability
CN109891551B (zh) 2016-11-03 2023-12-01 株式会社半导体能源研究所 半导体装置的制造方法
US9978682B1 (en) * 2017-04-13 2018-05-22 Qualcomm Incorporated Complementary metal oxide semiconductor (CMOS) standard cell circuits employing metal lines in a first metal layer used for routing, and related methods

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7260442B2 (en) 2004-03-03 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for mask fabrication process control
US8786019B2 (en) 2011-12-30 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS FinFET device
US20140040838A1 (en) 2012-08-01 2014-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Methods For Making A Mask For An Integrated Circuit Design
KR20140021252A (ko) 2012-08-09 2014-02-20 삼성전자주식회사 필러 셀을 포함하는 반도체 장치
US9256709B2 (en) 2014-02-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit mask patterning
US20150278429A1 (en) 2014-04-01 2015-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. System and Method for Integrated Circuit Manufacturing

Also Published As

Publication number Publication date
TW202038128A (zh) 2020-10-16
CN111128999B (zh) 2022-09-16
KR20200050419A (ko) 2020-05-11
TWI730484B (zh) 2021-06-11
DE102019129048A1 (de) 2020-04-30
CN111128999A (zh) 2020-05-08
US20200134125A1 (en) 2020-04-30
US11138360B2 (en) 2021-10-05
KR102368588B1 (ko) 2022-02-25

Similar Documents

Publication Publication Date Title
DE102019129048B4 (de) Halbleitervorrichtung mit füllerzellregion, verfahren zur erzeugung eines layoutdiagramms und system für dafür
DE102019118040B4 (de) Halbleitervorrichtung, die eine zellregion umfasst, die ähnlichere zelldichten in zeilen verschiedener höhe aufweist, und verfahren und system zum erzeugen eines layoutdiagramms dafür
DE102018108579B4 (de) Integrierte schaltung und verfahren zu deren herstellung
DE102017118336B4 (de) Standardzellen-layout, halbleiter-bauelement mit technische-änderungsanweisungs(eco)-zellen und verfahren
DE102017125395A1 (de) Zellstrunkturen und Halbleitervorrichtungen damit
DE102019116744B4 (de) Verfahren zum erzeugen eines layoutdiagramms, das hervorstehende pin-zellengebiete aufweist, und eine darauf basierende halbleitervorrichtung
DE102019128571B4 (de) Verfahren zum erzeugen eines layoutdiagramms mit zelle mit darauf basierenden stiftmustern und halbleitervorrichtung
DE102019115533A1 (de) Zellregionen mit der höhe einer ungeraden finnenanzahl, halbleiter, der diese aufweist und verfahren zum erzeugen eines layoutdiagramms, das diesen entspricht
DE102019116952B4 (de) Integrierte-schaltkreis-struktur, layout-schaubild-verfahren und system
DE102019123621B4 (de) Routungsressourcenverbesserndes verfahren zum generieren von layout-diagrammen, und system dafür
DE102020119280A1 (de) Platzbedarf für multi-bit-flip-flop
DE102021110414A1 (de) Vier-cpp-breite speicherzelle mit vergrabenem leistungsgitter und verfahren zu deren herstellung
DE102021110387A1 (de) Integrierte schaltungsanlage und verfahren
DE102020119415A1 (de) Integrierte schaltung mit rückseitiger stromschiene und rückseitigem interconnect
DE102020115617B4 (de) Halbleitervorrichtung, integrierte Schaltung und darauf basierendes System
DE102019125900B4 (de) Metallschnittgebiet-positionierungsverfahren und system
DE102021111423A1 (de) Halbleitervorrichtung und Verfahren zu ihrer Herstellung
DE102021108836A1 (de) Halbleiterbauelement mit v2v-schiene und herstellungsverfahren davon
DE102021105450B4 (de) Integrierte Schaltungsvorrichtung, System und Verfahren
DE102021100870B4 (de) Hybridschicht-layout, -verfahren, -system und -struktur
DE102020124907B4 (de) Integrierte schaltung
DE102020132602B4 (de) Halbleitervorrichtung mit vergrabenen leitfähigen fingern und deren herstellungsverfahren
DE102021112663B4 (de) Integrierte schaltung und verfahren zu ihrer herstellung
DE102019125632B4 (de) Integrierte schaltung, system und verfahren zum ausbilden derselben
DE102020132921A1 (de) HALBLEITERVORRICHTUNG MIT GESTUFTEM GATESTUMPFGRÖßENPROFIL UND VERFAHREN ZUR HERSTELLUNG DAVON

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division